WorldWideScience

Sample records for o2 plasma etching

  1. High rate dry etching of InGaZnO by BCl3/O2 plasma

    Science.gov (United States)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  2. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  3. Optimization of time on CF_4/O_2 etchant for inductive couple plasma reactive ion etching of TiO_2 thin film

    International Nuclear Information System (INIS)

    Adzhri, R.; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M.; Arshad, M. K. Md.; Hashim, U.; Ayub, R. M.

    2016-01-01

    In this work, we investigate the optimum etching of titanium dioxide (TiO_2) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF_4/O_2 gases as plasma etchant with ratio of 3:1, three samples of TiO_2 thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF_4 gases with plasma enhancement by O_2 gas able to break the oxide bond of TiO_2 and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  4. Etching mechanism of MgO thin films in inductively coupled Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Koo, Seong-Mo; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il

    2004-01-01

    The etching mechanism of MgO thin films in Cl 2 /Ar plasma was investigated. It was found that the increasing Ar in the mixing ratio of Cl 2 /Ar plasma causes nonmonotonic MgO etch rate, which reaches a maximum value at 70%Ar+30%Cl 2 . Langmuir probe measurement showed the noticeable influence of Cl 2 /Ar mixing ratio on electron temperature and electron density. The zero-dimensional plasma model indicated monotonic changes of both densities and fluxes of active species. At the same time, analyses of surface kinetics showed the possibility of nonmonotonic etch rate behavior due to the concurrence of physical and chemical pathways in ion-assisted chemical reaction

  5. Optimization of time on CF{sub 4}/O{sub 2} etchant for inductive couple plasma reactive ion etching of TiO{sub 2} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Adzhri, R., E-mail: adzhri@gmail.com; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M. [Institute of Nano Electronic Engineering (INEE), Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia); Arshad, M. K. Md., E-mail: mohd.khairuddin@unimap.edu.my; Hashim, U.; Ayub, R. M. [Institute of Nano Electronic Engineering (INEE), Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia); School of Microelectronic Engineering, Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia)

    2016-07-06

    In this work, we investigate the optimum etching of titanium dioxide (TiO{sub 2}) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF{sub 4}/O{sub 2} gases as plasma etchant with ratio of 3:1, three samples of TiO{sub 2} thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF{sub 4} gases with plasma enhancement by O{sub 2} gas able to break the oxide bond of TiO{sub 2} and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  6. Comprehensive Study of SF_6/O_2 Plasma Etching for Mc-Silicon Solar Cells

    International Nuclear Information System (INIS)

    Li Tao; Zhou Chun-Lan; Wang Wen-Jing

    2016-01-01

    The mask-free SF_6/O_2 plasma etching technique is used to produce surface texturization of mc-silicon solar cells for efficient light trapping in this work. The SEM images and mc-silicon etching rate show the influence of plasma power, SF_6/O_2 flow ratios and etching time on textured surface. With the acidic-texturing samples as a reference, the reflection and IQE spectra are obtained under different experimental conditions. The IQE spectrum measurement shows an evident increase in the visible and infrared responses. By using the optimized plasma power, SF_6/O_2 flow ratios and etching time, the optimal efficiency of 15.7% on 50 × 50 mm"2 reactive ion etching textured mc-silicon silicon solar cells is achieved, mostly due to the improvement in the short-circuit current density. The corresponding open-circuit voltage, short-circuit current density and fill factor are 611 mV, 33.6 mA/cm"2, 76.5%, respectively. It is believed that such a low-cost and high-performance texturization process is promising for large-scale industrial silicon solar cell manufacturing. (paper)

  7. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    Science.gov (United States)

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  8. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  9. Mechanisms for plasma etching of HfO{sub 2} gate stacks with Si selectivity and photoresist trimming

    Energy Technology Data Exchange (ETDEWEB)

    Shoeb, Juline; Kushner, Mark J. [Department of Electrical and Computer Engineering, Iowa State University, Ames, Iowa 50011 (United States); Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan 48109-2122 (United States)

    2009-11-15

    To minimize leakage currents resulting from the thinning of the insulator in the gate stack of field effect transistors, high-dielectric constant (high-k) metal oxides, and HfO{sub 2} in particular, are being implemented as a replacement for SiO{sub 2}. To speed the rate of processing, it is desirable to etch the gate stack (e.g., metal gate, antireflection layers, and dielectric) in a single process while having selectivity to the underlying Si. Plasma etching using Ar/BCl{sub 3}/Cl{sub 2} mixtures effectively etches HfO{sub 2} while having good selectivity to Si. In this article, results from integrated reactor and feature scale modeling of gate-stack etching in Ar/BCl{sub 3}/Cl{sub 2} plasmas, preceded by photoresist trimming in Ar/O{sub 2} plasmas, are discussed. It was found that BCl{sub n} species react with HfO{sub 2}, which under ion impact, form volatile etch products such as B{sub m}OCl{sub n} and HfCl{sub n}. Selectivity to Si is achieved by creating Si-B bonding as a precursor to the deposition of a BCl{sub n} polymer which slows the etch rate relative to HfO{sub 2}. The low ion energies required to achieve this selectivity then challenge one to obtain highly anisotropic profiles in the metal gate portion of the stack. Validation was performed with data from literature. The effect of bias voltage and key reactant probabilities on etch rate, selectivity, and profile are discussed.

  10. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    Science.gov (United States)

    Nagai, Mikio; Hayashi, Takayuki; Hori, Masaru; Okamoto, Hidekazu

    2006-09-01

    We proposed an environmental harmonic etching gas of C5F10O (CF3CF2CF2OCFCF2), and demonstrated the etching of low-k SiOCH films employing a dual-frequency capacitively coupled etching system. Dissociative ionization cross sections for the electron impact ionizations of C5F10O and c-C4F8 gases have been measured by quadrupole mass spectroscopy (QMS). The dissociative ionization cross section of CF3+ from C5F10O gas was much higher than those of other ionic species, and 10 times higher than that of CF3+ from C4F8 gas. CF3+ is effective for increasing the etching rate of SiO2. As a result, the etching rate of SiOCH films using Ar/C5F10O/N2 plasma was about 1000 nm/min, which is much higher than that using Ar/C4F8/N2 plasma. The behaviours of fluorocarbon radicals in Ar/C5F10O/N2 plasma, which were measured by infrared diode laser absorption spectroscopy, were similar to those in Ar/C4F8/N2 plasma. The densities of CF and CF3 radicals were markedly decreased with increasing N2 flow rate. Etching rate was controlled by N2 flow rate. A vertical profile of SiOCH with a high etching rate and less microloading was realized using Ar/C5F10O/N2 plasma chemistry.

  11. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  12. Improvement in ferroelectric properties of Pt/PZT/Pt capacitors etched as a function of Ar/O2 gas mixing ratio into Cl2/CF4 plasma

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Koo, Seong-Mo; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    In this work, to investigate improvement of the damage using oxygen containing plasma, we etched PZT films as a function of Ar (x%)/O 2 (y%) gas mixing ratio in Cl 2 (56%)/CF 4 (14%) plasma (where the sum of x and y is 30). The maximum etch rate of the PZT thin films was 146 nm/min for Ar (30%)/O 2 (0%) added into the Cl 2 /CF 4 plasma. After the etching, the plasma-induced damages were characterized in terms of hysteresis curves, leakage current, switching polarization and retention capacity as a function of the gas mixing ratio. When the ferroelectric properties of PZT films were etched as a function of O 2 and Ar and the gas mixing ratios were compared, the value of remnant polarization in O 2 (30%) added Cl 2 /CF 4 plasma is higher than that in Ar (30%). The results showed that after the etching the charges accumulated by oxygen vacancies prevented further domain switching at the top electrode-ferroelectric interface and created leakage current because of modification of the interfacial Schottky barrier during the etching process. The physical damage to the near surface and the crystal structure of the etched PZT thin films was evaluated by using X-ray diffraction (XRD). The remnant polarization, leakage current, retention and fatigue properties are improved with increasing O 2 content. From XRD results, the improvement in the ferroelectric properties of PZT capacitors etched in O 2 containing plasma was consistent with the increased intensities of the (100) and (200) peaks

  13. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    Science.gov (United States)

    Chen, Z.; Yin, C.; Wang, S.; Ito, K.; Fu, Q. M.; Deng, Q. R.; Fu, P.; Lin, Z. D.; Zhang, Y.

    2017-01-01

    A polysulfone/TiO2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result.

  14. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    International Nuclear Information System (INIS)

    Chen, Z; Yin, C; Wang, S; Fu, Q M; Deng, Q R; Fu, P; Lin, Z D; Zhang, Y; Ito, K

    2017-01-01

    A polysulfone/TiO 2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO 2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result. (paper)

  15. Angular dependence of the redeposition rates during SiO2 etching in a CF4 plasma

    International Nuclear Information System (INIS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2001-01-01

    The angular dependence of the redeposition rates during SiO 2 etching in a CF 4 plasma was studied using three types of Faraday cages located in a transformer coupled plasma etcher. The SiO 2 substrates were fixed on sample holder slopes that have different angles to the cathode. The substrate was subjected to one of three processes depending on the design of the Faraday cage, i.e., redeposition of sputtered particles from the SiO 2 bottom surface (case I), substrate etching by incident ions (case II), or simultaneous etching and redeposition (case III). Both the redeposition and the etch rates were measured by changing the substrate-surface angle and the self-bias voltage in the range of -100 to -800 V. The redeposition-only rates (case I) at -450 and -800 V closely followed the quadratic curve of the angle whereas the rates at -100 V followed the cubic curve, indicating different mechanisms of the bottom SiO 2 etching depending on the energy regimes. The steep increase of the redeposition rate with the angle was attributed to three factors: the substrate-bottom distance, the angular distribution of emitted particles from the bottom surface, and the particle incident angle on the substrate surface. The etch-only rate curves (case II) closely followed the cosine of the surface angle. The etch-rate curve changed into a reverse-S shape when the substrate was subjected to simultaneous etching and redeposition (case III). The net etch rate for case III decreased drastically above 60 deg. , showing a negative value, i.e., a net redeposition, beyond 75 deg. . The drastic decrease in the net etch rate coincided with the steep increase in the redeposition rate, implying the significant effect of redeposition

  16. Redeposition of etch products on sidewalls during SiO2 etching in a fluorocarbon plasma. I. Effect of particle emission from the bottom surface in a CF4 plasma

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2002-01-01

    The effect of etch-product redeposition on sidewall properties during the etching of step-shaped SiO 2 patterns in a CF 4 plasma was examined using a Faraday cage located in a transformer coupled plasma etcher. Sidewall properties were observed for two cases: with and without particles emitted from the bottom surface in normal contact with the sidewall. Particles sputtered from the bottom surface were redeposited on the sidewall, which contributes to the formation of a passivation layer on the surface of the latter. The passivation layer consisted of silicon oxide, Si x O y , and fluorocarbon, C x F y , the latter comprising the major species. Ar plasma experiments confirmed that C x F y or a fluorocarbon polymer must be present on the sidewall in order for the Si x O y species to be deposited on the surface. The redeposited particles, which were largely F-deficient fluorocarbon species, as evidenced by x-ray photoelectron spectroscopy analyses, functioned as precursors for fluorocarbon polymerization, resulting in a rough sidewall surface. The chemical etch rates of SiO 2 were retarded by the redeposition of particles, which eventually formed a thick layer, eventually covering the bulk SiO 2 . Auger electron spectroscopy analyses of the sidewall surface affected by the emission from the bottom suggest that the surface consists of three distinct layers: a surface-carbon layer, a redeposition-etch combined layer, and bulk SiO 2

  17. Dry etching of LaNiO3 thin films using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il; Lee, Cheol-In; Kim, Tae-Hyung

    2006-01-01

    The etching characteristics of LaNiO 3 (LNO) thin films and SiO 2 in Cl 2 /Ar plasma were investigated. LNO etch rates decreased with increasing Cl 2 fraction in Ar plasma and the working pressure. Langmuir probe measurement showed a noticeable influence of Cl 2 /Ar mixing ratio on electron temperature, electron density, and ion current density. The modeling of volume kinetics for charged particles and OES measurements for neutral atoms indicated monotonous changes of both densities and fluxes of active species such as chlorine atoms and positive ions. The LNO etch rate behavior may be explained by physical mechanisms

  18. Growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Oshima, Yuichi; Ahmadi, Elaheh; Kaun, Stephen; Wu, Feng; Speck, James S.

    2018-01-01

    We investigated the homoepitaxial growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy. The growth rate of β-Ga2O3 increased with increasing Ga-flux, reaching a clear plateau of 56 nm h-1, and then decreased at higher Ga-flux. The growth rate decreased from 56 to 42 nm h-1 when the substrate temperature was increased from 750 °C to 800 °C. The growth rate was negative (net etching) when only Ga-flux was supplied. The etching rate proportionally increased with increasing the Ga-flux, reaching 84 nm h-1. The etching was enhanced at higher temperatures. It was found that Ga-etching of (001) β-Ga2O3 substrates prior to the homoepitaxial growth markedly improved the surface roughness of the film.

  19. A study on etching of UO2, Co, and Mo surface with R.F. plasma using CF4 and O2

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Seo, Yong Dae

    2003-01-01

    Recently dry decontamination/surface-cleaning technology using plasma etching has been focused in the nuclear industry. In this study, the applicability of this new dry processing technique are experimentally investigated by examining the etching reaction of UO 2 , Co, and Mo in r.f. plasma with the etchant gas of CF 4 /O 2 mixture. UO 2 is chosen as a representing material for uranium and TRU (TRans-Uranic) compounds while metallic Co and Mo are selected because they are the principal contaminants in the used metallic nuclear components such as valves and pipes made of stainless steel or Inconel. Results show that in all cases maximum etching rate is achieved when the mole fraction of O 2 in CF 4 /O 2 mixture gas is 20%, regardless of temperature and r.f. power. In case of UO 2 , the highest etching reaction rate is greater than 1000 monolayers/min. at 370 .deg. C under 150 W r.f. power which is equivalent to 0.4 μm/min. As for Co, etching reaction begins to take place significantly when the temperature exceeds 350 .deg. C. Maximum etching rate achieved at 380 .deg. C is 0.06 μm/min. Mo etching reaction takes place vigorously even at relatively low temperature and the reaction rate increases drastically with increasing temperature. Highest etching rate at 380 .deg. C is 1.9 μm /min. According to OES (Optical Emission Spectroscopy) and AES (Auger Electron Spectroscopy) analysis, primary reaction seems to be a fluorination reaction, but carbonyl compound formation reaction may assist the dominant reaction, especially in case of Co and Mo. Through this basic study, the feasibility and the applicability of plasma decontamination technique are demonstrated

  20. Silicon nitride and silicon etching by CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams

    Energy Technology Data Exchange (ETDEWEB)

    Kaler, Sanbir S.; Lou, Qiaowei; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu; Economou, Demetre J., E-mail: economou@uh.edu [Department of Chemical and Biomolecular Engineering, Plasma Processing Laboratory, University of Houston, Houston, Texas 77204 (United States)

    2016-07-15

    Silicon nitride (SiN, where Si:N ≠ 1:1) films low pressure-chemical vapor deposited on Si substrates, Si films on Ge on Si substrates, and p-Si samples were exposed to plasma beams emanating from CH{sub 3}F/O{sub 2} or CH{sub 3}F/CO{sub 2} inductively coupled plasmas. Conditions within the plasma beam source were maintained at power of 300 W (1.9 W/cm{sup 3}), pressure of 10 mTorr, and total gas flow rate of 10 sccm. X-ray photoelectron spectroscopy was used to determine the thicknesses of Si/Ge in addition to hydrofluorocarbon polymer films formed at low %O{sub 2} or %CO{sub 2} addition on p-Si and SiN. Polymer film thickness decreased sharply as a function of increasing %O{sub 2} or %CO{sub 2} addition and dropped to monolayer thickness above the transition point (∼48% O{sub 2} or ∼75% CO{sub 2}) at which the polymer etchants (O and F) number densities in the plasma increased abruptly. The C(1s) spectra for the polymer films deposited on p-Si substrates appeared similar to those on SiN. Spectroscopic ellipsometry was used to measure the thickness of SiN films etched using the CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams. SiN etching rates peaked near 50% O{sub 2} addition and 73% CO{sub 2} addition. Faster etching rates were measured in CH{sub 3}F/CO{sub 2} than CH{sub 3}F/O{sub 2} plasmas above 70% O{sub 2} or CO{sub 2} addition. The etching of Si stopped after a loss of ∼3 nm, regardless of beam exposure time and %O{sub 2} or %CO{sub 2} addition, apparently due to plasma assisted oxidation of Si. An additional GeO{sub x}F{sub y} peak was observed at 32.5 eV in the Ge(3d) region, suggesting deep penetration of F into Si, under the conditions investigated.

  1. A Study of Parameters Related to the Etch Rate for a Dry Etch Process Using NF3/O2 and SF6/O2

    Directory of Open Access Journals (Sweden)

    Seon-Geun Oh

    2014-01-01

    Full Text Available The characteristics of the dry etching of SiNx:H thin films for display devices using SF6/O2 and NF3/O2 were investigated using a dual-frequency capacitively coupled plasma reactive ion etching (CCP-RIE system. The investigation was carried out by varying the RF power ratio (13.56 MHz/2 MHz, pressure, and gas flow ratio. For the SiNx:H film, the etch rates obtained using NF3/O2 were higher than those obtained using SF6/O2 under various process conditions. The relationships between the etch rates and the usual monitoring parameters—the optical emission spectroscopy (OES intensity of atomic fluorine (685.1 nm and 702.89 nm and the voltages VH and VL—were investigated. The OES intensity data indicated a correlation between the bulk plasma density and the atomic fluorine density. The etch rate was proportional to the product of the OES intensity of atomic fluorine (I(F and the square root of the voltages (Vh+Vl on the assumption that the velocity of the reactive fluorine was proportional to the square root of the voltages.

  2. Surface Roughening of Polystyrene and Poly(methyl methacrylate in Ar/O2 Plasma Etching

    Directory of Open Access Journals (Sweden)

    Amy E. Wendt

    2010-12-01

    Full Text Available Selectively plasma-etched polystyrene-block-poly(methyl methacrylate (PS-b-PMMA diblock copolymer masks present a promising alternative for subsequent nanoscale patterning of underlying films. Because mask roughness can be detrimental to pattern transfer, this study examines roughness formation, with a focus on the role of cross-linking, during plasma etching of PS and PMMA. Variables include ion bombardment energy, polymer molecular weight and etch gas mixture. Roughness data support a proposed model in which surface roughness is attributed to polymer aggregation associated with cross-linking induced by energetic ion bombardment. In this model, RMS roughness peaks when cross-linking rates are comparable to chain scissioning rates, and drop to negligible levels for either very low or very high rates of cross-linking. Aggregation is minimal for very low rates of cross-linking, while very high rates produce a continuous cross-linked surface layer with low roughness. Molecular weight shows a negligible effect on roughness, while the introduction of H and F atoms suppresses roughness, apparently by terminating dangling bonds. For PS etched in Ar/O2 plasmas, roughness decreases with increasing ion energy are tentatively attributed to the formation of a continuous cross-linked layer, while roughness increases with ion energy for PMMA are attributed to increases in cross-linking from negligible to moderate levels.

  3. Characteristics of SiO{sub 2} etching with a C{sub 4}F{sub 8}/Ar/CHF{sub 3}/O{sub 2} gas mixture in 60-MHz/2-MHz dual-frequency capacitively coupled plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, M. H.; Kang, S. K.; Park, J. Y.; Yeom, G. Y. [Sungkyunkwan University, Suwon (Korea, Republic of)

    2011-11-15

    Nanoscale SiO{sub 2} contact holes were etched by using C{sub 4}F{sub 8}/CHF{sub 3}/O{sub 2}/Ar gas mixtures in dual frequency capacitively coupled plasmas (DF-CCPs) where a 60-MHz source power was applied to the top electrode while a 2-MHz bias power was applied to the bottom electrode. The initial increase in the CHF{sub 3} gas flow rate at a fixed CHF{sub 3}+O{sub 2} flow rate increased the SiO{sub 2} etch rate as well as SiO{sub 2} etch selectivity over that of the amorphous carbon layer (ACL). When the high-frequency (HF) power was increased both SiO{sub 2} etch rate and the etch selectivity over ACL were increased. For a 300 W/500 W power ratio of 60-MHz HF power/ 2-MHz low-freqeuncy (LF) and a gas mixture of Ar (140 sccm) /C{sub 4}F{sub 8} (30 sccm) /CHF{sub 3} (25 sccm) /O{sub 2} (5 sccm) while maintaining 20 mTorr, an anisotropic etch profile with an SiO{sub 2} etch rate of 3350 A/min and an etch selectivity of higher than 6 over ACL could be obtained.

  4. Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    Science.gov (United States)

    Miyawaki, Yudai; Shibata, Emi; Kondo, Yusuke; Takeda, Keigo; Kondo, Hiroki; Ishikawa, Kenji; Okamoto, Hidekazu; Sekine, Makoto; Hori, Masaru

    2013-02-01

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp2)=N(sp2)- and -C(sp)≡N(sp).

  5. Predictable topography simulation of SiO2 etching by C5F8 gas combined with a plasma simulation, sheath model and chemical reaction model

    International Nuclear Information System (INIS)

    Takagi, S; Onoue, S; Iyanagi, K; Nishitani, K; Shinmura, T; Kanoh, M; Itoh, H; Shioyama, Y; Akiyama, T; Kishigami, D

    2003-01-01

    We have developed a simulation for predicting reactive ion etching (RIE) topography, which is a combination of plasma simulation, the gas reaction model, the sheath model and the surface reaction model. The simulation is applied to the SiO 2 etching process of a high-aspect-ratio contact hole using C 5 F 8 gas. A capacitively coupled plasma (CCP) reactor of an 8-in. wafer was used in the etching experiments. The baseline conditions are RF power of 1500 W and gas pressure of 4.0 Pa in a gas mixture of Ar, O 2 and C 5 F 8 . The plasma simulation reproduces the tendency that CF 2 radical density increases rapidly and the electron density decreases gradually with increasing gas flow rate of C 5 F 8 . In the RIE topography simulation, the etching profiles such as bowing and taper shape at the bottom are reproduced in deep holes with aspect ratios greater than 19. Moreover, the etching profile, the dependence of the etch depth on the etching time, and the bottom diameter can be predicted by this simulation

  6. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  7. Fabrication of SiC nanopillars by inductively coupled SF6/O2 plasma etching

    International Nuclear Information System (INIS)

    Choi, J H; Bano, E; Latu-Romain, L; Dhalluin, F; Chevolleau, T; Baron, T

    2012-01-01

    In this paper, we demonstrate a top-down fabrication technique for nanometre scale silicon carbide (SiC) pillars using inductively coupled plasma etching. A set of experiments in SF 6 -based plasma was carried out in order to realize high aspect ratio SiC nanopillars. The etched SiC nanopillars using a small circular mask pattern (115 nm diameter) show high aspect ratio (7.4) with a height of 2.2 µm at an optimum bias voltage (300 V) and pressure (6 mTorr). Under the optimal etching conditions using a large circular mask pattern with 370 nm diameter, the obtained SiC nanopillars exhibit high anisotropy features (6.4) with a large etch depth (>7 µm). The etch characteristic of the SiC nanopillars under these conditions shows a high etch rate (550 nm min -1 ) and a high selectivity (over 60 for Ni). We also studied the etch profile of the SiC nanopillars and mask evolution over the etching time. As the mask pattern size shrinks in nanoscale, vertical and lateral mask erosion plays a crucial role in the etch profile of the SiC nanopillars. Long etching process makes the pillars appear with a hexagonal shape, coming from the crystallographic structure of α-SiC. It is found that the feature of pillars depends not only on the etching process parameters, but also on the crystallographic structure of the SiC phase. (paper)

  8. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    Science.gov (United States)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  9. Reduction of etching damage in lead-zirconate-titanate thin films with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    In this work, we etched lead-zirconate-titanate (PZT) films with various additive gases (O 2 and Ar) in Cl 2 /CF 4 plasmas, while mixing ratio was fixed at 8/2. After the etching, the plasma induced damages are characterized in terms of hysteresis curves, leakage current, retention properties, and switching polarization. When the electrical properties of PZT etched in O 2 or Ar added to Cl 2 /CF 4 were compared, the value of remanent polarization in O 2 added to Cl 2 /CF 4 plasma is higher than that in Ar added plasma. The maximum etch rate of the PZT thin films was 145 nm/min for 30% Ar added Cl 2 /CF 4 gas having mixing ratio of 8/2 and 110 nm/min for 10% O 2 added to that same gas mixture. In order to recover the ferroelectric properties of the PZT thin films after etching, we annealed the etched PZT thin films at 550 deg. C in an O 2 atmosphere for 10 min. From the hysteresis curves, leakage current, retention property, and switching polarization, the reduction of the etching damage and the recovery via the annealing turned out to be more effective when O 2 was added to Cl 2 /CF 4 than Ar. X-ray diffraction showed that the structural damage was lower when O 2 was added to Cl 2 /CF 4 and the improvement in the ferroelectric properties of the annealed samples was consistent with the increased intensities of the (100) and the (200) PZT peaks

  10. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    International Nuclear Information System (INIS)

    Luan, P; Knoll, A J; Wang, H; Oehrlein, G S; Kondeti, V S S K; Bruggeman, P J

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O 2 and 1% air plasma and OH for Ar/1% H 2 O plasma, play an essential role for polymer etching. For O 2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10 −4 to 10 −3 is consistent with low pressure plasma research. We also find that adding O 2 and H 2 O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O 2 /H 2 O plasma. (letter)

  11. Effect of input power and gas pressure on the roughening and selective etching of SiO2/Si surfaces in reactive plasmas

    International Nuclear Information System (INIS)

    Zhong, X. X.; Huang, X. Z.; Tam, E.; Ostrikov, K.; Colpo, P.; Rossi, F.

    2010-01-01

    We report on the application low-temperature plasmas for roughening Si surfaces which is becoming increasingly important for a number of applications ranging from Si quantum dots to cell and protein attachment for devices such as 'laboratory on a chip' and sensors. It is a requirement that Si surface roughening is scalable and is a single-step process. It is shown that the removal of naturally forming SiO 2 can be used to assist in the roughening of the surface using a low-temperature plasma-based etching approach, similar to the commonly used in semiconductor micromanufacturing. It is demonstrated that the selectivity of SiO 2 /Si etching can be easily controlled by tuning the plasma power, working gas pressure, and other discharge parameters. The achieved selectivity ranges from 0.4 to 25.2 thus providing an effective means for the control of surface roughness of Si during the oxide layer removal, which is required for many advance applications in bio- and nanotechnology.

  12. Research on plasma etching of nuclear fuel material

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Yong Soo; Min, Jin Young [Hanyang University, Seoul (Korea)

    1998-04-01

    Based on the experimental result that the highest etching rate is obtained at 20% O{sub 2} mole fraction regardless of r.f. power and temperature and the RGA analysis result that major reaction product is UF{sub 6}, overall reaction of UO{sub 2} reaction in CF{sub 4}/O{sub 2} plasma is established: 8UO{sub 2} + 12CF{sub 4} + 3O{sub 2} {yields} 8UF{sub 6} + 12CO{sub 2-X} XPS confirms that at lower O{sub 2} mole fraction than 20%, the reaction is retarded by carbon residual on the surface, while XRD demonstrates that at higher O{sub 2} mole fraction than 20% U atom forms hyper-stoichiometric UO{sub 2} such as U{sub 3}O{sub 7}, U{sub 4}O{sub 9}, U{sub 3}O{sub 8}, and UO{sub 3}, rather than interacts to form volatile uranium fluoride. The reaction of UO{sub 2} with CF{sub 4}/O{sub 2} plasma follows a linear kinetics law with time, a surface-reaction controlling step, and the activation energy, 2.98 kcal/mol,is derived at 150 {approx} 450 deg C based on the kinetics. The maximum etching rate is 1100 monolayers/min. at 370 deg C under r.f. power of 150W, which is equivalent to 0.4 {mu}m/min. This etching rate is as fast as that of Si wafer in the semi-conductor processing, therefore, it is conclusively expected that CF{sub 4}/O{sub 2} mixed gas plasma process may be highly applicable to remove TRU coming form DUPIC fuel manufacturing process and enough to reduce residual TRU less than 0.01%. (author). 26 refs., 50 figs., 4 tabs.

  13. Modeling of silicon etching in CF sub 4 /O sub 2 and CF sub 4 /H sub 2 plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Trachtenberg, I.; Edgar, T.F. (Dept. of Chemical Engineering, Univ. of Texas at Austin, Austin, TX (US)); Venkatesan, S.P. (Morgantown Energy Technology Center, Morgantown, WV (US))

    1990-07-01

    A one-dimensional radial flow reactor model that includes fairly detailed free radical gas-phase chemistry has been developed for the etching of silicon in CF{sub 4}/O{sub 2} and CF{sub 4}/H{sub 2} plasmas. Attention has been restricted to transport and reaction of neutral species. The model equations were solved by orthogonal collocation. The sensitivities of the model predictions to flow rate, inlet gas composition, electron density, silicon loading, and other factors have been examined. The major loss path for fluorine atoms is different in CF{sub 4}/O{sub 2} and CF{sub 4}/H{sub 2} systems, and this results in significant qualitative differences in the parametric sensitivities of the two systems.

  14. Angular dependence of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma

    International Nuclear Information System (INIS)

    Lee, Jin-Kwan; Lee, Gyeo-Re; Min, Jae-Ho; Moon, Sang Heup

    2007-01-01

    The dependence of Si 3 N 4 etch rates and the etch selectivity of SiO 2 to Si 3 N 4 on ion-incident angles was studied for different bias voltages in a high-density C 4 F 8 plasma. A Faraday cage and specially designed substrate holders were used to accurately control the angles of incident ions on the substrate surface. The normalized etch yield (NEY), defined as the etch yield obtained at a given ion-incident angle normalized to that obtained on a horizontal surface, was unaffected by the bias voltage in Si 3 N 4 etching, but it increased with the bias voltage in SiO 2 etching in the range of -100 to -300 V. The NEY changed showing a maximum with an increase in the ion-incident angle in the etching of both substrates. In the Si 3 N 4 etching, a maximum NEY of 1.7 was obtained at 70 deg. in the above bias voltage range. However, an increase in the NEY at high ion-incident angles was smaller for SiO 2 than for Si 3 N 4 and, consequently, the etch selectivity of SiO 2 to Si 3 N 4 decreased with an increase in the ion-incident angle. The etch selectivity decreased to a smaller extent at high bias voltage because the NEY of SiO 2 had increased. The characteristic changes in the NEY for different substrates could be correlated with the thickness of a steady-state fluorocarbon (CF x ) film formed on the substrates

  15. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  16. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  17. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  18. The effect of CF4 addition on Ru etching with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu Tae; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    Ru thin films were etched in CF 4 /O 2 plasma using an ICP (inductively coupled plasma etching) system. The etch rate of Ru thin films was examined as a function of gas mixing ratio. The maximum etch rate of Ru thin films was 168 nm/min at a CF 4 /O 2 gas mixing ratio of 10 %. The selectivity of Ru over SiO 2 was 1.3. From the OES (optical emission spectroscopy), the optical emission intensity of the O radical had a maximum value at 10 % of CF 4 gas concentration and decrease with further addition of CF 4 gas. From XPS (x-ray photoelectron spectroscopy) analysis, Ru-F bonds by the chemical reaction of Ru and F appeared in the surface of the etched Ru thin film in CF 4 /O 2 chemistry. RuF 3-4 compounds were suggested as a surface passivation layer that reduces the chemical reactions between Ru and O radicals. In a FE-SEM (field emission scanning electron microscope) micrograph, we had an almost perpendicular taper angle of 89 .deg.

  19. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  20. Angular dependence of SiO2 etch rate at various bias voltages in a high density CHF3 plasma

    International Nuclear Information System (INIS)

    Lee, Gyeo-Re; Hwang, Sung-Wook; Min, Jae-Ho; Moon, Sang Heup

    2002-01-01

    The dependence of the SiO 2 etch rate on the angle of ions incident on the substrate surface was studied over a bias voltage range from -20 to -600 V in a high-density CHF 3 plasma using a Faraday cage to control the ion incident angle. The effect of the bottom plane on the sidewall etching was also examined. Differences in the characteristics of the etch rate as a function of the ion angle were observed for different bias voltage regions. When the absolute value of the bias voltage was smaller than 200 V, the normalized etch rate (NER) defined as the etch rate normalized by the rate on the horizontal surface, changed following a cosine curve with respect to the ion incident angle, defined as the angle between the ion direction and the normal of the substrate surface. When the magnitude of the bias voltage was larger than 200 V, the NER was deviated to higher values from those given by a cosine curve at ion angles between 30 deg. and 70 deg. , and then drastically decreased at angles higher than 70 deg. until a net deposition was observed at angles near 90 deg. . The characteristic etch-rate patterns at ion angles below 70 deg. were determined by the ion energy transferred to the surface, which affected the SiO 2 etch rate and, simultaneously, the rate of removal of a fluorocarbon polymer film formed on the substrate surface. At high ion angles, particles emitted from the bottom plane contributed to polymer formation on and affected the etching characteristics of the substrate

  1. Layer-by-layer thinning of MoSe_2 by soft and reactive plasma etching

    International Nuclear Information System (INIS)

    Sha, Yunfei; Xiao, Shaoqing; Zhang, Xiumei; Qin, Fang; Gu, Xiaofeng

    2017-01-01

    Highlights: • Soft plasma etching technique using SF_6 + N_2 as precursors for layer-by-layer thinning of MoSe_2 was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe_2 were also demonstrated. • Equal numbers of MoSe_2 layers can be removed uniformly without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe_2) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe_2 can be changed from the indirect band gap to the direct band gap when MoSe_2 changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe_2 layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe_2 nanaosheets down to monolayer by using SF_6 + N_2 plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe_2 layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. By adjusting the etching rates we can achieve complete MoSe_2 removal and any disired number of MoSe_2 layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  2. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  3. Fast Etching of Molding Compound by an Ar/O2/CF4 Plasma and Process Improvements for Semiconductor Package Decapsulation

    NARCIS (Netherlands)

    Tang, J.; Gruber, D.; Schelen, J.B.J.; Funke, H.J.; Beenakker, C.I.M.

    2012-01-01

    Decapsulation of a SOT23 semiconductor package with 23 um copper wire bonds is conducted with an especially designed microwave induced plasma system. It is found that a 30%-60% CF4 addition in the O2/CF4 etchant gas results in high molding compound etching rate. Si3N4 overetching which is

  4. Etching of uranium dioxide in nitrogen trifluoride RF plasma glow discharge

    Science.gov (United States)

    Veilleux, John Mark

    1999-10-01

    UO2 surface. Successive reactions between these products and F atoms lead to the formation of UF6. The UF 6 has a vapor pressure of 24 kPa, well above the operating pressure at the gas temperature (˜300 K) of the plasma, and, as a consequence, desorbs into the gas phase. The other Intermediate fluorides and oxyfluorides are solids and remain on the surface, eventually slowing or blocking the etch reaction as they accumulate. These results explain why when power was too low, the etch reactions completely stopped before all detectable UO2 could be fully etched. Comparison of UO2 with previously measured PuO2 etch rates showed that the removal of UO2 and PuO2were comparable and differences could be accounted for by differences in experimental conditions. The chemistry and reaction thermodynamics of UO2 have many parallels to those of PuO2, such as similar vapor pressures at room temperature (24 vs. 14 kPa) and favorable Gibbs free energy of formation of many species. (Abstract shortened by UMI.)

  5. Plasma etching of (Ba,Sr)TiO3 thin films using inductively coupled Cl2/Ar and BCl3/Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2005-01-01

    BST thin films were etched with inductively coupled plasmas. A chemically assisted physical etch of BST was experimentally confirmed by ICP under various gas mixtures. After a 20% addition of BCl 3 to the Cl 2 /Ar mixture, resulting in an increased the chemical effect. As increases of RF power and substrate power, and decrease of working pressure, the ion energy flux and chlorine atoms density increased. The maximum etch rate of the BST thin films was 90.1 nm/min, and at the RF power, substrate power, and working pressure were 700 W, 300 W, and 1.6 Pa, respectively. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products

  6. Plasma Etching for Failure Analysis of Integrated Circuit Packages

    NARCIS (Netherlands)

    Tang, J.; Schelen, J.B.J.; Beenakker, C.I.M.

    2011-01-01

    Plastic integrated circuit packages with copper wire bonds are decapsulated by a Microwave Induced Plasma system. Improvements on microwave coupling of the system are achieved by frequency tuning and antenna modification. Plasmas with a mixture of O2 and CF4 showed a high etching rate around 2

  7. Effect of Cl2- and HBr-based inductively coupled plasma etching on InP surface composition analyzed using in situ x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Bouchoule, S.; Vallier, L.; Patriarche, G.; Chevolleau, T.; Cardinaud, C.

    2012-01-01

    A Cl 2 -HBr-O 2 /Ar inductively coupled plasma (ICP) etching process has been adapted for the processing of InP-based heterostructures in a 300-mm diameter CMOS etching tool. Smooth and anisotropic InP etching is obtained at moderate etch rate (∼600 nm/min). Ex situ x-ray energy dispersive analysis of the etched sidewalls shows that the etching anisotropy is obtained through a SiO x passivation mechanism. The stoichiometry of the etched surface is analyzed in situ using angle-resolved x-ray photoelectron spectroscopy. It is observed that Cl 2 -based ICP etching results in a significantly P-rich surface. The phosphorous layer identified on the top surface is estimated to be ∼1-1.3-nm thick. On the other hand InP etching in HBr/Ar plasma results in a more stoichiometric surface. In contrast to the etched sidewalls, the etched surface is free from oxides with negligible traces of silicon. Exposure to ambient air of the samples submitted to Cl 2 -based chemistry results in the complete oxidation of the P-rich top layer. It is concluded that a post-etch treatment or a pure HBr plasma step may be necessary after Cl 2 -based ICP etching for the recovery of the InP material.

  8. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  9. Dry etching of ferroelectric Bi4-xEuxTi3O12 (BET) thin films

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    Bi 4-x Eu x Ti 3 O 12 (BET) thin films were etched by using a inductively coupled Cl 2 /Ar plasma. We obtained a maximum etch rate of 69 nm/min at a gas mixing ratio of Cl 2 (20 %)/Ar (80 %). This result suggests that an effective method for BET etching is chemically assisted physical etching. With increasing coil RF power, the plasma density increases so that the increased reactive free radicals and ions enhance the etch rates of BET, Pt, and SiO 2 . As the dc-bias voltage is increased, the increased ion energy leads to an increased etch rate of BET films. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O, and the Ti-O peaks change with increasing Cl 2 concentration. For a pure Ar plasma, the peak associated with the oxygen-metal (O-M: TiO 2 , Bi 2 O 3 , Eu 2 O 3 ) bond seems to disappear while the pure oxygen peak does not appear. After the BET thin films is etched by using a Cl 2 /Ar plasma, the peak associated with the O-M bond increases slowly, but more quickly than the peak associated with pure oxygen atoms, due to a decrease in the Ar-ion bombardment. These results seem to indicate that Bi and Eu react little with Cl atoms and are removed predominantly by argon-ion bombardment. Also, Ti reacts little with Cl radicals and is mainly removed by chemically assisted physical etching.

  10. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    International Nuclear Information System (INIS)

    Upadhyay, J.; Im, Do; Popović, S.; Vušković, L.; Valente-Feliciano, A.-M.; Phillips, L.

    2015-01-01

    The understanding of the Ar/Cl 2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl 2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. To understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate

  11. Oxygen and nitrogen plasma etching of three-dimensional hydroxyapatite/chitosan scaffolds fabricated by additive manufacturing

    Science.gov (United States)

    Myung, Sung-Woon; Kim, Byung-Hoon

    2016-01-01

    Three-dimensional (3D) chitosan and hydroxyapatite (HAp)/chitosan (CH) scaffolds were fabricated by additive manufacturing, then their surfaces were etched with oxygen (O2) and nitrogen (N2) plasma. O2 and N2 plasma etching was performed to increase surface properties such as hydrophilicity, roughness, and surface chemistry on the scaffolds. After etching, hydroxyapatite was exposed on the surface of 3D HAp/CH scaffolds. The surface morphology and chemical properties were characterized by contact angle measurement, scanning electron microscopy, X-ray diffraction, and attenuated total reflection Fourier infrared spectroscopy. The cell viability of 3D chitosan scaffolds was examined by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay. The differentiation of preosteoblast cells was evaluated by alkaline phosphatase assay. The cell viability was improved by O2 and N2 plasma etching of 3D chitosan scaffolds. The present fabrication process for 3D scaffolds might be applied to a potential tool for preparing biocompatible scaffolds.

  12. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  13. Fluorocarbon assisted atomic layer etching of SiO{sub 2} and Si using cyclic Ar/C{sub 4}F{sub 8} and Ar/CHF{sub 3} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Engelmann, Sebastian; Bruce, Robert L.; Joseph, Eric A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2016-01-15

    The need for atomic layer etching (ALE) is steadily increasing as smaller critical dimensions and pitches are required in device patterning. A flux-control based cyclic Ar/C{sub 4}F{sub 8} ALE based on steady-state Ar plasma in conjunction with periodic, precise C{sub 4}F{sub 8} injection and synchronized plasma-based low energy Ar{sup +} ion bombardment has been established for SiO{sub 2} [Metzler et al., J. Vac. Sci. Technol. A 32, 020603 (2014)]. In this work, the cyclic process is further characterized and extended to ALE of silicon under similar process conditions. The use of CHF{sub 3} as a precursor is examined and compared to C{sub 4}F{sub 8}. CHF{sub 3} is shown to enable selective SiO{sub 2}/Si etching using a fluorocarbon (FC) film build up. Other critical process parameters investigated are the FC film thickness deposited per cycle, the ion energy, and the etch step length. Etching behavior and mechanisms are studied using in situ real time ellipsometry and x-ray photoelectron spectroscopy. Silicon ALE shows less self-limitation than silicon oxide due to higher physical sputtering rates for the maximum ion energies used in this work, ranged from 20 to 30 eV. The surface chemistry is found to contain fluorinated silicon oxide during the etching of silicon. Plasma parameters during ALE are studied using a Langmuir probe and establish the impact of precursor addition on plasma properties.

  14. Etch characteristics of (Pb,Sr)TiO3 thin films using CF4/Ar inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    The investigations of the (Pb,Sr)TiO 3 (PST) etching characteristics in CF 4 /Ar plasma were carried out using the inductively coupled plasma system. Experiments showed that an increase of the Ar mixing ratio under constant pressure and input power conditions leads to increasing etch rate of PST, which reaches a maximum of 740 A/min when the Ar is 80% of the gas mixture. To understand the etching mechanism, the surface state of the etched PST samples was investigated using x-ray photoelectron spectroscopy. It was found that Pb and Ti atoms were removed mainly by the ion-assisted etching mechanism. At the same time, Sr forms extremely low volatile fluorides and therefore can be removed only by physical (sputter) etching

  15. High-throughput anisotropic plasma etching of polyimide for MEMS

    International Nuclear Information System (INIS)

    Bliznetsov, Vladimir; Manickam, Anbumalar; Ranganathan, Nagarajan; Chen, Junwei

    2011-01-01

    This note describes a new high-throughput process of polyimide etching for the fabrication of MEMS devices with an organic sacrificial layer approach. Using dual frequency superimposed capacitively coupled plasma we achieved a vertical profile of polyimide with an etching rate as high as 3.5 µm min −1 . After the fabrication of vertical structures in a polyimide material, additional steps were performed to fabricate structural elements of MEMS by deposition of a SiO 2 layer and performing release etching of polyimide. (technical note)

  16. Effect of oxygen plasma etching on pore size-controlled 3D polycaprolactone scaffolds for enhancing the early new bone formation in rabbit calvaria.

    Science.gov (United States)

    Kook, Min-Suk; Roh, Hee-Sang; Kim, Byung-Hoon

    2018-05-02

    This study was to investigate the effects of O 2 plasma-etching of the 3D polycaprolactone (PCL) scaffold surface on preosteoblast cell proliferation and differentiation, and early new bone formation. The PCL scaffolds were fabricated by 3D printing technique. After O 2 plasma treatment, surface characterizations were examined by scanning electron microscopy, atomic force microscopy, and contact angle. MTT assay was used to determine cell proliferation. To investigate the early new bone formation, rabbits were sacrificed at 2 weeks for histological analyses. As the O 2 plasma etching time is increased, roughness and hydrophilicity of the PCL scaffold surface increased. The cell proliferation and differentiation on plasma-etched samples was significantly increased than on untreated samples. At 2 weeks, early new bone formation in O 2 plasma-etched PCL scaffolds was the higher than that of untreated scaffolds. The O 2 plasma-etched PCL scaffolds showed increased preosteoblast differentiation as well as increased new bone formation.

  17. Etching of UO2 in NF3 RF Plasma Glow Discharge

    International Nuclear Information System (INIS)

    John M. Veilleux

    1999-01-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO 2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO 2 from stainless steel substrates. Experiments were conducted using NF 3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Depleted UO 2 samples each containing 129.4 Bq were prepared from 100 microliter solutions of uranyl nitrate hexahydrate solution. The amorphous UO 2 in the samples had a relatively low density of 4.8 gm/cm 3 . Counting of the depleted UO 2 on the substrate following plasma immersion was performed using liquid scintillation counting with alpha/beta discrimination due to the presence of confounding beta emitting daughter products, 234 Th and 234 Pa. The alpha emission peak from each sample was integrated using a gaussian and first order polynomial fit to improve quantification. The uncertainties in the experimental measurement of the etched material were estimated at about ± 2%. Results demonstrated that UO 2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO 2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 microm/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO 2 etching was also noted below 50 W in which etching increased up to a maximum pressure, approximately23 Pa, then decreased with further increases in pressure

  18. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  19. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  20. Layer-by-layer thinning of MoSe{sub 2} by soft and reactive plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Sha, Yunfei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Xiao, Shaoqing, E-mail: larring0078@hotmail.com [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Zhang, Xiumei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Qin, Fang [Analysis & Testing Center, Jiangnan University, Wuxi 214122 (China); Gu, Xiaofeng, E-mail: xfgu@jiangnan.edu.cn [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China)

    2017-07-31

    Highlights: • Soft plasma etching technique using SF{sub 6} + N{sub 2} as precursors for layer-by-layer thinning of MoSe{sub 2} was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe{sub 2} were also demonstrated. • Equal numbers of MoSe{sub 2} layers can be removed uniformly without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe{sub 2}) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe{sub 2} can be changed from the indirect band gap to the direct band gap when MoSe{sub 2} changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe{sub 2} layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe{sub 2} nanaosheets down to monolayer by using SF{sub 6} + N{sub 2} plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe{sub 2} layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. By adjusting the etching rates we can achieve complete MoSe{sub 2} removal and any disired number of MoSe{sub 2} layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  1. A study on decontamination of TRU, Co, and Mo using plasma surface etching technique

    International Nuclear Information System (INIS)

    Seo, Y.D.; Kim, Y.S.; Paek, S.H.; Lee, K.H.; Jung, C.H.; Oh, W.Z.

    2001-01-01

    Recently dry decontamination/surface-cleaning technology using plasma etching has been focused in the nuclear industry. In this study, the applicability and the effectiveness of this new dry processing technique are experimentally investigated by examining the etching reaction of UO 2 , Co, and Mo in r.f. plasma with the etchant gas of CF 4 /O 2 mixture. UO 2 is chosen as a representing material for uranium and TRU (TRans-Uranic) compounds and metallic Co and Mo are selected because they are the principal contaminants in the spent nuclear components such as valves and pipes made of stainless steel or INCONEL. Results show that in all cases maximum etching rate is achieved when the mole fraction of O 2 to CF 4 /O 2 mixture gas is 20 %, regardless of temperature and r.f. power. (author)

  2. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  3. A preliminary study on the etching behavior of SiO sub 2 aerogel film with CHF sub 3 gas

    CERN Document Server

    Wang, S J; Yeom, G Y

    1998-01-01

    Etching behavior of SiO sub 2 aerogel film has been investigated in order to examine the feasibility of its application to an interlevel dielectric material. Low dielectric property of SiO sub 2 aerogel film is simply originated from its highly porous structure, but interconnected particles are covered with surface chemical bondings (-OH, -OC sub 2 H sub 5 , etc). Etching experiments have been performed with high density inductively coupled CHF sub 3 plasma. The effects of porous structure and surface chemical bondings on the etching of SiO sub 2 aerogel film have been analyzed. The changes of surface morphology were observed using scanning electron microscopy. X-ray photoelectron spectroscopic analyses revealed compositions and chemical bonding states of reaction layer. From the analyses, 3-dimensional etching was not feasible macroscopically in SiO sub 2 aerogel film even with its porous nature because network structure was maintained through the etching process. Internal surface chemicals seemed to act an ...

  4. Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Lee, Gyeo-Re; Lee, Jin-Kwan; Moon, Sang Heup; Kim, Chang-Koo

    2004-01-01

    The dependences of etch rates on the angle of ions incident on the substrate surface in four plasma/substrate systems that constitute the advanced Bosch process were investigated using a Faraday cage designed for the accurate control of the ion-incident angle. The four systems, established by combining discharge gases and substrates, were a SF 6 /poly-Si, a SF 6 /fluorocarbon polymer, an O 2 /fluorocarbon polymer, and a C 4 F 8 /Si. In the case of SF 6 /poly-Si, the normalized etch rates (NERs), defined as the etch rates normalized by the rate on the horizontal surface, were higher at all angles than values predicted from the cosine of the ion-incident angle. This characteristic curve shape was independent of changes in process variables including the source power and bias voltage. Contrary to the earlier case, the NERs for the O 2 /polymer decreased and eventually reached much lower values than the cosine values at angles between 30 deg. and 70 deg. when the source power was increased and the bias voltage was decreased. On the other hand, the NERs for the SF 6 /polymer showed a weak dependence on the process variables. In the case of C 4 F 8 /Si, which is used in the Bosch process for depositing a fluorocarbon layer on the substrate surface, the deposition rate varied with the ion incident angle, showing an S-shaped curve. These characteristic deposition rate curves, which were highly dependent on the process conditions, could be divided into four distinct regions: a Si sputtering region, an ion-suppressed polymer deposition region, an ion-enhanced polymer deposition region, and an ion-free polymer deposition region. Based on the earlier characteristic angular dependences of the etch (or deposition) rates in the individual systems, ideal process conditions for obtaining an anisotropic etch profile in the advanced Bosch process are proposed

  5. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    Energy Technology Data Exchange (ETDEWEB)

    Upadhyay, Janardan [Old Dominion Univ., Norfolk, VA (United States); Im, Do [Old Dominion Univ., Norfolk, VA (United States); Popovic, Svetozar [Old Dominion Univ., Norfolk, VA (United States); Valente-Feliciano, Anne -Marie [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Phillips, H. Larry [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Vuskovic, Leposova [Old Dominion Univ., Norfolk, VA (United States)

    2015-03-18

    The understanding of the Ar/Cl2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. Furthermore, to understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate.

  6. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  8. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  9. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  10. Etching properties of BLT films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    CF 4 /Ar plasma mass content and etching rate behavior of BLT thin films were investigated in inductively coupled plasma (ICP) reactor as functions of CF 4 /Ar gas mixing ratio, rf power, and dc bias voltage. The variation of relative volume densities for F and Ar atoms were measured by the optical emission spectroscopy (OES). The etching rate as functions of Ar content showed the maximum of 803 A/min at 80 % Ar addition into CF 4 plasma. The presence of maximum etch rate may be explained by the concurrence of two etching mechanisms such as physical sputtering and chemical reaction. The role of Ar ion bombardment includes destruction of metal (Bi, La, Ti)-O bonds as well as support of chemical reaction of metals with fluorine atoms

  11. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    Science.gov (United States)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  12. Room temperature inductively coupled plasma etching of InAs/InSb in BCl 3/Cl 2/Ar

    KAUST Repository

    Sun, Jian; Kosel, Jü rgen

    2012-01-01

    Inductively coupled plasma (ICP) etching of InAs and InSb at room temperature has been investigated using BCl 3/Cl 2/Ar plasma. Specifically, the etch rate and post-etching surface morphology were investigated as functions of the gas composition

  13. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    International Nuclear Information System (INIS)

    Upadhyay, Janardan; Phillips, Larry; Valente, Anne-Marie

    2011-01-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  14. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    Energy Technology Data Exchange (ETDEWEB)

    Janardan Upadhyay, Larry Phillips, Anne-Marie Valente

    2011-09-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  15. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  16. Prediction of plasma-induced damage distribution during silicon nitride etching using advanced three-dimensional voxel model

    Energy Technology Data Exchange (ETDEWEB)

    Kuboi, Nobuyuki, E-mail: Nobuyuki.Kuboi@jp.sony.com; Tatsumi, Tetsuya; Kinoshita, Takashi; Shigetoshi, Takushi; Fukasawa, Masanaga; Komachi, Jun; Ansai, Hisahiro [Device and Material Research Group, RDS Platform, Sony Corporation, 4-14-1 Asahi-cho, Atsugi, Kanagawa 243-0014 (Japan)

    2015-11-15

    The authors modeled SiN film etching with hydrofluorocarbon (CH{sub x}F{sub y}/Ar/O{sub 2}) plasma considering physical (ion bombardment) and chemical reactions in detail, including the reactivity of radicals (C, F, O, N, and H), the area ratio of Si dangling bonds, the outflux of N and H, the dependence of the H/N ratio on the polymer layer, and generation of by-products (HCN, C{sub 2}N{sub 2}, NH, HF, OH, and CH, in addition to CO, CF{sub 2}, SiF{sub 2}, and SiF{sub 4}) as ion assistance process parameters for the first time. The model was consistent with the measured C-F polymer layer thickness, etch rate, and selectivity dependence on process variation for SiN, SiO{sub 2}, and Si film etching. To analyze the three-dimensional (3D) damage distribution affected by the etched profile, the authors developed an advanced 3D voxel model that can predict the time-evolution of the etched profile and damage distribution. The model includes some new concepts for gas transportation in the pattern using a fluid model and the property of voxels called “smart voxels,” which contain details of the history of the etching situation. Using this 3D model, the authors demonstrated metal–oxide–semiconductor field-effect transistor SiN side-wall etching that consisted of the main-etch step with CF{sub 4}/Ar/O{sub 2} plasma and an over-etch step with CH{sub 3}F/Ar/O{sub 2} plasma under the assumption of a realistic process and pattern size. A large amount of Si damage induced by irradiated hydrogen occurred in the source/drain region, a Si recess depth of 5 nm was generated, and the dislocated Si was distributed in a 10 nm deeper region than the Si recess, which was consistent with experimental data for a capacitively coupled plasma. An especially large amount of Si damage was also found at the bottom edge region of the metal–oxide–semiconductor field-effect transistors. Furthermore, our simulation results for bulk fin-type field-effect transistor side-wall etching

  17. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  18. Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures

    NARCIS (Netherlands)

    de Boer, Meint J.; Gardeniers, Johannes G.E.; Jansen, Henricus V.; Gilde, M.J.; Roelofs, Gerard; Sasserath, Jay N.; Elwenspoek, Michael Curt

    This paper presents guidelines for the deep reactive ion etching (DRIE) of silicon MEMS structures, employing SF6/O2-based high-density plasmas at cryogenic temperatures. Procedures of how to tune the equipment for optimal results with respect to etch rate and profile control are described. Profile

  19. Highly selective etching of silicon nitride to physical-vapor-deposited a-C mask in dual-frequency capacitively coupled CH2F2/H2 plasmas

    International Nuclear Information System (INIS)

    Kim, J. S.; Kwon, B. S.; Heo, W.; Jung, C. R.; Park, J. S.; Shon, J. W.; Lee, N.-E.

    2010-01-01

    A multilevel resist (MLR) structure can be fabricated based on a very thin amorphous carbon (a-C) layer ( congruent with 80 nm) and Si 3 N 4 hard-mask layer ( congruent with 300 nm). The authors investigated the selective etching of the Si 3 N 4 layer using a physical-vapor-deposited (PVD) a-C mask in a dual-frequency superimposed capacitively coupled plasma etcher by varying the process parameters in the CH 2 F 2 /H 2 /Ar plasmas, viz., the etch gas flow ratio, high-frequency source power (P HF ), and low-frequency source power (P LF ). They found that under certain etch conditions they obtain infinitely high etch selectivities of the Si 3 N 4 layers to the PVD a-C on both the blanket and patterned wafers. The etch gas flow ratio played a critical role in determining the process window for infinitely high Si 3 N 4 /PVD a-C etch selectivity because of the change in the degree of polymerization. The etch results of a patterned ArF photoresisit/bottom antireflective coating/SiO x /PVD a-C/Si 3 N 4 MLR structure supported the idea of using a very thin PVD a-C layer as an etch-mask layer for the Si 3 N 4 hard-mask pattern with a pattern width of congruent with 80 nm and high aspect ratio of congruent with 5.

  20. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  1. The effect of SF6 addition in a Cl2/Ar inductively coupled plasma for deep titanium etching

    Science.gov (United States)

    Laudrel, E.; Tillocher, T.; Meric, Y.; Lefaucheux, P.; Boutaud, B.; Dussart, R.

    2018-05-01

    Titanium is a material of interest for the biomedical field and more particularly for body implantable devices. Titanium deep etching by plasma was carried out in an inductively coupled plasma with a chlorine-based chemistry for the fabrication of titanium-based microdevices. Bulk titanium etch rate was first studied in Cl2/Ar plasma mixture versus the source power and the self-bias voltage. The plasma was characterized by Langmuir probe and by optical emission spectroscopy. The addition of SF6 in the plasma mixture was investigated. Titanium etch rate was optimized and reached a value of 2.4 µm · min-1. The nickel hard mask selectivity was also enhanced. The etched titanium surface roughness was reduced significantly.

  2. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  3. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  4. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  5. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  6. High temperature reactive ion etching of iridium thin films with aluminum mask in CF4/O2/Ar plasma

    Directory of Open Access Journals (Sweden)

    Chia-Pin Yeh

    2016-08-01

    Full Text Available Reactive ion etching (RIE technology for iridium with CF4/O2/Ar gas mixtures and aluminum mask at high temperatures up to 350 °C was developed. The influence of various process parameters such as gas mixing ratio and substrate temperature on the etch rate was studied in order to find optimal process conditions. The surface of the samples after etching was found to be clean under SEM inspection. It was also shown that the etch rate of iridium could be enhanced at higher process temperature and, at the same time, very high etching selectivity between aluminum etching mask and iridium could be achieved.

  7. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  8. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  9. Effects of 3D microlens transfer into fused silica substrate by CF{sub 4}/O{sub 2} dry etching

    Energy Technology Data Exchange (ETDEWEB)

    Grigaliūnas, Viktoras, E-mail: Viktoras.Grigaliunas@ktu.lt [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania); Jucius, Dalius; Lazauskas, Algirdas; Andrulevičius, Mindaugas; Sakaliūnienė, Jolita; Abakevičienė, Brigita; Kopustinskas, Vitoldas [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania); Smetona, Saulius [Qorvo, 7628 Thorndike Road Greensboro, NC 27409 United States (United States); Tamulevičius, Sigitas [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania)

    2017-01-30

    Highlights: • The etching rate of PMMA is dependent on the plasma etching time. • The etching rate ratio between PMMA and fused silica vary during plasma treatment. • The etching rate ratio variation must be assessed during the microlens design phase. - Abstract: Nowadays, 3D microoptical elements find a variety of applications from light emitting diodes and household appliances to precise medical endoscopes. Such elements, fabricated in a fused silica substrate by combining 3D e-beam patterning and dry etching, can be used as a mold for the high throughput replication in polymeric materials by UV nanoimprint technique. Flexible and precise control of 3D shape in the resist layer can be achieved by e-beam patterning, but it is also very important to know peculiarities of 3D pattern transfer from resist layer into the fused silica substrate. This paper reports on the effects of PMMA 3D microlens pattern transfer into fused silica substrate by CF{sub 4}/O{sub 2} dry etching. It is demonstrated that etching rate ratio between PMMA and fused silica changes during plasma treatment. Thus, the resulting shape of transferred 3D profile is different from the shape in PMMA and this variation must be assessed during the design phase.

  10. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to SiO

  11. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  12. CoSix contact resistance after etching and ashing plasma exposure

    International Nuclear Information System (INIS)

    Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya

    2009-01-01

    The authors investigated the contact resistance fluctuation caused by CoSi x damage in plasma etching and ashing processes. They found that CoSi x layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH 3 F is used instead of CF 4 during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H 2 /N 2 ashing process in which O 2 was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi x . This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.

  13. Magnetically enhanced triode etching of large area silicon membranes in a molecular bromine plasma

    International Nuclear Information System (INIS)

    Wolfe, J.C.; Sen, S.; Pendharkar, S.V.; Mauger, P.; Shimkunas, A.R.

    1992-01-01

    The optimization of a process for etching 125 mm silicon membranes formed on 150 mm wafers and bonded to Pyrex rings is discussed. A magnetically enhanced triode etching system was designed to provide an intense, remote plasma surrounding the membrane while, at the same time, suppressing the discharge over the membrane itself. For the optimized molecular bromine process, the silicon etch rate is 40 nm/min and the selectivity relative to SiO 2 is 160:1. 14 refs., 6 figs

  14. Texture-Etched SnO2 Glasses Applied to Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Bing-Rui Wu

    2014-01-01

    Full Text Available Transparent electrodes of tin dioxide (SnO2 on glasses were further wet-etched in the diluted HCl:Cr solution to obtain larger surface roughness and better light-scattering characteristic for thin-film solar cell applications. The process parameters in terms of HCl/Cr mixture ratio, etching temperature, and etching time have been investigated. After etching process, the surface roughness, transmission haze, and sheet resistance of SnO2 glasses were measured. It was found that the etching rate was increased with the additions in etchant concentration of Cr and etching temperature. The optimum texture-etching parameters were 0.15 wt.% Cr in 49% HCl, temperature of 90°C, and time of 30 sec. Moreover, silicon thin-film solar cells with the p-i-n structure were fabricated on the textured SnO2 glasses using hot-wire chemical vapor deposition. By optimizing the texture-etching process, the cell efficiency was increased from 4.04% to 4.39%, resulting from the increment of short-circuit current density from 14.14 to 15.58 mA/cm2. This improvement in cell performances can be ascribed to the light-scattering effect induced by surface texturization of SnO2.

  15. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  16. Etching of UO2 in NF3 RF Plasma Glow Discharge

    Energy Technology Data Exchange (ETDEWEB)

    Veilleux, John M. [Univ. of California, Berkeley, CA (United States)

    1999-08-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO2 from stainless steel substrates. Experiments were conducted using NF3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Depleted UO2 samples each containing 129.4 Bq were prepared from 100 microliter solutions of uranyl nitrate hexahydrate solution. The amorphous UO2 in the samples had a relatively low density of 4.8 gm/cm3. Counting of the depleted UO2 on the substrate following plasma immersion was performed using liquid scintillation counting with alpha/beta discrimination due to the presence of confounding beta emitting daughter products, 234Th and 234Pa. The alpha emission peak from each sample was integrated using a gaussian and first order polynomial fit to improve quantification. The uncertainties in the experimental measurement of the etched material were estimated at about ± 2%. Results demonstrated that UO2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 μm/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO2 etching was also noted below 50 W in which etching increased up to a maximum pressure, ~23 Pa, then decreased with further increases in pressure.

  17. Anisotropic etching of silicon for application in micro machine using plasma of SF6/CH4/O2/Ar and SF6/CF4/O2/Ar

    International Nuclear Information System (INIS)

    Reyes B, C.; Moshkalyov, S.A.; Swart, J.W.

    2004-01-01

    We investigated the reactive ion etching of silicon using SF 6 /CH 4 (CF 4 )/O 2 /Ar gas mixtures containing fluorine for MEMS applications. Etch rates and anisotropy of etch profiles were examined as a function of gas composition, material of electrode, and RF power. Etch depths were measured using a profilometers, and etch profiles were analyzed by scanning electron microscope. As a mask material, an aluminium film deposited by evaporation, was used. High anisotropy of etching of 0.95 was achieved at etch depths up to 20-30 micrometers and etch rates of approximately 0.3-0.6 μm/min. Highly anisotropic etching is based on a mechanism that enhance the ion bombarding and protects the sidewalls due to polymerization and/or oxidation mechanisms in order to avoid the lateral etch. However, under the anisotropic etching conditions, considerable damages of the etched surfaces (roughness formation), were observed. After etching experiments, wet / dry cleaning procedures were applied to remove surface residues resulting from the reactive ion etching and to improve the etched surface morphology. (Author)

  18. Inductively Coupled Plasma-Induced Etch Damage of GaN p-n Junctions

    International Nuclear Information System (INIS)

    SHUL, RANDY J.; ZHANG, LEI; BACA, ALBERT G.; WILLISON, CHRISTI LEE; HAN, JUNG; PEARTON, S.J.; REN, F.

    1999-01-01

    Plasma-induced etch damage can degrade the electrical and optical performance of III-V nitride electronic and photonic devices. We have investigated the etch-induced damage of an Inductively Coupled Plasma (ICP) etch system on the electrical performance of mesa-isolated GaN pn-junction diodes. GaN p-i-n mesa diodes were formed by Cl 2 /BCl 3 /Ar ICP etching under different plasma conditions. The reverse leakage current in the mesa diodes showed a strong relationship to chamber pressure, ion energy, and plasma flux. Plasma induced damage was minimized at moderate flux conditions (≤ 500 W), pressures ≥2 mTorr, and at ion energies below approximately -275 V

  19. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  20. Fluorocarbon polymer formation, characterization, and reduction in polycrystalline-silicon etching with CF4-added plasma

    International Nuclear Information System (INIS)

    Xu Songlin; Sun Zhiwen; Chen Arthur; Qian Xueyu; Podlesnik, Dragan

    2001-01-01

    Addition of CF 4 into HBr-based plasma for polycrystalline-silicon gate etching reduces the deposition of an etch byproduct, silicon oxide, onto the chamber wall but tends to generate organic polymer. In this work, a detailed study has been carried out to analyze the mechanism of polymerization and to characterize the polymer composition and quantity. The study has shown that the polymer formation is due to the F-radical depletion by H atoms dissociated from HBr. The composition of the polymer changes significantly with CF 4 concentration in the gas feed, and the polymer deposition rate depends on CF 4 % and other process conditions such as source power, bias power, and pressure. Surface temperature also affects the polymer deposition rate. Adding O 2 into the plasma can clean the organic polymer, but the O 2 amount has to be well controlled in order to prevent the formation of silicon oxide. Based on a series of tests to evaluate polymer deposition and oxide cleaning with O 2 addition, an optimized process regime in terms of O 2 -to-CF 4 ratio has been identified to simultaneously suppress the polymer and oxide deposition so that the etch process becomes self-cleaning

  1. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  2. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    Science.gov (United States)

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  3. Plasma Etching of superconducting radio frequency cavity by Ar/Cl2 capacitively coupled Plasma

    Science.gov (United States)

    Upadhyay, Janardan; Popovic, Svetozar; Valente-Feliciano, Anne-Marie; Phillips, Larry; Vuskovic, Lepsha

    2016-09-01

    We are developing plasma processing technology of superconducting radio frequency (SRF) cavities. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the asymmetry was studied by changing the contour of the inner electrode. The optimized contour of the electrode based on these measurements was chosen for SRF cavity processing. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity is used, which previously mechanically polished, buffer chemically etched afterwards and rf tested at cryogenic temperatures for a baseline test. Plasma processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise manner to establish segmented plasma processing. The cavity is rf tested afterwards at cryogenic temperatures. The rf test and surface condition results are presented.

  4. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  5. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  6. High electronegativity multi-dipolar electron cyclotron resonance plasma source for etching by negative ions

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, M.

    2012-01-01

    A large area plasma source based on 12 multi-dipolar ECR plasma cells arranged in a 3 x 4 matrix configuration was built and optimized for silicon etching by negative ions. The density ratio of negative ions to electrons has exceeded 300 in Ar/SF6 gas mixture when a magnetic filter was used...... to reduce the electron temperature to about 1.2 eV. Mass spectrometry and electrostatic probe were used for plasma diagnostics. The new source is free of density jumps and instabilities and shows a very good stability for plasma potential, and the dominant negative ion species is F-. The magnetic field...... in plasma volume is negligible and there is no contamination by filaments. The etching rate by negative ions measured in Ar/SF6/O-2 mixtures was almost similar with that by positive ions reaching 700 nm/min. (C) 2012 American Institute of Physics...

  7. Effects of temperature on the etching properties of Bi4-xLaxTi3O12 thin films

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Koo, Seong-Mo; Kim, Chang-Il

    2004-01-01

    The etching properties of Bi 4-x La x Ti 3 O 12 (BLT) films etched in an inductively coupled Ar/Cl 2 plasma were investigated in terms of the gas mixing ratio, the rf power, and the substrate temperature. We obtained a high etch rate of 433 A/min at 30 .deg. C and 344 A/min at 80 .deg. C in Ar (15 sccm)/Cl 2 (15 sccm). As the rf power was increased, the ion current density increased, resulting in an increase in the etch rate. To understand the etch mechanism of BLT in a Cl 2 /Ar plasma, we performed the plasma diagnostics using a Langmuir probe (LP). The LP measurement indicated that the maximum ion density decreased with Cl 2 addition, but increased with the rf power. X-ray photoelectron spectroscopy (XPS) narrow scan analysis showed that La-chlorides remained on the etched surface and that the high accumulation of nonvolatile etch byproducts increased at high substrate temperatures. The analysis of surface reactions and the plasma diagnostics in the frameworks of an ion-assisted etching mechanism confirmed the possibility of non-monotonic etch rate behavior due to the concurrence of physical sputtering and chemical etching activated by ion bombardment.

  8. Room temperature inductively coupled plasma etching of InAs/InSb in BCl 3/Cl 2/Ar

    KAUST Repository

    Sun, Jian

    2012-10-01

    Inductively coupled plasma (ICP) etching of InAs and InSb at room temperature has been investigated using BCl 3/Cl 2/Ar plasma. Specifically, the etch rate and post-etching surface morphology were investigated as functions of the gas composition, ICP power, process pressure, and RF chuck power. An optimized process has been developed, yielding anisotropic etching and very smooth surfaces with roughnesses of 0.25 nm for InAs, and 0.57 nm for InSb, which is comparable with the surface of epi-ready polished wafers. The process provides moderate etching rates of 820 /min for InAs and 2800 /min for InSb, and the micro-masking effect is largely avoided. © 2012 Elsevier B.V. All rights reserved.

  9. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments

    International Nuclear Information System (INIS)

    Luna, Lunet E; Tadjer, Marko J; Anderson, Travis J; Imhoff, Eugene A; Hobart, Karl D; Kub, Fritz J

    2017-01-01

    Cycles of inductively coupled SF 6 /O 2 plasma with low (9%) and high (90%) oxygen content etch segments are used to produce up to 46.6 µ m-deep trenches with 5.5 µ m-wide openings in single-crystalline 4H-SiC substrates. The low oxygen content segment serves to etch deep in SiC whereas the high oxygen content segment serves to etch SiC at a slower rate, targeting carbon-rich residues on the surface as the combination of carbon-rich and fluorinated residues impact sidewall profile. The cycles work in concert to etch past 30 µ m at an etch rate of ∼0.26 µ m min −1 near room temperature, while maintaining close to vertical sidewalls, high aspect ratio, and high mask selectivity. In addition, power ramps during the low oxygen content segment is used to produce a 1:1 ratio of mask opening to trench bottom width. The effect of process parameters such as cycle time and backside substrate cooling on etch depth and micromasking of the electroplated nickel etch mask are investigated. (paper)

  10. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  11. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication

    Science.gov (United States)

    Zhao, Yiping; Jansen, Henri; de Boer, Meint; Berenschot, Erwin; Bouwes, Dominique; Gironès, Miriam; Huskens, Jurriaan; Tas, Niels

    2010-09-01

    Edge lithography in combination with fluorine-based plasma etching is employed to avoid the dependence on crystal orientation in single crystal silicon to create monolithic nanoridges with arbitrary contours. This is demonstrated by using a mask with circular structures and Si etching at cryogenic temperature with SF6+O2 plasma mixtures. Initially, the explored etch recipe was used with Cr as the masking material. Although nanoridges with perfect vertical sidewalls have been achieved, Cr causes severe sidewall roughness due to line edge roughness. Therefore, an SU-8 polymer is used instead. Although the SU-8 pattern definition needs further improvement, we demonstrate the possibility of fabricating Si nanoridges of arbitrary contours providing a width below 50 nm and a height between 25 and 500 nm with smooth surface finish. Artifacts in the ridge profile are observed and are mainly caused by the bird's beak phenomenon which is characteristic for the used LOCOS process.

  12. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  13. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  14. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  15. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  16. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  17. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  18. Surface reactions during low-k etching using H2/N2 plasma

    International Nuclear Information System (INIS)

    Fukasawa, Masanaga; Tatsumi, Tetsuya; Oshima, Keiji; Nagahata, Kazunori; Uchida, Saburo; Takashima, Seigo; Hori, Masaru; Kamide, Yukihiro

    2008-01-01

    We investigated the relationship between the hard mask faceting that occurs during organic low-k etching and the ion energy distribution function of a capacitively coupled plasma reactor. We minimized the hard mask faceting by precisely controlling the ion energy. This precise control was obtained by selecting the optimum bottom frequency and bias power. We measured the amount of damage done to a SiOCH film exposed to H 2 /N 2 plasma in order to find the H 2 /N 2 ratio at which the plasma caused the least damage. The amount of moisture uptake by the damaged SiOCH film is the dominant factor controlling the dielectric constant increase (Δk). To suppress Δk, the incident ion species and ion energies have to be precisely controlled. This reduces the number of adsorption sites in the bulk SiOCH and maintains the hydrophobic surface that suppresses water permeation during air exposure

  19. Roughness generation during Si etching in Cl{sub 2} pulsed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Mourey, Odile; Petit-Etienne, Camille; Cunge, Gilles, E-mail: gilles.cunge@cea.fr; Darnon, Maxime; Despiau-Pujo, Emilie; Brichon, Paulin; Lattu-Romain, Eddy; Pons, Michel; Joubert, Olivier [Univ. Grenoble Alpes, CNRS, CEA-Leti Minatec, LTM, F-38054 Grenoble Cedex (France)

    2016-07-15

    Pulsed plasmas are promising candidates to go beyond limitations of continuous waves' plasma. However, their interaction with surfaces remains poorly understood. The authors investigated the silicon etching mechanism in inductively coupled plasma (ICP) Cl{sub 2} operated either in an ICP-pulsed mode or in a bias-pulsed mode (in which only the bias power is pulsed). The authors observed systematically the development of an important surface roughness at a low duty cycle. By using plasma diagnostics, they show that the roughness is correlated to an anomalously large (Cl atoms flux)/(energetic ion flux) ratio in the pulsed mode. The rational is that the Cl atom flux is not modulated on the timescale of the plasma pulses although the ion fluxes and energy are modulated. As a result, a very strong surface chlorination occurs during the OFF period when the surface is not exposed to energetic ions. Therefore, each energetic ion in the ON period will bombard a heavily chlorinated silicon surface, leading to anomalously high etching yield. In the ICP pulsed mode (in which the ion energy is high), the authors report yields as high as 40, which mean that each individual ion impacts will generate a “crater” of about 2 nm depth at the surface. Since the ion flux is very small in the pulsed ICP mode, this process is stochastic and is responsible for the roughness initiation. The roughness expansion can then be attributed partly to the ion channeling effect and is probably enhanced by the formation of a SiClx reactive layer with nonhomogeneous thickness over the topography of the surface. This phenomenon could be a serious limitation of pulsed plasma processes.

  20. Ga+ focused-ion-beam implantation-induced masking for H2 etching of ZnO films

    International Nuclear Information System (INIS)

    Fang, Hsin-Chiao; Huang, Jun-Han; Chu, Wen-Huei; Liu, Chuan-Pu

    2010-01-01

    Gallium implantation of ZnO by a focused-ion beam is used to create a mask for ZnO dry etching with hydrogen. Effects of Ga + fluence on the etch stop properties and the associated mechanisms are investigated. The fluence of 2.8 x 10 16 cm -2 is determined to be optimum to render the best mask quality. While lower fluences would cause less etching selectivity, higher fluences would cause erosion of the surface and particles to be precipitated on the surface after H 2 treatment at high temperature. In contrast to the commonly adopted gallium oxide formation on Si, transmission electron microscopy analysis reveals that, for the fluences ≤ 2.8 x 10 16 cm -2 , Ga + ions are incorporated as dopants into ZnO without any second phases or precipitates, indicating the Ga-doped ZnO layer behaves as a mask for H 2 etching due to the higher electronegativity of Ga + towards oxygen. However, for the fluences ≥ 4.6 x 10 16 cm -2 , the surface particles are responsible for the etch stop and are identified as ZnGa 2 O 4 . We finally demonstrate a complicated pattern of 'NCKU' on ZnO by using this technique. The study not only helps clarify the related mechanisms, but also suggests a feasible extension of the etch stop process that can be applied to more functional material.

  1. Ripple formation on Si surfaces during plasma etching in Cl2

    Science.gov (United States)

    Nakazaki, Nobuya; Matsumoto, Haruka; Sonobe, Soma; Hatsuse, Takumi; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2018-05-01

    Nanoscale surface roughening and ripple formation in response to ion incidence angle has been investigated during inductively coupled plasma etching of Si in Cl2, using sheath control plates to achieve the off-normal ion incidence on blank substrate surfaces. The sheath control plate consisted of an array of inclined trenches, being set into place on the rf-biased electrode, where their widths and depths were chosen in such a way that the sheath edge was pushed out of the trenches. The distortion of potential distributions and the consequent deflection of ion trajectories above and in the trenches were then analyzed based on electrostatic particle-in-cell simulations of the plasma sheath, to evaluate the angular distributions of ion fluxes incident on substrates pasted on sidewalls and/or at the bottom of the trenches. Experiments showed well-defined periodic sawtooth-like ripples with their wave vector oriented parallel to the direction of ion incidence at intermediate off-normal angles, while relatively weak corrugations or ripplelike structures with the wave vector perpendicular to it at high off-normal angles. Possible mechanisms for the formation of surface ripples during plasma etching are discussed with the help of Monte Carlo simulations of plasma-surface interactions and feature profile evolution. The results indicate the possibility of providing an alternative to ion beam sputtering for self-organized formation of ordered surface nanostructures.

  2. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  3. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  4. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  5. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  6. CoSi{sub x} contact resistance after etching and ashing plasma exposure

    Energy Technology Data Exchange (ETDEWEB)

    Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya [Nagasaki Production Division 1, Sony Semiconductor Kyushu Corporation, 1883-43 Tsukuba-machi, Isahaya-shi, Nagasaki 854-0065 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan)

    2009-07-15

    The authors investigated the contact resistance fluctuation caused by CoSi{sub x} damage in plasma etching and ashing processes. They found that CoSi{sub x} layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH{sub 3}F is used instead of CF{sub 4} during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H{sub 2}/N{sub 2} ashing process in which O{sub 2} was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi{sub x}. This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.

  7. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  8. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications

    International Nuclear Information System (INIS)

    Ngo, H-D; Hiess, Andre; Seidemann, Volker; Studzinski, Daniel; Lange, Martin; Leib, Juergen; Shariff, Dzafir; Ashraf, Huma; Steel, Mike; Atabo, Lilian; Reast, Jon

    2006-01-01

    This paper is a brief report of plasma etching as applied to pattern transfer in silicon. It will focus more on concept overview and strategies for etching of tapered features of interest for MEMS and Wafer Level Packaging (WLP). The basis of plasma etching, the dry etching technique, is explained and plasma configurations are described elsewhere. An important feature of plasma etching is the possibility to achieve etch anisotropy. The plasma etch process is extremely sensitive to many variables such as mask material, mask openings and more important the plasma parameters

  9. Role of chamber dimension in fluorocarbon based deposition and etching of SiO2 and its effects on gas and surface-phase chemistry

    International Nuclear Information System (INIS)

    Joseph, E. A.; Zhou, B.-S.; Sant, S. P.; Overzet, L. J.; Goeckner, M. J.

    2008-01-01

    It is well understood that chamber geometry is an influential factor governing plasma processing of materials. Simple models suggest that a large fraction of this influence is due to changes in basic plasma properties, namely, density, temperature, and potential. However, while such factors do play an important role, they only partly describe the observed differences in process results. Therefore, to better elucidate the role of chamber geometry in this work, the authors explore the influence of plasma chemistry and its symbiotic effect on plasma processing by decoupling the plasma density, temperature, and potential from the plasma-surface (wall) interactions. Specifically, a plasma system is used with which the authors can vary the chamber dimension so as to vary the plasma-surface interaction directly. By varying chamber wall diameter, 20-66 cm, and source-platen distance, 4-6 cm, the etch behavior of SiO 2 (or the deposition behavior of fluorocarbon polymer) and the resulting gas-phase chemistry change significantly. Results from in situ spectroscopic ellipsometry show significant differences in etch characteristics, with etch rates as high as 350 nm/min and as low as 75 nm/min for the same self-bias voltage. Fluorocarbon deposition rates are also highly dependent on chamber dimension and vary from no net deposition to deposition rates as high as 225 nm/min. Etch yields, however, remain unaffected by the chamber size variations. From Langmuir probe measurements, it is clear that chamber geometry results in significant shifts in plasma properties such as electron and ion densities. Indeed, such measurements show that on-wafer processes are limited at least in part by ion flux for high energy reactive ion etch. However, in situ multipass Fourier transform infrared spectroscopy reveals that the line-averaged COF 2 , SiF 4 , CF 2 , and CF 3 gas-phase densities are also dependent on chamber dimension at high self-bias voltage and also correlate well to the CF x

  10. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  11. Vacuum pump age effects by the exposure to the corrosive gases on the Cr etch rate as observed using optical emission spectroscopy in an Ar/O{sub 2}/Cl{sub 2} mixed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Park, Seolhye; Roh, Hyun-Joon; Jang, Yunchang; Jeong, Sangmin; Ryu, Sangwon; Choe, Jae-Myung; Kim, Gon-Ho, E-mail: ghkim@snu.ac.kr

    2016-03-31

    Vacuum pumps of different ages were used to prepare Cl{sub 2} based plasmas for use in Cr etching. The effects of the vacuum pump age on the etching results were investigated using optical emission spectroscopy analysis. The composition of gas at the base pressure was mainly nitrogen and oxygen, although the ratio depended on the vacuum pump age and therefore, modulated the etch rate in a manner that was difficult to monitor. The effects of the pump age on the etch rate were clearly observed in the Cl{sub 2} plasma-assisted chromium film etching process, in which oxygen and chlorine radicals were responsible for the etching process. The electron energy distribution function (EEDF), which provided a proxy for the thermal equilibrium properties of the etching plasmas, was monitored. The shape of EEDF was derived from an analysis of the optical emission spectral data using an analysis model described previously. Because molecular nitrogen has a higher threshold energy and a larger cross-section of inelastic collisional processes than oxygen, the tail of the EEDF depends on the mixing ratio between nitrogen and oxygen. The various mechanisms that contribute to the chromium etch rate varied with subtle differences in the vacuum conditions, which were determined by age of the turbo molecular pump. The rates at which oxygen and chlorine radicals were generated were estimated using the measured EEDF, and the estimated oxygen radical and etching product contents were verified by comparing the residual gas analyzer data. The results revealed that the residual nitrogen partial pressures in two etchers equipped with either a new or an aged pump differed by 0.18%, and the EEDF tail areas differed by 10{sup −4}. Importantly, the chromium etch rates in these two instruments differed by 30%. These results suggest that the chamber-to-chamber mismatch should be monitored during plasma-assisted device fabrication processes. - Highlights: • We observed the vacuum pump age effect

  12. Shapes of agglomerates in plasma etching reactors

    International Nuclear Information System (INIS)

    Huang, F.Y.; Kushner, M.J.

    1997-01-01

    Dust particle contamination of wafers in reactive ion etching (RIE) plasma tools is a continuing concern in the microelectronics industry. It is common to find that particles collected on surfaces or downstream of the etch chamber are agglomerates of smaller monodisperse spherical particles. The shapes of the agglomerates vary from compact, high fractal dimension structures to filamentary, low fractal dimension structures. These shapes are important with respect to the transport of particles in RIE tools under the influence electrostatic and ion drag forces, and the possible generation of polarization forces. A molecular dynamics simulation has been developed to investigate the shapes of agglomerates in plasma etching reactors. We find that filamentary, low fractal dimension structures are generally produced by smaller (<100s nm) particles in low powered plasmas where the kinetic energy of primary particles is insufficient to overcome the larger Coulomb repulsion of a compact agglomerate. This is analogous to the diffusive regime in neutral agglomeration. Large particles in high powered plasmas generally produce compact agglomerates of high fractal dimension, analogous to ballistic agglomeration of neutrals. copyright 1997 American Institute of Physics

  13. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  14. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Joo, Young-Hee; Kim, Chang-Il

    2015-01-01

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF 4 /Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF 4 /Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF 4 /Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF 4 /Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar + sputtering and then reacted with the C-F x radicals. • The physical sputtering is dominant in etch control compared with chemical etching

  15. Intercalation-etching of graphene on Pt(111) in H2 and O2 observed by in-situ low energy electron microscopy

    Institute of Scientific and Technical Information of China (English)

    Wei; Wei; Caixia; Meng; Qiang; Fu; Xinhe; Bao

    2017-01-01

    Graphene layers are often exposed to gaseous environments in their synthesis and application processes, and interactions of graphene surfaces with molecules particularly H2 and O2 are of great importance in their physico-chemical properties. In this work, etching of graphene overlayers on Pt(111) in H2 and O2 atmospheres were investigated by in-situ low energy electron microscopy. Significant graphene etching was observed in 10-5 Torr H2 above 1023 K, which occurs simultaneously at graphene island edges and interiors with a determined reaction barrier at 5.7 eV. The similar etching phenomena were found in 10.7 Torr O2 above 973 K, while only island edges were reacted between 823 and 923 K. We suggest that etching of graphene edges is facilitated by Pt-aided hydrogenation or oxidation of edge carbon atoms while intercalation-etching is attributed to etching at the interiors at high temperatures. The different findings with etching in O2 and H2 depend on competitive adsorption, desorption, and diffusion processes of O and H atoms on Pt surface, as well as intercalation at the graphene/Pt interface.

  16. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  17. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  18. 3-Dimensional Modeling of Capacitively and Inductively Coupled Plasma Etching Systems

    Science.gov (United States)

    Rauf, Shahid

    2008-10-01

    Low temperature plasmas are widely used for thin film etching during micro and nano-electronic device fabrication. Fluid and hybrid plasma models were developed 15-20 years ago to understand the fundamentals of these plasmas and plasma etching. These models have significantly evolved since then, and are now a major tool used for new plasma hardware design and problem resolution. Plasma etching is a complex physical phenomenon, where inter-coupled plasma, electromagnetic, fluid dynamics, and thermal effects all have a major influence. The next frontier in the evolution of fluid-based plasma models is where these models are able to self-consistently treat the inter-coupling of plasma physics with fluid dynamics, electromagnetics, heat transfer and magnetostatics. We describe one such model in this paper and illustrate its use in solving engineering problems of interest for next generation plasma etcher design. Our 3-dimensional plasma model includes the full set of Maxwell equations, transport equations for all charged and neutral species in the plasma, the Navier-Stokes equation for fluid flow, and Kirchhoff's equations for the lumped external circuit. This model also includes Monte Carlo based kinetic models for secondary electrons and stochastic heating, and can take account of plasma chemistry. This modeling formalism allows us to self-consistently treat the dynamics in commercial inductively and capacitively coupled plasma etching reactors with realistic plasma chemistries, magnetic fields, and reactor geometries. We are also able to investigate the influence of the distributed electromagnetic circuit at very high frequencies (VHF) on the plasma dynamics. The model is used to assess the impact of azimuthal asymmetries in plasma reactor design (e.g., off-center pump, 3D magnetic field, slit valve, flow restrictor) on plasma characteristics at frequencies from 2 -- 180 MHz. With Jason Kenney, Ankur Agarwal, Ajit Balakrishna, Kallol Bera, and Ken Collins.

  19. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Novak, Spencer; Richardson, Kathleen [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, South Carolina 29634 (United States); Fathpour, Sasan, E-mail: fathpour@creol.ucf.edu [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Electrical Engineering and Computer Science, University of Central Florida, Orlando, Florida 32816 (United States)

    2015-03-16

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  20. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    International Nuclear Information System (INIS)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh; Novak, Spencer; Richardson, Kathleen; Fathpour, Sasan

    2015-01-01

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes

  1. The memory characteristics of submicron feature-size PZT capacitors with PtOx top electrode by using dry-etching

    International Nuclear Information System (INIS)

    Huang, C.-K.; Wang, C.-C.; Wu, T.-B.

    2007-01-01

    Dry etching and its effect on the characteristics of submicron feature-size PbZr 1-x Ti x O 3 (PZT) capacitors with PtO x top electrode were investigated. The photoresist (PR)-masked PtO x films were etched by an Ar/(20%)Cl 2 /O 2 helicon wave plasma. A fence-free pattern with a significantly high etch rate and sidewall slope was obtained by the addition of O 2 into the etching gas mixture, due to the chemical instability of PtO x and the formation of a PtO 2 passivation layer to suppress redeposition of the etch by-products on the etched surface. The patterned PtO x electrode can be further used as a hard mask for etching the PZT film, subsequently, with the gas mixture of Ar, CF 4 and O 2 . A high etching rate of PZT and a good etching selectivity to PtO x can be obtained at 30% O 2 addition into the Ar/(50%)CF 4 plasma. The etched capacitors have a steep, 72 0 , sidewall angle with a clean surface. Moreover, the addition of O 2 into the etching gas can well preserve the properties and the fatigue endurance of PtO x /PZT capacitors

  2. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF{sub 4}/Ar plasma

    Energy Technology Data Exchange (ETDEWEB)

    Joo, Young-Hee; Kim, Chang-Il

    2015-05-29

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF{sub 4}/Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF{sub 4}/Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF{sub 4}/Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF{sub 4}/Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar{sup +} sputtering and then reacted with the C-F{sub x} radicals. • The physical sputtering is dominant in etch control compared with chemical etching.

  3. Eliminating dependence of hole depth on aspect ratio by forming ammonium bromide during plasma etching of deep holes in silicon nitride and silicon dioxide

    Science.gov (United States)

    Iwase, Taku; Yokogawa, Kenetsu; Mori, Masahito

    2018-06-01

    The reaction mechanism during etching to fabricate deep holes in SiN/SiO2 stacks by using a HBr/N2/fluorocarbon-based gas plasma was investigated. To etch SiN and SiO2 films simultaneously, HBr/fluorocarbon gas mixture ratio was controlled to achieve etching selectivity closest to one. Deep holes were formed in the SiN/SiO2 stacks by one-step etching at several temperatures. The surface composition of the cross section of the holes was analyzed by time-of-flight secondary-ion mass spectrometry. It was found that bromine ions (considered to be derived from NH4Br) were detected throughout the holes in the case of low-temperature etching. It was also found that the dependence of hole depth on aspect ratio decreases as temperature decreases, and it becomes significantly weaker at a substrate temperature of 20 °C. It is therefore concluded that the formation of NH4Br supplies the SiN/SiO2 etchant to the bottom of the holes. Such a finding will make it possible to alleviate the decrease in etching rate due to a high aspect ratio.

  4. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  5. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  6. Etching characteristic and mechanism of BST thin films using inductively coupled Cl2/Ar plasma with additive CF4 gas

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    BST thin films were etched with inductively coupled CF 4 /(Cl 2 +Ar) plasmas. The maximum etch rate of the BST thin films was 53.6 nm/min for a 10% CF 4 to the Cl 2 /Ar gas mixture at RF power of 700 W, DC bias of -150 V, and chamber pressure of 2 Pa. Small addition of CF 4 to the Cl 2 /Ar mixture increased chemical effect. Consequently, the increased chemical effect caused the increase in the etch rate of the BST thin films. To clarify the etching mechanism, the surface reaction of the BST thin films was investigated by X-ray photoelectron spectroscopy

  7. Dry etching of ITO by magnetic pole enhanced inductively coupled plasma for display and biosensing devices

    Energy Technology Data Exchange (ETDEWEB)

    Meziani, T. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Vatican City State, Holy See,) (Italy)]. E-mail: tarik.meziani@jrc.it; Colpo, P. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)]. E-mail: pascal.colpo@jrc.it; Lambertini, V. [Centro Ricerche Fiat, Strada Torino 50, 10043 Orbassano (TO) (Italy); Ceccone, G. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy); Rossi, F. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)

    2006-03-15

    The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H{sub 2} mixtures and at constant bias voltage (-100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH{sub 4}/H{sub 2} mixtures, the etch rate goes through a maximum for 10% CH{sub 4} indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH{sub 4}/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH{sub 4} in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.

  8. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  9. Etching of Niobium in an Argon-Chlorine Capacitively Coupled Plasma

    Science.gov (United States)

    Radovanov, Svetlana; Samolov, Ana; Upadhyay, Janardan; Peshl, Jeremy; Popovic, Svetozar; Vuskovic, Leposava; Applied Materials, Varian Semiconductor Team; Old Dominion University Team

    2016-09-01

    Ion assisted etching of the inner surfaces of Nb superconducting radio frequency (SRF) cavities requires control of incident ion energies and fluxes to achieve the desired etch rate and smooth surfaces. In this paper, we combine numerical simulation and experiment to investigate Ar /Cl2 capacitively coupled plasma (CCP) in cylindrical reactor geometry. Plasma simulations were done in the CRTRS 2D/3D code that self-consistently solves for CCP power deposition and electrostatic potential. The experimental results are used in combination with simulation predictions to understand the dependence of plasma parameters on the operating conditions. Using the model we were able to determine the ion current and flux at the Nb substrate. Our simulations indicate the relative importance of the current voltage phase shift and displacement current at different pressures and powers. For simulation and the experiment we have used a test structure with a pillbox cavity filled with niobium ring-type samples. The etch rate of these samples was measured. The probe measurements were combined with optical emission spectroscopy in pure Ar for validation of the model. The authors acknowledge Dr Shahid Rauf for developing the CRTRS code. Support DE-SC0014397.

  10. Etching characteristics and application of physical-vapor-deposited amorphous carbon for multilevel resist

    International Nuclear Information System (INIS)

    Kim, H. T.; Kwon, B. S.; Lee, N.-E.; Park, Y. S.; Cho, H. J.; Hong, B.

    2008-01-01

    For the fabrication of a multilevel resist (MLR) based on a very thin, physical-vapor-deposited (PVD) amorphous carbon (a-C) layer, the etching characteristics of the PVD a-C layer with a SiO x hard mask were investigated in a dual-frequency superimposed capacitively coupled plasma etcher by varying the following process parameters in O 2 /N 2 /Ar plasmas: high-frequency/low-frequency combination (f HF /f LF ), HF/LF power ratio (P HF /P LF ), and O 2 and N 2 flow rates. The very thin nature of the a-C layer helps to keep the aspect ratio of the etched features low. The etch rate of the PVD a-C layer increased with decreasing f HF /f LF combination and increasing P LF and was initially increased but then decreased with increasing N 2 flow rate in O 2 /N 2 /Ar plasmas. The application of a 30 nm PVD a-C layer in the MLR structure of ArF PR/BARC/SiO x /PVD a-C/TEOS oxide supported the possibility of using a very thin PVD a-C layer as an etch-mask layer for the TEOS-oxide layer

  11. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  12. Influence of redeposition on the plasma etching dynamics

    International Nuclear Information System (INIS)

    Stafford, L.; Margot, J.; Delprat, S.; Chaker, M.; Pearton, S. J.

    2007-01-01

    This work reports on measurements of the degree of redeposition of sputtered species during the etching of platinum (Pt), barium-strontium-titanate (BST), strontium-bismuth-tantalate (SBT), and photoresist (PR) in a high-density argon plasma. While PR exhibits a redeposition-free behavior, the degree of redeposition of Pt, BST, and SBT species increases from 10% to 95% as the argon pressure increases from 0.5 to 10 mTorr. These results are in good agreement with the predictions of a simple model accounting for the backscattering of sputtered species following their interaction with the gas phase. Based on these results and using other experimental data reported in the literature, it is further demonstrated that, depending on the plasma etching conditions, redeposition effects can induce misinterpretation of the etch rate data

  13. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  14. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  15. Novel optical and structural properties of porous GaAs formed by anodic etching of n±GaAs in a HF:C_2H_5OH:HCl:H_2O_2:H_2O electrolyte: effect of etching time

    International Nuclear Information System (INIS)

    Naddaf, M.; Saad, M.

    2014-01-01

    Porous GaAs layers have been formed by anodic etching of n±type GaAs (10.0) substrates in a HF:C_2H_5OH:HCl:H_2O_2:H_2O electrolyte. A dramatic impact of etching time on the optical and structural properties of porous GaAs layer is demonstrated. The nano/micro-features of porous GaAs layers are revealed by scanning electron microscopy (SEM) imaging. Two-peak room temperature photoluminescence (PL), "blue-green"and "green-yellow", is obtained in all prepared porous GaAs samples. Proper adjustment of etching time is found to produce a white color layer, instead of the usual dark gray color of porous GaAs. This is found to cause vast enhancement in the intensity of the visible PL in porous GaAs layer. Chemical composition and structural characterization by means of X-ray photoelectron spectroscopic (XPS), X-ray diffraction (XRD), and micro-Raman spectroscopy, confirm that this layer is characterized with monoclinic β-Ga_2O_3 rich surface. Etching time induced-modification of structural and chemical properties of porous GaAs layer is discussed and correlated to its PL behavior. It is inferred that the "blue-green"PL in porous GaAs can be ascribed to different degrees of quantum confinement in GaAs nano crystallites, whereas, the "green-yellow"PL is highly influenced by the As_2O_3 and Ga_2O_3, content in the porous GaAs layer. In addition, the reflectance measurements reveal an anti-refection trend of behavior of porous GaAs layers in the spectral range (500-1,100 nm). (author)

  16. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

    Science.gov (United States)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hoa; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-04-01

    With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.

  17. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  18. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  19. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  20. Influence of etching process parameters on the antireflection property of Si SWSs by thermally dewetted Ag and Ag/SiO{sub 2} nanopatterns

    Energy Technology Data Exchange (ETDEWEB)

    Leem, Jung Woo; Yu, Jae Su [Department of Electronics and Radio Engineering, Kyung Hee University, 446-701 Yongin (Korea, Republic of); Song, Young Min; Lee, Yong Tak [Department of Information and Communications, Gwangju Institute of Science and Technology, 500-712 Gwangju (Korea, Republic of)

    2011-08-15

    The etching parameter dependent antireflection characteristics of disordered Si subwavelength structures (SWSs) by inductively coupled plasma (ICP) etching in a mixture gas of SiCl{sub 4}/Ar using thermally dewetted Ag and Ag/SiO{sub 2} nanopatterns are investigated. The average size and period of Si SWSs are closely correlated with thermal dewetting conditions. For desirable Ag nanoparticle patterns, the profile of Si SWSs is optimized by changing the ICP etching process parameters to obtain the lowest reflectance spectrum. The most tapered SWS with the highest height leads to a relatively low reflectance. The Ag nanopatterns result in more tapered and rough surface SWSs compared to the Ag/SiO{sub 2} nanopatterns, indicating a slightly reduced reflectance. The Si SWS etched using Ag nanopatterns by SiCl{sub 4}/Ar of 5 sccm/10 sccm at 50 W RF power, 200 W ICP power, and 10 mTorr process pressure exhibits a very low total reflectance of <{proportional_to}2.4% in the wavelength range of 400-1000 nm, maintaining a specular reflectance of <16% at 350-1100 nm up to the incident angle of {theta}{sub i} = 50 . (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Diamond growth on Fe-Cr-Al alloy by H2-plasma enhanced graphite etching

    International Nuclear Information System (INIS)

    Li, Y. S.; Hirose, A.

    2007-01-01

    Without intermediate layer and surface pretreatment, adherent diamond films with high initial nucleation density have been deposited on Fe-15Cr-5Al (wt. %) alloy substrate. The deposition was performed using microwave hydrogen plasma enhanced graphite etching in a wide temperature range from 370 to 740 degree sign C. The high nucleation density and growth rate of diamond are primarily attributed to the unique precursors used (hydrogen plasma etched graphite) and the chemical nature of the substrate. The improvement in diamond adhesion to steel alloys is ascribed to the important role played by Al, mitigation of the catalytic function of iron by suppressing the preferential formation of loose graphite intermediate phase on steel surface

  2. Surface kinetics of Bi4-xLaxTi3O12 films etched in a CF4/Ar gas chemistry

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Efremov, A. M.; Kim, Chang-Il

    2004-01-01

    The surface reactions and the etch rate of Bi 4-x La x Ti 3 O 12 (BLT) films in a CF 4 /Ar plasma were investigated in an inductively coupled plasma (ICP) reactor in terms of the gas mixing ratio. The variation of relative volume densities for the F and the Ar atoms were measured with optical emission spectroscopy (OES). The maximum etch rate of 803 A/min was obtained in a CF 4 (20 %)/Ar(80 %) plasma. The presence of a maximum in the BLT etch rate at CF 4 (20 %)/Ar(80 %) may be explained by the concurrence of two etching mechanisms, physical sputtering and chemical reaction. Ar-ion bombardment played roles of destroying the metal (Bi, La, Ti)-O bonds and assisting the chemical reaction between metal and fluorine atoms. The chemical states of BLT were investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts (La-fluorides).

  3. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  4. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  5. Inductively coupled plasma nanoetching of atomic layer deposition alumina

    DEFF Research Database (Denmark)

    Han, Anpan; Chang, Bingdong; Todeschini, Matteo

    2018-01-01

    such as silicon dioxide, silicon nitride, and diamond. In this report, we systematically study nanoscale plasma etching of Al2O3 with electron beam lithography and deep UV resist masks. The gas composition and pressure were tuned for optimal etching, and redeposition conditions were mapped. With a BCl3 and Ar...... the resist profile angle. For Al2O3 patterned with deep UV lithography, the smallest structures were 220 nm. For electron beam lithography patterns, the smallest gratings were 18-nm-wide with 50-nm-pitch. Using alumina as a hard mask, we show aspect ratio of 7-10 for subsequent silicon plasma etching, and we......Al2O3 thin-film deposited by atomic layer deposition is an attractive plasma etch mask for Micro and Nano Electro-Mechanical Systems (MEMS and NEMS). 20-nm-thick Al2O3 mask enables through silicon wafer plasma etching. Al2O3 is also an excellent etch mask for other important MEMS materials...

  6. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  7. Metal-assisted chemical etching in HF/Na2S2O8 OR HF/KMnO4 produces porous silicon

    NARCIS (Netherlands)

    Hadjersi, T.; Gabouze, N.; Kooij, Ernst S.; Zinine, A.; Zinine, A.; Ababou, A.; Chergui, W.; Cheraga, H.; Belhousse, S.; Djeghri, A.

    2004-01-01

    A new metal-assisted chemical etching method using Na2S2O8 or KMnO4 as an oxidizing agent was proposed to form a porous silicon layer on a highly resistive p-type silicon. A thin layer of Ag or Pd is deposited on the Si(100) surface prior to immersion in a solution of HF and Na2S2O8 or HF and KMnO4.

  8. Low energy electron irradiation induced carbon etching: Triggering carbon film reacting with oxygen from SiO{sub 2} substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China); Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education and Guangdong Province, College of Optoelectronic Engineering, Shenzhen University, Shenzhen 518060 (China); Wang, Chao, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn; Diao, Dongfeng, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China)

    2016-08-01

    We report low-energy (50–200 eV) electron irradiation induced etching of thin carbon films on a SiO{sub 2} substrate. The etching mechanism was interpreted that electron irradiation stimulated the dissociation of the carbon film and SiO{sub 2}, and then triggered the carbon film reacting with oxygen from the SiO{sub 2} substrate. A requirement for triggering the etching of the carbon film is that the incident electron penetrates through the whole carbon film, which is related to both irradiation energy and film thickness. This study provides a convenient electron-assisted etching with the precursor substrate, which sheds light on an efficient pathway to the fabrication of nanodevices and nanosurfaces.

  9. Surface etching mechanism of carbon-doped Ge{sub 2}Sb{sub 2}Te{sub 5} phase change material in fluorocarbon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Lanlan [Chinese Academy of Sciences, State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Micro-system and Information Technology, Shanghai (China); Graduate School of the Chinese Academy of Sciences, Beijing (China); Song, Sannian; Song, Zhitang; Li, Le; Guo, Tianqi; Cheng, Yan; Lv, Shilong; Wu, Liangcai; Liu, Bo; Feng, Songlin [Chinese Academy of Sciences, State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Micro-system and Information Technology, Shanghai (China)

    2016-09-15

    Recently, carbon-doped Ge2Sb2Te5 (CGST) phase change material has been widely researched for being highly promising material for future phase change memory application. In this paper, the reactive-ion etching of CGST film in CF{sub 4}/Ar plasma is studied. Compared with GST, the etch rate of CGST is relatively lower due to the existence of carbon which reduce the concentration of F or CF{sub x} reactive radicals. It was found that Argon plays an important role in defining the sidewall edge acuity. Compared with GST, more physical bombardment is required to obtain vertical sidewall of CGST. The effect of fluorocarbon gas on the damage of the etched CGST film was also investigated. A Ge- and Sb-deficient layer with tens of nanometers was observed by TEM combining with XPS analysis. The reaction between fluorocarbon plasma and CGST is mainly dominated by the diffusion and consumption of reactive fluorine radicals through the fluorocarbon layer into the CGST substrate material. The formation of damage layer is mainly caused by strong chemical reactivity, low volatility of reaction compounds and weak ion bombardment. (orig.)

  10. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  11. Realization of thermally durable close-packed 2D gold nanoparticle arrays using self-assembly and plasma etching

    International Nuclear Information System (INIS)

    Sivaraman, Sankar K; Santhanam, Venugopal

    2012-01-01

    Realization of thermally and chemically durable, ordered gold nanostructures using bottom-up self-assembly techniques are essential for applications in a wide range of areas including catalysis, energy generation, and sensing. Herein, we describe a modular process for realizing uniform arrays of gold nanoparticles, with interparticle spacings of 2 nm and above, by using RF plasma etching to remove ligands from self-assembled arrays of ligand-coated gold nanoparticles. Both nanoscale imaging and macroscale spectroscopic characterization techniques were used to determine the optimal conditions for plasma etching, namely RF power, operating pressure, duration of treatment, and type of gas. We then studied the effect of nanoparticle size, interparticle spacing, and type of substrate on the thermal durability of plasma-treated and untreated nanoparticle arrays. Plasma-treated arrays showed enhanced chemical and thermal durability, on account of the removal of ligands. To illustrate the application potential of the developed process, robust SERS (surface-enhanced Raman scattering) substrates were formed using plasma-treated arrays of silver-coated gold nanoparticles that had a silicon wafer or photopaper as the underlying support. The measured value of the average SERS enhancement factor (2 × 10 5 ) was quantitatively reproducible on both silicon and paper substrates. The silicon substrates gave quantitatively reproducible results even after thermal annealing. The paper-based SERS substrate was also used to swab and detect probe molecules deposited on a solid surface. (paper)

  12. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Science.gov (United States)

    Upadhyay, J.; Palczewski, A.; Popović, S.; Valente-Feliciano, A.-M.; Im, Do; Phillips, H. L.; Vušković, L.

    2017-12-01

    An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF) accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity's inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  13. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2017-12-01

    Full Text Available An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity’s inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  14. Chemically etched sharpened tip of transparent crystallized glass fibers with nonlinear optical Ba2TiSi2O8 nanocrystals

    International Nuclear Information System (INIS)

    Enomoto, Itaru; Benino, Yasuhiko; Komatsu, Takayuki; Fujiwara, Takumi

    2007-01-01

    Glass fibers with a diameter of ∼100 μm are drawn by just pulling up melts of 40BaO·20TiO 2 ·40SiO 2 glass, and transparent crystallized glass fibers consisting of nonlinear optical fresnoite Ba 2 TiSi 2 O 8 nanocrystals (particle size: ∼100-200 nm) are fabricated by crystallization of glass fibers. Precursor glass fibers and nanocrystallized glass fibers are etched chemically using a meniscus method, in which an etching solution of 0.1wt%-HF/hexane is used. Glass fibers with sharpened tips (e.g., the taper length is ∼L=200 μm and the tip angle is ∼θ=23deg) are obtained. It is found that etched nanocrystallized glass fibers also have sharpened tips (L=50 μm, θ=80deg). Compared with precursor glass fibers, nanocrystallized glass fibers show a high resistance against chemical etching in a 0.1 wt%HF solution. Although sharpened tips in nanocrystallized glass fibers do not have nanoscaled apertures, the present study suggests that nanocrystallized glass fibers showing second harmonic generations would have a potential for fiber-type light control optical devices. (author)

  15. Mechanical Stress in InP Structures Etched in an Inductively Coupled Plasma Reactor with Ar/Cl2/CH4 Plasma Chemistry

    Science.gov (United States)

    Landesman, Jean-Pierre; Cassidy, Daniel T.; Fouchier, Marc; Pargon, Erwine; Levallois, Christophe; Mokhtari, Merwan; Jimenez, Juan; Torres, Alfredo

    2018-02-01

    We investigated the crystal lattice deformation that can occur during the etching of structures in bulk InP using SiNx hard masks with Ar/Cl2/CH4 chemistries in an inductively coupled plasma reactor. Two techniques were used: degree of polarization (DOP) of the photo-luminescence, which gives information on the state of mechanical stress present in the structures, and spectrally resolved cathodo-luminescence (CL) mapping. This second technique also provides elements on the mechanical stress in the samples through analysis of the spectral shift of the CL intrinsic emission lines. Preliminary DOP mapping experiments have been conducted on the SiNx hard mask patterns without etching the underlying InP. This preliminary study demonstrated the potential of DOP to map mechanical stress quantitatively in the structures. In a second step, InP patterns with various widths between 1 μm and 20 μm, and various depths between 1 μm and 6 μm, were analyzed by the 2 techniques. DOP measurements were made both on the (100) top surface of the samples and on the (110) cleaved cross section. CL measurements were made only from the (100) surface. We observed that inside the etched features, close to the vertical etched walls, there is always some compressive deformation, while it is tensile just outside the etched features. The magnitude of these effects depends on the lateral and depth dimensions of the etched structures, and on the separation between them (the tensile deformation increases between them due to some kind of proximity effect when separation decreases).

  16. High-density plasma-induced etch damage of wafer-bonded AlGaInP/mirror/Si light-emitting diodes

    CERN Document Server

    Wuu, D S; Huang, S H; Chung, C R

    2002-01-01

    Dry etch of wafer-bonded AlGaInP/mirror/Si light-emitting diodes (LEDs) with planar electrodes was performed by high-density plasma using an inductively coupled plasma (ICP) etcher. The etching characteristics were investigated by varying process parameters such as Cl sub 2 /N sub 2 gas combination, chamber pressure, ICP power and substrate-bias power. The corresponding plasma properties (ion flux and dc bias), in situ measured by a Langmuir probe, show a strong relationship to the etch results. With a moderate etch rate of 1.3 mu m/min, a near vertical and smooth sidewall profile can be achieved under a Cl sub 2 /(Cl sub 2 +N sub 2) gas mixture of 0.5, ICP power of 800 W, substrate-bias power of 100 W, and chamber pressure of 0.67 Pa. Quantitative analysis of the plasma-induced damage was attempted to provide a means to study the mechanism of leakage current and brightness with various dc bias voltages (-110 to -328 V) and plasma duration (3-5 min) on the wafer-bonded LEDs. It is found that the reverse leaka...

  17. Limitations of Cl2/O2-based ICP-RIE of deep holes for planar photonic crystals in InP

    International Nuclear Information System (INIS)

    Kaspar, Peter; Fougner, Christopher; Kappeler, Roman; Jaeckel, Heinz

    2012-01-01

    A detailed study of dry-etching of high-aspect-ratio holes into an indium phosphide substrate is presented for a Cl 2 /O 2 -based plasma chemistry. The etching is performed in an inductively coupled plasma reactive ion etching reactor. The separate influence of the various etching parameters on the quality of the etched holes is identified. Quality measures such as high aspect ratio, hole cylindricity and verticality as well as sidewall smoothness can be controlled by varying the ICP power, the relative O 2 flow rate and the self-bias of the plasma. We were able to clearly identify trade-offs that have to be made and limitations of the etching chemistry/technology used: If the aspect ratio improves, then the cylindricity also improves, whereas the verticality and the sidewall smoothness degrade. In previous reports, a certain ambiguity is generally observed in the sense that different process parameters exhibit partially contradicting trade-offs. We show that this behaviour can be remedied by a careful selection of the variable parameters. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Pulsed Plasma with Synchronous Boundary Voltage for Rapid Atomic Layer Etching

    Energy Technology Data Exchange (ETDEWEB)

    Economou, Demetre J.; Donnelly, Vincent M.

    2014-05-13

    Atomic Layer ETching (ALET) of a solid with monolayer precision is a critical requirement for advancing nanoscience and nanotechnology. Current plasma etching techniques do not have the level of control or damage-free nature that is needed for patterning delicate sub-20 nm structures. In addition, conventional ALET, based on pulsed gases with long reactant adsorption and purging steps, is very slow. In this work, novel pulsed plasma methods with synchronous substrate and/or “boundary electrode” bias were developed for highly selective, rapid ALET. Pulsed plasma and tailored bias voltage waveforms provided controlled ion energy and narrow energy spread, which are critical for highly selective and damage-free etching. The broad goal of the project was to investigate the plasma science and engineering that will lead to rapid ALET with monolayer precision. A combined experimental-simulation study was employed to achieve this goal.

  19. Hydrogen-Etched TiO2−x as Efficient Support of Gold Catalysts for Water–Gas Shift Reaction

    Directory of Open Access Journals (Sweden)

    Li Song

    2018-01-01

    Full Text Available Hydrogen-etching technology was used to prepare TiO2−x nanoribbons with abundant stable surface oxygen vacancies. Compared with traditional Au-TiO2, gold supported on hydrogen-etched TiO2−x nanoribbons had been proven to be efficient and stable water–gas shift (WGS catalysts. The disorder layer and abundant stable surface oxygen vacancies of hydrogen-etched TiO2−x nanoribbons lead to higher microstrain and more metallic Au0 species, respectively, which all facilitate the improvement of WGS catalytic activities. Furthermore, we successfully correlated the WGS thermocatalytic activities with their optoelectronic properties, and then tried to understand WGS pathways from the view of electron flow process. Hereinto, the narrowed forbidden band gap leads to the decreased Ohmic barrier, which enhances the transmission efficiency of “hot-electron flow”. Meanwhile, the abundant surface oxygen vacancies are considered as electron traps, thus promoting the flow of “hot-electron” and reduction reaction of H2O. As a result, the WGS catalytic activity was enhanced. The concept involved hydrogen-etching technology leading to abundant surface oxygen vacancies can be attempted on other supported catalysts for WGS reaction or other thermocatalytic reactions.

  20. Dry etching characteristics of GaN for blue/green light-emitting diode fabrication

    International Nuclear Information System (INIS)

    Baik, K.H.; Pearton, S.J.

    2009-01-01

    The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl 2 -based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl 2 /Ar plasma chemistry and SiO 2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry

  1. CH4/H2/Ar electron cyclotron resonance plasma etching for GaAs-based field effect transistors

    NARCIS (Netherlands)

    Hassel, van J.G.; Es, van C.M.; Nouwens, P.A.M.; Maahury, J.H.; Kaufmann, L.M.F.

    1995-01-01

    Electron cyclotron resonance (ECR) plasma etch processes with CH4/H2/AR have been investigated on different III–Vsemiconductor materials (GaAs, AlGaAs, InGaAs, and InP). The passivation depth as a function of the GaAs carrierconcentration and the recovery upon annealing at different temperatures

  2. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  3. Optimum inductively coupled plasma etching of fused silica to remove subsurface damage layer

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaolong; Liu, Ying, E-mail: liuychch@ustc.edu.cn; Liu, Zhengkun; Qiu, Keqiang; Xu, Xiangdong; Hong, Yilin; Fu, Shaojun

    2015-11-15

    Highlights: • SSD layer of fused silica is removed by ICP etch with surface roughness of 0.23 nm. • Metal contamination is successfully avoided by employing an isolation device. • Unique low-density plasma induced pitting damage is discovered and eliminated. • Lateral etching of SSD is avoided due to the improvement of etching anisotropy. - Abstract: In this work, we introduce an optimum ICP etching technique that successfully removes the subsurface damage (SSD) layer of fused silica without causing plasma induced surface damage (PISD) or lateral etching of SSD. As one of the commonest PISD initiators, metal contamination from reactor chamber is prevented by employing a simple isolation device. Based on this device, a unique low-density pitting damage is discovered and subsequently eliminated by optimizing the etching parameters. Meanwhile etching anisotropy also improves a lot, thus preventing the lateral etching of SSD. Using this proposed technique, SSD layer of fused silica is successfully removed with a surface roughness of 0.23 nm.

  4. Development of the DC-RF Hybrid Plasma Source and the Application to the Etching and Texturing of the Silicon Surface

    International Nuclear Information System (INIS)

    Kim, Ji Hun

    2011-02-01

    the vacuum chamber for vacuum processing. The experiment was provided on the mono-crystalline silicon wafer. The etching was carried out with plasma consisting of SF 6 (50 sccm) as a reactive etching gas with O 2 (300 sccm) as a supporting gas and Argon (2000 ∼ 3000 sccm) as a cathode protecting gas. Etching rates were 60 μm/min at low pressure (3-5 torr) and 300 μm/min at a atmospheric pressure. The sample was positioned in such as way that the plasma flow axis would coincide with the side facet of the silicon crystal. A texturing process was performed on a crystalline silicon (c-Si) wafer to increase the efficiency of a solar cell by using a high durability DC arc plasma source at atmospheric pressure and low pressure. CF 4 and SF 6 were used as the reactive etching gases at flow rates 2 as the supporting gas in the range of the 5 - 15 %. To survey the characteristics of the pyramid formation process, plasma texturing experiments were performed by varying the working time. The optimal operating conditions of the gas flow (Ar, O 2 , CF 4 , SF 6 ), plasmatron current and processing time were determined. The pyramid angle was approximately 50 .deg. to 60 .deg. when a single-crystalline silicon surface was textured in a vacuum whereas it was approximately 75 .deg. to 90 .deg. when textured at atmospheric pressure. The reflectance decreases with decreasing pyramid angle. The reflectance of the bare silicon ranged from 40 % to the 60 % but that of the textured silicon was approximately 5 % to 20 %. This reflectance is quite low, approximately half that reported by other studies using wet and reactive ion etching (RIE) texturing. Even though DC arc plasmatron has many advantages, it is difficult to apply an industry due to the small applied area. To increase an effective processing area, we suggest a DC-RF hybrid plasma system. The DC-RF hybrid plasma system was designed and made. This system consists of a DC arc plasmatron, RF parts, reaction chamber, power feeder

  5. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  6. A plasmaless, photochemical etch process for porous organosilicate glass films

    Science.gov (United States)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  7. Nanoporous SiO{sub 2}/TiO{sub 2} coating with enhanced interfacial compatibility for orthopedic applications

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Xiaobing, E-mail: zhaoxiaobing00@163.com [School of Materials Science and Engineering, Changzhou University, Changzhou 213164 (China); Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Jiangsu Key Laboratory of Materials Surface Science and Technology, Changzhou University, Changzhou 213164 (China); Cao, Hengchun; You, Jing; Cheng, Xingbao [School of Materials Science and Engineering, Changzhou University, Changzhou 213164 (China); Xie, Youtao [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Cao, Huiliang [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Liu, Xuanyong, E-mail: xyliu@mail.sic.ac.cn [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China)

    2015-11-15

    Graphical abstract: - Highlights: • The SiO{sub 2}/TiO{sub 2} coatings were fabricated on the cp-Ti substrates by plasma spraying. • Nanoporous topography was formed on SiO{sub 2}/TiO{sub 2} coating by HF-hydrothermal etching. • The hydrothermal conditions had important effects on the nanoporous topographies. • Nanoporous SiO{sub 2}/TiO{sub 2} coating exhibited enhanced cytocompatibility. - Abstract: Topographic modification in nanoscale is one of the most often used strategies to enhance the interfacial biocompatibility of implant materials. The aim of this work is to produce SiO{sub 2}/TiO{sub 2} coatings with nanoporous structures and favorable biological properties by atmospheric plasma spraying technology and subsequently hydrothermal etching method in hydrogen fluoride solution. The effects of hydrothermal time and temperature on the microstructures and osteoblast behavior of the SiO{sub 2}/TiO{sub 2} coatings were investigated. Results demonstrated that the as-sprayed SiO{sub 2}/TiO{sub 2} coating was mainly composed of rutile and quartz phases. After etching, nanoporous topographies were formed on the surface of the coatings and the hydrothermal parameters had important influences on the size and shape of the pores. The interconnected network pores on the coating surface could only produce at the appropriate hydrothermal conditions (the hydrothermal time and temperature were 60 min and 100 °C, respectively). Compared to TiO{sub 2} and SiO{sub 2}/TiO{sub 2} coatings, nanoporous SiO{sub 2}/TiO{sub 2} coatings could enhance osteoblast adhesion and promote cell proliferation. The results suggested the potential application of the porous coatings for enhancing the biological performance of the currently used dental and orthopedic implant materials.

  8. Investigation of plasma etch damage to porous oxycarbosilane ultra low-k dielectric

    International Nuclear Information System (INIS)

    Bruce, R L; Engelmann, S; Purushothaman, S; Volksen, W; Frot, T J; Magbitang, T; Dubois, G; Darnon, M

    2013-01-01

    There has been much interest recently in porous oxycarbosilane (POCS)-based materials as the ultra-low k dielectric (ULK) in back-end-of-line (BEOL) applications due to their superior mechanical properties compared to traditional organosilicate-based ULK materials at equivalent porosity and dielectric constant. While it is well known that plasma etching and strip processes can cause significant damage to ULK materials in general, little has been reported about the effect of plasma damage to POCS as the ULK material. We investigated the effect of changing the gas discharge chemistry and substrate bias in the dielectric trench etch and also the subsequent effect of the cap-open etch on plasma damage to POCS during BEOL integration. Large differences in surface roughness and damage behaviour were observed by changing the fluorocarbon depositing conditions. These damage behaviour trends will be discussed and potential rationalizations offered based on the formation of pits and craters at the etch front that lead to surface roughness and microtrenching. (paper)

  9. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  10. Effect of oxygen gas and annealing treatment for magnetically enhanced reactive ion etched (Ba0.65,Sr0.35)TiO3 thin films

    International Nuclear Information System (INIS)

    Zhang Baishun; Quan Zuci; Zhang Tianjin; Guo Tao; Mo Shaobo

    2007-01-01

    Sol-gel-derived (Ba 0.65 ,Sr 0.35 )TiO 3 (BST) thin films were etched in CF 4 /Ar and CF 4 /Ar/O 2 plasmas using magnetically enhanced reactive ion etching technology. Experimental results show that adding appropriate O 2 to CF 4 /Ar can better the etching effects of BST films for the increase of etching rate and decrease of etched residues. The maximum etching rate is 8.47 nm/min when CF 4 /Ar/O 2 gas-mixing ratio is equal to 9/36/5. X-ray photoelectron spectroscopy (XPS) data confirm accumulation of reaction products on the etched surface due to low volatility of reaction products such as Ba and Sr fluorides, and these residues could be removed by annealing treatment. The exact peak positions and chemical shifts of the interested elements were deduced by fitting XPS narrow-scan spectra with symmetrical Gaussian-Lorentzian product function for Ba 3d, Sr 3d, and O 1s peaks, meanwhile asymmetrical Gaussian-Lorentzian sum function was used to fit Ti 2p doublet to adjust the multiple splitting and/or shake-up process of transition-metal Ti cations. Compared to the unetched counterparts, the etched Ba 3d 5/2 , Ba 3d 3/2 , Sr 3d 5/2 , Sr 3d 3/2 , Ti 2p 3/2 , Ti 2p 1/2 , and O 1s peaks shift towards higher binding energy regions by amounts of 1.31, 1.30, 0.60, 0.79, 0.09, 0.46, and 0.50 eV, respectively. While the etched Ti 2p 3/2 and Ti 2p 1/2 peaks have small chemical shifts for two reasons. One is that Ti fluoride (TiF z ) is mostly removed from the etched surface because of its higher volatility in the process of thermal desorption. The other is that there is a shift compensation between TiF z and the etched BST matrix in which Ti 4+ cations are partially reduced to form Ti x+ (0 0.65 ,Sr 0.35 )Ti 0.97 O 2.86 , (Ba 0.70 ,Sr 0.30 )Ti 0.24 O 1.39 , and (Ba 0.68 ,Sr 0.32 )Ti 0.95 O 2.74 , and then the average valence of Ti cations is estimated to be +3.84, +3.25, and +3.66 with respect to the electroneutrality principle, respectively. It is inferred that electrical

  11. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  12. Suitability of N2 plasma for the RIE etching of thin Ag layers

    International Nuclear Information System (INIS)

    Hrkut, P.; Matay, L.; Kostic, I.; Bencurova, A.; Konecnikova, A.; Nemec, P.; Andok, R.; Hacsik, S.

    2013-01-01

    Silver layers of 48 nm thickness were evaporated using EB PVD on Si wafers. The masking resist layers were spin-coated and patterned by the EBDW lithography on the ZBA 21 (20 keV) (Carl-Zeiss, Jena; currently Vistec, Ltd.) variable shaped e-beam pattern generator in II SAS. In order to check the etching process in N 2 , we covered a part of the samples containing Ag with a layer of various resists. The samples were dried on a hot-plate and RIE etched in SCM 600 (1 Pa; 20 sccm; 500 W). After 8 minutes the non-masked Ag layer was completely etched away, what testified suitability of N 2 as an etching gas. Also the etch time of 4 minutes showed to be sufficient for etching through the Ag layer. In order to optimize the etching process it was necessary to estimate the etch-rate (E.R.) of suitable resist layers and of the silver layer. The (authors)

  13. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  14. Thermodynamic assessment and experimental verification of reactive ion etching of magnetic metal elements

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Taeseung; Chen, Jack Kun-Chieh; Chang, Jane P., E-mail: jpchang@ucla.edu [Chemical and Biomolecular Engineering, UCLA, Los Angeles, California 90095 (United States)

    2014-07-01

    A thermodynamic analysis of etch chemistries for Co, Fe, and Ni using a combination of hydrogen, oxygen, and halogen gases suggested that a single etchant does not work at 300 K; however, a sequential exposure to multiple etchants results in sufficiently high partial pressure of the reaction products for the process to be considered viable. This sequential dose utilized the two reactions, a surface halogenation followed by the secondary etchant exposure. (MX{sub 2} (c) + 3Y →MY(g) + 2XY(g), where M = Co, Fe, Ni; X = F, Cl, Br; Y = O, H) The volatilization reaction induced by sequential plasma exposure changed the equilibrium point, increasing the partial pressure of the etch product. Amongst all combinations, Cl{sub 2} or Br{sub 2} plasmas followed by H{sub 2} plasma were the most effective. From both the gas phase diagnostics and surface composition analysis, H{sub 2} plasma alone could not etch metallic Co, Fe, and Ni films but alternating doses of Cl{sub 2} and H{sub 2} plasmas resulted in more effective removal of chlorinated metals and increased the overall etch rate.

  15. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  16. Acid etching and plasma sterilization fail to improve osseointegration of grit blasted titanium implants

    DEFF Research Database (Denmark)

    Mortensen, Mikkel Saksø; Jakobsen, Stig Storgaard; Saksø, Henrik

    2012-01-01

    Interaction between implant surface and surrounding bone influences implant fixation. We attempted to improve the bone-implant interaction by 1) adding surface micro scale topography by acid etching, and 2) removing surface-adherent pro-inflammatory agents by plasma cleaning. Implant fixation...... was evaluated by implant osseointegration and biomechanical fixation.The study consisted of two paired animal sub-studies where 10 skeletally mature Labrador dogs were used. Grit blasted titanium alloy implants were inserted press fit in each proximal tibia. In the first study grit blasted implants were...... compared with acid etched grit blasted implants. In the second study grit blasted implants were compared with acid etched grit blasted implants that were further treated with plasma sterilization. Implant performance was evaluated by histomorphometrical investigation (tissue-to-implant contact, peri-implant...

  17. Etude fondamentale des mecanismes de gravure par plasma de materiaux de pointe: Application a la fabrication de dispositifs photoniques

    Science.gov (United States)

    Stafford, Luc

    Advances in electronics and photonics critically depend upon plasma-based materials processing either for transferring small lithographic patterns into underlying materials (plasma etching) or for the growth of high-quality films. This thesis deals with the etching mechanisms of materials using high-density plasmas. The general objective of this work is to provide an original framework for the plasma-material interaction involved in the etching of advanced materials by putting the emphasis on complex oxides such as SrTiO3, (Ba,Sr)TiO 3 and SrBi2Ta2O9 films. Based on a synthesis of the descriptions proposed by different authors to explain the etching characteristics of simple materials in noble and halogenated plasma mixtures, we propose comprehensive rate models for physical and chemical plasma etching processes. These models have been successfully validated using experimental data published in literature for Si, Pt, W, SiO2 and ZnO. As an example, we have been able to adequately describe the simultaneous dependence of the etch rate on ion and reactive neutral fluxes and on the ion energy. From an exhaustive experimental investigation of the plasma and etching properties, we have also demonstrated that the validity of the proposed models can be extended to complex oxides such as SrTiO3, (Ba,Sr)TiO 3 and SrBi2Ta2O9 films. We also reported for the first time physical aspects involved in plasma etching such as the influence of the film microstructural properties on the sputter-etch rate and the influence of the positive ion composition on the ion-assisted desorption dynamics. Finally, we have used our deep investigation of the etching mechanisms of STO films and the resulting excellent control of the etch rate to fabricate a ridge waveguide for photonic device applications. Keywords: plasma etching, sputtering, adsorption and desorption dynamics, high-density plasmas, plasma diagnostics, advanced materials, photonic applications.

  18. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    Science.gov (United States)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  19. Characterization of plasma etching damage on p-type GaN using Schottky diodes

    International Nuclear Information System (INIS)

    Kato, M.; Mikamo, K.; Ichimura, M.; Kanechika, M.; Ishiguro, O.; Kachi, T.

    2008-01-01

    The plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of acceptors in p-type GaN. We investigated deep levels near the valence band of p-type GaN using current deep level transient spectroscopy (DLTS), and no deep level originating from the ICP etching damage was observed. On the other hand, by capacitance DLTS measurements for n-type GaN, we observed an increase in concentration of a donor-type defect with an activation energy of 0.25 eV after the ICP etching. The origin of this defect would be due to nitrogen vacancies. We also observed this defect by photocapacitance measurements for ICP-etched p-type GaN. For both n- and p-type GaN, we found that the low bias power ICP etching is effective to reduce the concentration of this defect introduced by the high bias power ICP etching

  20. Fabrication of high aspect ratio TiO{sub 2} and Al{sub 2}O{sub 3} nanogratings by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shkondin, Evgeniy, E-mail: eves@fotonik.dtu.dk [Department of Photonics Engineering, Technical University of Denmark, DK-2800 Kongens Lyngby, Denmark and Danish National Center for Micro- and Nanofabrication (DANCHIP), DK-2800 Kongens Lyngby (Denmark); Takayama, Osamu; Lavrinenko, Andrei V. [Department of Photonics Engineering, Technical University of Denmark, DK-2800 Kongens Lyngby (Denmark); Lindhard, Jonas Michael; Larsen, Pernille Voss; Mar, Mikkel Dysseholm; Jensen, Flemming [Danish National Center for Micro- and Nanofabrication (DANCHIP), DK-2800 Kongens Lyngby (Denmark)

    2016-05-15

    The authors report on the fabrication of TiO{sub 2} and Al{sub 2}O{sub 3} nanostructured gratings with an aspect ratio of up to 50. The gratings were made by a combination of atomic layer deposition (ALD) and dry etch techniques. The workflow included fabrication of a Si template using deep reactive ion etching followed by ALD of TiO{sub 2} or Al{sub 2}O{sub 3}. Then, the template was etched away using SF{sub 6} in an inductively coupled plasma tool, which resulted in the formation of isolated ALD coatings, thereby achieving high aspect ratio grating structures. SF{sub 6} plasma removes silicon selectively without any observable influence on TiO{sub 2} or Al{sub 2}O{sub 3}, thus revealing high selectivity throughout the fabrication. Scanning electron microscopy was used to analyze every fabrication step. Due to nonreleased stress in the ALD coatings, the top parts of the gratings were observed to bend inward as the Si template was removed, thus resulting in a gradual change in the pitch value of the structures. The pitch on top of the gratings is 400 nm, and it gradually reduces to 200 nm at the bottom. The form of the bending can be reshaped by Ar{sup +} ion beam etching. The chemical purity of the ALD grown materials was analyzed by x-ray photoelectron spectroscopy. The approach presented opens the possibility to fabricate high quality optical metamaterials and functional nanostructures.

  1. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E H; Miao Jianmin; Sun Jianbo

    2006-01-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions

  2. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E H [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore); Sun Jianbo [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore)

    2006-04-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions.

  3. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    International Nuclear Information System (INIS)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-01-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiO x layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W/L=10 μm/50 μm) fabricated on glass exhibited a high field-effect mobility of 35.8 cm 2 /V s, a subthreshold gate swing value of 0.59 V/decade, a thrseshold voltage of 5.9 V, and an I on/off ratio of 4.9x10 6 , which is acceptable for use as the switching transistor of an active-matrix TFT backplane

  4. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  5. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  6. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  7. Nanostructured plasma etched, magnetron sputtered nanolaminar Cr2AlC MAX phase thin films

    International Nuclear Information System (INIS)

    Grieseler, Rolf; Hähnlein, Bernd; Stubenrauch, Mike; Kups, Thomas; Wilke, Marcus; Hopfeld, Marcus; Pezoldt, Jörg; Schaaf, Peter

    2014-01-01

    The knowledge of the mechanical properties of new materials determines essentially their usability and functionality when used in micro- and nanostructures. MAX phases are new and highly interesting materials due to their unique combination of materials properties. In this article a new method for producing the Cr 2 AlC MAX phase is presented. Thin film elemental multilayer deposition and subsequent rapid thermal annealing forms the MAX phase within seconds. Additionally, free standing microstructures (beams and cantilevers) based on this MAX phase films are prepared by plasma etching. The mechanical properties of these MAX phase microstructures are investigated

  8. Josephson edge junctions on YBa2Cu3O7 thin films prepared with Br-ethanol etching

    International Nuclear Information System (INIS)

    Faley, M.I.; Poppe, U.; Daehne, U.; Goncharov, Yu.G.; Klein, N.; Urban, K.; Soltner, H.

    1993-01-01

    To produce damage-free edges is one of the main problems in the preparation of the Josephson edge-type junctions and interconnects in multilayer structures including high temperature superconductors. The commonly used ion beam etching has the disadvantages of the risk of contamination by redeposited material and structural damage to the surface of the edge. Vasquez et al and Gurvitch et al introduced a nonaqueous Br-ethanol etching for the preparation of clean surfaces of YBa 2 Cu 3 O 7 single crystals and thin films. We have developed a procedure of deep-UV-photolithography combined with nonaqueous Br-ethanol etching for the preparation of the Josephson edge-type junctions. Here we present the improvement of this method and report further results on the study of the electron transport properties of Josephson junctions with the edges of YBa 2 Cu 3 O 7 thin films produced by this technique. (orig.)

  9. Investigation of Plasma Etching for Superconducting RF Cavities Surface Preparation. Final Report

    International Nuclear Information System (INIS)

    Vuskovic, Leposava

    2009-01-01

    Our results show that plasma-treated samples are comparable or superior to a BCP sample, both in the size of features and sharpness of the boundaries between individual features at the surface. Plasma treatment of bulk Nb cavities is a promising technique for microwave cavities preparation used in particle acceleration application. Etching rates are sufficiently high to enable efficient removal of mechanically damaged surface layer with high reproducibility. No impurities are deposited on the bulk Nb surface during plasma treatment. Surface topology characteristic are promising for complex cavity geometry, since discharge conforms the profile of the reaction chamber. In view of these experimental results, we propose plasma treatment for producing microwave cavities with high Q factor instead of using bulk Nb treated with wet etching process.

  10. Dislocation reduction in heteroepitaxial Ge on Si using SiO{sub 2} lined etch pits and epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin; Han, Sang M. [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico 87131 (United States)

    2011-09-12

    We report a technique that significantly reduces threading dislocations in Ge on Si heteroepitaxy. Germanium is first grown on Si and etched to produce pits in the surface where threading dislocations terminate. Further processing leaves a layer of SiO{sub 2} only within etch pits. Subsequent selective epitaxial Ge growth results in coalescence above the SiO{sub 2}. The SiO{sub 2} blocks the threading dislocations from propagating into the upper Ge epilayer. With annealed Ge films grown on Si, the said method reduces the defect density from 2.6 x 10{sup 8} to 1.7 x 10{sup 6} cm{sup -2}, potentially making the layer suitable for electronic and photovoltaic devices.

  11. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  12. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    Science.gov (United States)

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, V.; Doležalová, E.; Šimek, M.; Biederman, H.

    2017-04-01

    Many studies proved that non-equilibrium discharges generated at atmospheric pressure are highly effective for the bio-decontamination of surfaces of various materials. One of the key processes that leads to a desired result is plasma etching and thus the evaluation of etching rates of organic materials is of high importance. However, the comparison of reported results is rather difficult if impossible as different authors use diverse sources of atmospheric plasma that are operated at significantly different operational parameters. Therefore, we report here on the systematic study of the etching of nine different common polymers that mimic the different structures of more complicated biological systems, bovine serum albumin (BSA) selected as the model protein and spores of Bacillus subtilis taken as a representative of highly resistant micro-organisms. The treatment of these materials was performed by means of atmospheric pressure dielectric barrier discharge (DBD) sustained in open air at constant conditions. All tested polymers, BSA and spores, were readily etched by DBD plasma. However, the measured etching rates were found to be dependent on the chemical structure of treated materials, namely on the presence of oxygen in the structure of polymers.

  13. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    International Nuclear Information System (INIS)

    Altamore, C; Tringali, C; Sparta', N; Marco, S Di; Grasso, A; Ravesi, S

    2010-01-01

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10 5 ) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10 1 Hz to 10 6 Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl 2 /Ar chemistry. The relationship between the etch rate and the Cl 2 /Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl 2 /Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  14. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Altamore, C; Tringali, C; Sparta' , N; Marco, S Di; Grasso, A; Ravesi, S [STMicroelectronics, Industial and Multi-segment Sector R and D, Catania (Italy)

    2010-02-15

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10{sup 5}) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10{sup 1} Hz to 10{sup 6} Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl{sub 2}/Ar chemistry. The relationship between the etch rate and the Cl{sub 2}/Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl{sub 2}/Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  15. Hydrogen effects in hydrofluorocarbon plasma etching of silicon nitride: Beam study with CF{sup +}, CF{sub 2}{sup +}, CHF{sub 2}{sup +}, and CH{sub 2}F{sup +} ions

    Energy Technology Data Exchange (ETDEWEB)

    Ito, Tomoko; Karahashi, Kazuhiro; Fukasawa, Masanaga; Tatsumi, Tetsuya; Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, SBG, CPDG, Sony Corporation, Atsugi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan)

    2011-09-15

    Hydrogen in hydrofluorocarbon plasmas plays an important role in silicon nitride (Si{sub 3}N{sub 4}) reactive ion etching. This study focuses on the elementary reactions of energetic CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions with Si{sub 3}N{sub 4} surfaces. In the experiments, Si{sub 3}N{sub 4} surfaces were irradiated by monoenergetic (500-1500 eV) beams of CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions as well as hydrogen-free CF{sub 2}{sup +} and CF{sup +} ions generated by a mass-selected ion beam system and their etching yields and surface properties were examined. It has been found that, when etching takes place, the etching rates of Si{sub 3}N{sub 4} by hydrofluorocarbon ions, i.e., CHF{sub 2}{sup +} and CH{sub 2}F{sup +}, are higher than those by the corresponding fluorocarbon ions, i.e., CF{sub 2}{sup +} and CF{sup +}, respectively. When carbon film deposition takes place, it has been found that hydrogen of incident hydrofluorocarbon ions tends to scavenge fluorine of the deposited film, reducing its fluorine content.

  16. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  17. Plasma surface modification of polypropylene track-etched membrane to improve its performance properties

    Science.gov (United States)

    Kravets, L. I.; Elinson, V. M.; Ibragimov, R. G.; Mitu, B.; Dinescu, G.

    2018-02-01

    The surface and electrochemical properties of polypropylene track-etched membrane treated by plasma of nitrogen, air and oxygen are studied. The effect of the plasma-forming gas composition on the surface morphology is considered. It has been found that the micro-relief of the membrane surface formed under the gas-discharge etching, changes. Moreover, the effect of the non-polymerizing gas plasma leads to formation of oxygen-containing functional groups, mostly carbonyl and carboxyl. It is shown that due to the formation of polar groups on the surface and its higher roughness, the wettability of the plasma-modified membranes improves. In addition, the presence of polar groups on the membrane surface layer modifies its electrochemical properties so that conductivity of plasma-treated membranes increase.

  18. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  19. Selective SiO2 etching in three dimensional structures using parylene-C as mask

    NARCIS (Netherlands)

    Veltkamp, Henk-Willem; Zhao, Yiyuan; de Boer, Meint J.; Wiegerink, Remco J.; Lötters, Joost Conrad

    2017-01-01

    This abstract describes an application of an easy and straightforward method for selective SiO2 etching in three dimensional structures, which is developed by our group. The application in this abstract is the protection of the buried-oxide (BOX) layer of a silicon-on-insulator (SOI) wafer against

  20. Performance enhancement of membrane electrode assemblies with plasma etched polymer electrolyte membrane in PEM fuel cell

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Yong-Hun; Yoon, Won-Sub [School of Advanced Materials Engineering, Kookmin University, 861-1 Jeongneung-dong, Seongbuk-gu, Seoul 136-702 (Korea); Bae, Jin Woo; Cho, Yoon-Hwan; Lim, Ju Wan; Ahn, Minjeh; Jho, Jae Young; Sung, Yung-Eun [World Class University (WCU) program of Chemical Convergence for Energy and Environment (C2E2), School of Chemical and Biological Engineering, College of Engineering, Seoul National University (SNU), 599 Gwanak-Ro, Gwanak-gu, Seoul 151-744 (Korea); Kwon, Nak-Hyun [Fuel Cell Vehicle Team 3, Advanced Technology Center, Corporate Research and Development Division, Hyundai-Kia Motors, 104 Mabuk-dong, Giheung-gu, Yongin-si, Gyeonggi-do 446-912 (Korea)

    2010-10-15

    In this work, a surface modified Nafion 212 membrane was fabricated by plasma etching in order to enhance the performance of a membrane electrode assembly (MEA) in a polymer electrolyte membrane fuel cell. Single-cell performance of MEA at 0.7 V was increased by about 19% with membrane that was etched for 10 min compared to that with untreated Nafion 212 membrane. The MEA with membrane etched for 20 min exhibited a current density of 1700 mA cm{sup -2} at 0.35 V, which was 8% higher than that of MEA with untreated membrane (1580 mA cm{sup -2}). The performances of MEAs containing etched membranes were affected by complex factors such as the thickness and surface morphology of the membrane related to etching time. The structural changes and electrochemical properties of the MEAs with etched membranes were characterized by field emission scanning electron microscopy, Fourier transform-infrared spectrometry, electrochemical impedance spectroscopy, and cyclic voltammetry. (author)

  1. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  2. Cold plasmas

    International Nuclear Information System (INIS)

    Franz, G.

    1990-01-01

    This textbook discusses the following topics: Phenomenological description of a direct current glow discharge; the plasma (temperature distribution and measurement, potential variation, electron energy distribution function, charge neutralization, wall potentials, plasma oscillations); Production of charge carriers (ions, electrons, ionization in the cathode zone, negative glowing zone, Faraday dark space, positive column, anode zone, hollow cathode discharges); RF-discharges (charge carrier production, RF-Shields, scattering mechanisms); Sputtering (ion-surface interaction, kinetics, sputtering yield and energy distribution, systems and conditions, film formation and stresses, contamination, bias techniques, multicomponent film deposition, cohesion, magnetrons, triode systems, plasma enhanced chemical vapor deposition); Dry etching (sputter etching, reactive etching, topography, process control, quantitative investigations); Etching mechanisms (etching of Si and SiO 2 with CF 4 , of III/V-compound-semiconductors, combination of isotrope and anisotrope etching methods, surface cleaning); ion beam systems (applications, etching); Dyclotron-resonance-systems (electron cyclotron resonance systems, whistler-sources and 'resonant inductive plasma etching'); Appendix (electron energy distribution functions, Bohm's transition zone, plasma oscillations, scattering cross sections and mean free path, metastable states, Child-Langmuir-Schottky equation, loss mechanisms, charge carrier distribution in the positive column, breakdown at high frequencies, motion in a magnetic field, skin depth of an electric field for a HF-discharge, whistler waves, dispersion relations for plane wave propagation). (orig.) With 138 figs

  3. The interplay between surface charging and microscale roughness during plasma etching of polymeric substrates

    Science.gov (United States)

    Memos, George; Lidorikis, Elefterios; Kokkoris, George

    2018-02-01

    The surface roughness developed during plasma etching of polymeric substrates is critical for a variety of applications related to the wetting behavior and the interaction of surfaces with cells. Toward the understanding and, ultimately, the manipulation of plasma induced surface roughness, the interplay between surface charging and microscale roughness of polymeric substrates is investigated by a modeling framework consisting of a surface charging module, a surface etching model, and a profile evolution module. The evolution of initially rough profiles during plasma etching is calculated by taking into account as well as by neglecting charging. It is revealed, on the one hand, that the surface charging contributes to the suppression of root mean square roughness and, on the other hand, that the decrease of the surface roughness induces a decrease of the charging potential. The effect of charging on roughness is intense when the etching yield depends solely on the ion energy, and it is mitigated when the etching yield additionally depends on the angle of ion incidence. The charging time, i.e., the time required for reaching a steady state charging potential, is found to depend on the thickness of the polymeric substrate, and it is calculated in the order of milliseconds.

  4. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    Science.gov (United States)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  5. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  6. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  7. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  8. PLD prepared nanostructured Pt-CeO{sub 2} thin films containing ionic platinum

    Energy Technology Data Exchange (ETDEWEB)

    Vorokhta, M., E-mail: vorohtam@gmail.com [Charles University in Prague, Faculty of Mathematics and Physics, Department of Surface and Plasma Science, V Holešovičkách 2, 18000 Prague 8 (Czech Republic); Khalakhan, I.; Matolínová, I.; Nováková, J.; Haviar, S. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Surface and Plasma Science, V Holešovičkách 2, 18000 Prague 8 (Czech Republic); Lančok, J.; Novotný, M. [Institute of Physics, Academy of Sciences of the Czech Republic, Na Slovance 2, 182 21 Prague, Czhech Republic (Czech Republic); Yoshikawa, H. [National Institute for Materials Science, Sengen 1-2-1, Tsukuba, Ibaraki 305-0047 (Japan); Matolín, V. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Surface and Plasma Science, V Holešovičkách 2, 18000 Prague 8 (Czech Republic)

    2017-02-28

    Highlights: • Nanostructured Pt-CeO{sub 2} thin catalyst films were grown on plasma etched and non-etched carbon substrates by pulsed laser deposition. • The surface composition of the nanostructured Pt-CeO{sub 2} films was investigated by surface analysis techniques. • The effect of film roughening was separated from the effect of platinum-ceria atomic interactions. - Abstract: The composition of nanostructured Pt-CeO{sub 2} films on graphite substrates prepared by pulsed laser deposition has been investigated by means of hard X-ray photoelectron spectroscopy, scanning electron microscopy, high resolution transmission electron microscopy, and atomic force microscopy. The influence of morphology of the graphite substrates was investigated with respect to the relative concentrations of ionic and metallic Pt species in the films. It was found that the degree of Pt{sup 2+} enrichment is directly related to the surface morphology of graphite substrates. In particular, the deposition of Pt-CeO{sub 2} films on rough graphite substrate etched in oxygen plasma yielded nanostructured Pt-CeO{sub 2} catalyst films with high surface area and high Pt{sup 2+}/Pt{sup 0} ratio. The presented results demonstrate that PLD is a suitable method for the preparation of thin Pt-CeO{sub 2} catalyst films for fuel cell applications.

  9. Role of O radical on the formation of O{sub 2} gas plasma; O{sub 2} purazuma ni okeru O rajikaru no yakuwari

    Energy Technology Data Exchange (ETDEWEB)

    Matsui, I. [Toshiba Reasearch and Development Ceter, Tokyo (Japan). Mechanical Systems Laboratory

    2000-11-10

    The effect of plasma formed gas on the plasma structure is investigated using a self consistent continuous fluid plasma model, which assumes that the local field approximation was attained in the RF plasma. The swarm parameter was obtained by Boltzmann analysis for O{sub 2} gas which contains various amounts of O radicals. Positive ion density, negative ion density, and electron density in the O{sub 2} RF plasma including O radical generation were calculated by self-consistent one dimensional continuous fluid plasma model. The calculation results show the dominant positive charged particle became O{sup +} from O{sub 2}{sup +} with increasing amount of O radical in O{sub 2}. The plasma positive densities are not greatly affected if O radical content did not exceed 1 % of O{sub 2}. It was concluded that O{sub 2} plasma structure can be evaluated by source gas plasma calculation neglecting radical formation, since O radical formation in the O{sub 2} plasma is estimated as less than 0.2 % of O{sub 2}. (author)

  10. A Macroporous TiO2 Oxygen Sensor Fabricated Using Anodic Aluminium Oxide as an Etching Mask

    Directory of Open Access Journals (Sweden)

    Sheng-Po Wu

    2010-01-01

    Full Text Available An innovative fabrication method to produce a macroporous Si surface by employing an anodic aluminium oxide (AAO nanopore array layer as an etching template is presented. Combining AAO with a reactive ion etching (RIE processes, a homogeneous and macroporous silicon surface can be effectively configured by modulating AAO process parameters and alumina film thickness, thus hopefully replacing conventional photolithography and electrochemical etch methods. The hybrid process integration is considered fully CMOS compatible thanks to the low-temperature AAO and CMOS processes. The gas-sensing characteristics of 50 nm TiO2 nanofilms deposited on the macroporous surface are compared with those of conventional plain (or non-porous nanofilms to verify reduced response noise and improved sensitivity as a result of their macroporosity. Our experimental results reveal that macroporous geometry of the TiO2 chemoresistive gas sensor demonstrates 2-fold higher (~33% improved sensitivity than a non-porous sensor at different levels of oxygen exposure. In addition, the macroporous device exhibits excellent discrimination capability and significantly lessened response noise at 500 °C. Experimental results indicate that the hybrid process of such miniature and macroporous devices are compatible as well as applicable to integrated next generation bio-chemical sensors.

  11. Modeling Plasma-based CO2 and CH4 Conversion in Mixtures with N2, O2 and H2O: the Bigger Plasma Chemistry Picture

    KAUST Repository

    Wang, Weizong

    2018-01-18

    Due to the unique properties of plasma technology, its use in gas conversion applications is gaining significant interest around the globe. Plasma-based CO2 and CH4 conversion have become major research areas. Many investigations have already been performed regarding the single component gases, i.e. CO2 splitting and CH4 reforming, as well as for two component mixtures, i.e. dry reforming of methane (CO2/CH4), partial oxidation of methane (CH4/O2), artificial photosynthesis (CO2/H2O), CO2 hydrogenation (CO2/H2), and even first steps towards the influence of N2 impurities have been taken, i.e. CO2/N2 and CH4/N2. In this feature article we briefly discuss the advances made in literature for these different steps from a plasma chemistry modeling point of view. Subsequently, we present a comprehensive plasma chemistry set, combining the knowledge gathered in this field so far, and supported with extensive experimental data. This set can be used for chemical kinetics plasma modeling for all possible combinations of CO2, CH4, N2, O2 and H2O, to investigate the bigger picture of the underlying plasmachemical pathways for these mixtures in a dielectric barrier discharge plasma. This is extremely valuable for the optimization of existing plasma-based CO2 conversion and CH4 reforming processes, as well as for investigating the influence of N2, O2 and H2O on these processes, and even to support plasma-based multi-reforming processes.

  12. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  13. Studies of the confinement at laser-induced backside dry etching using infrared nanosecond laser pulses

    Science.gov (United States)

    Ehrhardt, M.; Lorenz, P.; Bayer, L.; Han, B.; Zimmer, K.

    2018-01-01

    In the present study, laser-induced backside etching of SiO2 at an interface to an organic material using laser pulses with a wavelength of λ = 1064 nm and a pulse length of τ = 7 ns have been performed in order to investigate selected processes involved in etching of the SiO2 at confined ablation conditions with wavelengths well below the band gap of SiO2. Therefore, in between the utilized metallic absorber layer and the SiO2 surface, a polymer interlayer with a thickness between 20 nm to 150 nm was placed with the aim, to separate the laser absorption process in the metallic absorber layer from the etching process of the SiO2 surface due to the provided organic interlayer. The influence of the confinement of the backside etching process was analyzed by the deposition of different thick polymer layers on top of the metallic absorber layer. In particular, it was found that the SiO2 etching depth decreases with higher polymer interlayer thickness. However, the etching depth increases with increasing the confinement layer thickness. SEM images of the laser processed areas show that the absorber and confinement layers are ruptured from the sample surface without showing melting, and suggesting a lift off process of these films. The driving force for the layers lift off and the etching of the SiO2 is probably the generated laser-induce plasma from the confined ablation that provides the pressure for lift off, the high temperatures and reactive organic species that can chemically attack the SiO2 surface at these conditions.

  14. Growth, etching, and stability of sputtered ZnO:Al for thin-film silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Owen, Jorj Ian

    2011-07-01

    Aluminum-doped zinc oxide (ZnO:Al) can fulfill many requirements in thin-film solar cells, acting as (1) a transparent contact through which the incident light is transmitted, (2) part of the back reflector, and (3) a source of light scattering. Magnetron sputtered ZnO:Al thin-films are highly transparent, conductive, and are typically texturized by post-deposition etching in a dilute hydrochloric acid (HCl) solution to achieve light scattering. The ZnO:Al thin-film electronic and optical properties, as well as the surface texture after etching, depend on the deposition conditions and the post-deposition treatments. Despite having been used in thin-film solar cells for more than a decade, many aspects regarding the growth, effects of heat treatments, environmental stability, and etching of sputtered ZnO:Al are not fully understood. This work endeavors to further the understanding of ZnO:Al for the purpose improving silicon thin-film solar cell efficiency and reducing ZnO:Al production costs. With regard to the growth of ZnO:Al, the influence of various deposition conditions on the resultant electrical and structural properties and their evolution with film thickness were studied. The surface electrical properties extracted from a multilayer model show that while carrier concentration of the surface layer saturates already at film thickness of 100 nm, the surface mobility continues to increases with film thickness, and it is concluded that electronic transport across grain boundaries limits mobility in ZnO:Al thin films. ZnO:Al deposited onto a previously etched ZnO:Al surface grows epitaxially, preserving both the original orientation and grain structure. Further, it is determined that a typical ZnO:Al used in thin-film silicon solar cells grows Zn-terminated on glass substrates. Concerning the affects of heat treatments and stability, it is demonstrated that a layer of amorphous silicon can protect ZnO:Al from degradation during annealing, and the mobility of ZnO

  15. Foundations of low-temperature plasma enhanced materials synthesis and etching

    Science.gov (United States)

    Oehrlein, Gottlieb S.; Hamaguchi, Satoshi

    2018-02-01

    Low temperature plasma (LTP)-based synthesis of advanced materials has played a transformational role in multiple industries, including the semiconductor industry, liquid crystal displays, coatings and renewable energy. Similarly, the plasma-based transfer of lithographically defined resist patterns into other materials, e.g. silicon, SiO2, Si3N4 and other electronic materials, has led to the production of nanometer scale devices that are the basis of the information technology, microsystems, and many other technologies based on patterned films or substrates. In this article we review the scientific foundations of both LTP-based materials synthesis at low substrate temperature and LTP-based isotropic and directional etching used to transfer lithographically produced resist patterns into underlying materials. We cover the fundamental principles that are the basis of successful application of the LTP techniques to technological uses and provide an understanding of technological factors that may control or limit material synthesis or surface processing with the use of LTP. We precede these sections with a general discussion of plasma surface interactions, the LTP-generated particle fluxes including electrons, ions, radicals, excited neutrals and photons that simultaneously contact and modify surfaces. The surfaces can be in the line of sight of the discharge or hidden from direct interaction for structured substrates. All parts of the article are extensively referenced, which is intended to help the reader study the topics discussed here in more detail.

  16. Wet-etching induced abnormal phase transition in highly strained VO{sub 2}/TiO{sub 2} (001) epitaxial film

    Energy Technology Data Exchange (ETDEWEB)

    Ren, Hui; Chen, Shi; Chen, Yuliang; Luo, Zhenlin; Zhou, Jingtian; Zheng, Xusheng; Wang, Liangxin; Li, Bowen; Zou, Chongwen [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei (China)

    2018-01-15

    The metal-insulator transition (MIT) behavior in vanadium dioxide (VO{sub 2}) epitaxial film is known to be dramatically affected by interfacial stress due to lattice mismatching. For the VO{sub 2}/TiO{sub 2} (001) system, there exists a considerable strain in ultra-thin VO{sub 2} thin film, which shows a lower T{sub c} value close to room temperature. As the VO{sub 2} epitaxial film grows thicker layer-by-layer along the ''bottom-up'' route, the strain will be gradually relaxed and T{sub c} will increase as well, until the MIT behavior becomes the same as that of bulk material with a T{sub c} of about 68 C. Whereas, in this study, we find that the VO{sub 2}/TiO{sub 2} (001) film thinned by ''top-down'' wet-etching shows an abnormal variation in MIT, which accompanies the potential relaxation of film strain with thinning. It is observed that even when the strained VO{sub 2} film is etched up to several nanometers, the MIT persists, and T{sub c} will increase up to that of bulk material, showing the trend to a stress-free ultra-thin VO{sub 2} film. The current findings demonstrate a facial chemical-etching way to change interfacial strain and modulate the phase transition behavior of ultrathinVO{sub 2} films, which can also be applied to other strained oxide films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  18. Spent nuclear fuel recycling with plasma reduction and etching

    Science.gov (United States)

    Kim, Yong Ho

    2012-06-05

    A method of extracting uranium from spent nuclear fuel (SNF) particles is disclosed. Spent nuclear fuel (SNF) (containing oxides of uranium, oxides of fission products (FP) and oxides of transuranic (TRU) elements (including plutonium)) are subjected to a hydrogen plasma and a fluorine plasma. The hydrogen plasma reduces the uranium and plutonium oxides from their oxide state. The fluorine plasma etches the SNF metals to form UF6 and PuF4. During subjection of the SNF particles to the fluorine plasma, the temperature is maintained in the range of 1200-2000 deg K to: a) allow any PuF6 (gas) that is formed to decompose back to PuF4 (solid), and b) to maintain stability of the UF6. Uranium (in the form of gaseous UF6) is easily extracted and separated from the plutonium (in the form of solid PuF4). The use of plasmas instead of high temperature reactors or flames mitigates the high temperature corrosive atmosphere and the production of PuF6 (as a final product). Use of plasmas provide faster reaction rates, greater control over the individual electron and ion temperatures, and allow the use of CF4 or NF3 as the fluorine sources instead of F2 or HF.

  19. Aligned Carbon Nanotubes Array by DC Glow Plasma Etching for Supercapacitor

    Directory of Open Access Journals (Sweden)

    Yongfeng Luo

    2013-01-01

    Full Text Available To open the end of carbon nanotubes and make these ends connect with functional carboxyl group, aligned carbon nanotubes (CNTs arrays was etched by DC glow oxygen-argon plasma. With these open-ended carbon nanotubes array as electrode materials to build supercapacitor, we found that the capacity (32.2 F/g increased significantly than that of pure carbon nanotubes (6.7 F/g.

  20. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  1. Crystal defects observed by the etch-pit method and their effects on Schottky-barrier-diode characteristics on (\\bar{2}01) β-Ga2O3

    Science.gov (United States)

    Kasu, Makoto; Oshima, Takayoshi; Hanada, Kenji; Moribayashi, Tomoya; Hashiguchi, Akihiro; Oishi, Toshiyuki; Koshi, Kimiyoshi; Sasaki, Kohei; Kuramata, Akito; Ueda, Osamu

    2017-09-01

    A pixel array of vertical Schottky-barrier diodes (SBDs) was fabricated and measured on the surface of a (\\bar{2}01) β-Ga2O3 single crystal. Subsequently, etch pits and patterns were observed on the same surface. Three types of etch pits were discovered: (1) a line-shaped etch pattern originating from a void and extending toward the [010] direction, (2) an arrow-shaped etch pit whose arrow’s head faces toward the [102] direction and, (3) a gourd-shaped etch pit whose point head faces toward the [102] direction. Their average densities were estimated to be 5 × 102, 7 × 104, and 9 × 104 cm-2, respectively. We confirmed no clear relationship between the leakage current in SBDs and these crystalline defects. Such results are obtained because threading dislocations run mainly in the [010] growth direction and do not go through the (\\bar{2}01) sample plate.

  2. Development of Polysulfone Hollow Fiber Porous Supports for High Flux Composite Membranes: Air Plasma and Piranha Etching

    Directory of Open Access Journals (Sweden)

    Ilya Borisov

    2017-02-01

    Full Text Available For the development of high efficiency porous supports for composite membrane preparation, polysulfone (PSf hollow fiber membranes (outer diameter 1.57 mm, inner diameter 1.12 mm were modified by air plasma using the low temperature plasma treatment pilot plant which is easily scalable to industrial level and the Piranha etch (H2O2 + H2SO4. Chemical and plasma modification affected only surface layers and did not cause PSf chemical structure change. The modifications led to surface roughness decrease, which is of great importance for further thin film composite (TFC membranes fabrication by dense selective layer coating, and also reduced water and ethylene glycol contact angle values for modified hollow fibers surface. Furthermore, the membranes surface energy increased two-fold. The Piranha mixture chemical modification did not change the membranes average pore size and gas permeance values, while air plasma treatment increased pore size 1.5-fold and also 2 order enhanced membranes surface porosity. Since membranes surface porosity increased due to air plasma treatment the modified membranes were used as efficient supports for preparation of high permeance TFC membranes by using poly[1-(trimethylsilyl-1-propyne] as an example for selective layer fabrication.

  3. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    Science.gov (United States)

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  4. Continuous deep reactive ion etching of tapered via holes for three-dimensional integration

    NARCIS (Netherlands)

    Li, R.; Lamy, Y.; Besling, W.F.A.; Roozeboom, F.; Sarro, P.M.

    2008-01-01

    A continuous SF6/O2 plasma process at room temperature has been used to etch tapered through-silicon vias using a DRIE-ICP tool. These features (10–100 µm in diameter) are aimed for applications in 3D integration and MEMS packaging. The effects of various process parameters such as O2 flow rate,

  5. Plasma-assisted adsorption of elemental mercury on CeO2/TiO2 at low temperatures

    Science.gov (United States)

    Liu, Lu; Zheng, Chenghang; Gao, Xiang

    2017-11-01

    Mercury is a kind of pollutants contained in flue gas which is hazardous for human beings. In this work, CeO2 was packed in the discharge zone of a plasma reactor to adsorb elemental mercury at low temperatures. Plasma-catalyst reactor can remove Hg0 efficiently with CeO2/TiO2 catalysts packed in the discharge zone. The Hg0 concentration continued to decrease gradually when the plasma was turned on, but not sank rapidly. This tendency was different with other catalysts. The treatment of plasma to CeO2/TiO2 catalysts has a promotion effect on the adsorption of Hg0. Plasma has the effect of changing the surface properties of the catalysts and the changes would restitute if the condition changed. The long-running test demonstrated that this method is an effective way to remove Hg0. The removal efficiency remained at above 99% throughout 12 hours when plasma had been turned on (15kV, 0.5 g packed CeO2/TiO2).

  6. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2008-12-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. It has been found that etching parameters can be controlled to produce a considerably low optical reflectivity porous GaAs layer, attractive for use in solar cells. In addition, it has been observed that the deposition of plasma polymerized HMDSO thin film on porous GaAs surface can be utilized to produce a surface with novel optical properties interesting for solar cells and optoelectronic devices. (author)

  7. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    Science.gov (United States)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  8. Detailed microstructure analysis of as-deposited and etched porous ZnO films

    International Nuclear Information System (INIS)

    Shang, Congcong; Thimont, Yohann; Barnabé, Antoine; Presmanes, Lionel; Pasquet, Isabelle; Tailhades, Philippe

    2015-01-01

    Graphical abstract: - Highlights: • Porous ZnO thin films were deposited by rf magnetron sputtering. • Surface enhancement factors were deduced from geometrical considerations. • Enlargement of the inter-grain spaces have been achieved by HCl chemical etching. • Microstructural parameters were deduced from SEM, AFM and optical measurements. - Abstract: ZnO nanostructured materials in thin film forms are of particular interest for photovoltaic or photocatalysis processes but they suffer from a lack of simple methods for optimizing their microstructure. We have demonstrated that microporous ZnO thin films with optimized inter grain accessibility can be produce by radio frequency magnetron sputtering process and chemical etching with 2.75 mM HCl solution for different duration. The as-deposited ZnO thin films were first characterized in terms of structure, grain size, inter grain space, open cavity depth and total thickness of the film by XRD, AFM, SEM, profilometry and optical measurements. A specific attention was dedicated to the determination of the surface enhancement factor (SEF) by using basic geometrical considerations and images treatments. In addition, the porous fraction and its distribution in the thickness have been estimated thanks to the optical simulation of the experimental UV–Visible–IR spectrums using the Bruggeman dielectric model and cross section SEM images analysis respectively. This study showed that the microstructure of the as-deposited films consists of a dense layer covered by a porous upper layer developing a SEF of 12–13 m 2 m −2 . This two layers architecture is not modified by the etching process. The etching process only affects the upper porous layer in which the overall porosity and the inter-grain space increase with the etching duration. Column diameter and total film thickness decrease at the same time when the films are soaked in the HCl bath. The microporous structure obtained after the etching process could

  9. Modification of optical and electrical properties of chemical bath deposited SnS using O{sub 2} plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gómez, A. [Facultad de Ciencias, Universidad Autónoma del Estado de México, Estado de México, México (Mexico); Martínez, H., E-mail: hm@fis.unam.mx [Instituto de Ciencias Fisicas, Universidad Nacional Autónoma de México, Apartado Postal 48-3, 62251, Cuernavaca, Morelos (Mexico); Calixto-Rodríguez, M. [Centro de Investigación en Energía, Universidad Autónoma del Estado de México, Estado de México, México (Mexico); Avellaneda, D. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, México (Mexico); Reyes, P.G. [Facultad de Ciencias, Universidad Autónoma del Estado de México, Estado de México, México (Mexico); Flores, O. [Instituto de Ciencias Fisicas, Universidad Nacional Autónoma de México, Apartado Postal 48-3, 62251, Cuernavaca, Morelos (Mexico)

    2013-06-15

    In this paper, we report modifications of structural and optical, electrical properties that occur in tin sulphide (SnS) treated in O{sub 2} plasma. The SnS thin films were deposited by chemical bath deposition technique. The samples were treated in an O{sub 2} plasma discharge at 3 Torr of pressure discharge, a discharge voltage of 2.5 kV and 20 mA of discharge current. The prepared and treated thin films were characterized by X-ray diffraction, scanning electron microscopy and energy dispersive X-ray analysis. The photoconductivity and electrical effects of SnS have been studied. The SnS thin films had an orthorhombic crystalline structure. With the plasma treatment the optical gap and electrical properties of the SnS films changed from 1.61 to 1.84 eV, for 3.9 × 10{sup 5} to 10.42 Ω cm, respectively. These changes can be attributed to an increase in electron density, percolation effects due to porosity, surface degradation/etching that is an increase in surface roughness, where some structural changes related to crystallinity occurs like a high grain size as revealed by SEM images.

  10. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  11. Rapid reagent-less on-line H2O2 quantification in alkaline semiconductor etching solution, Part 2: Nephelometry application.

    Science.gov (United States)

    Zlatev, Roumen; Stoytcheva, Margarita; Valdez, Benjamin

    2018-03-01

    A simple and rapid reagent less nephelometric method for on-line H 2 O 2 quantification in semiconductors etching solutions was developed, optimized, characterized and validated. The intensity of the light scattered by the oxygen gas suspension resulted from H 2 O 2 catalytic decomposition by immobilized MnO 2 was registered as analytical response. The influences of the light wave length, the agitation rate, the temperature and the catalyst surface area on the response amplitude were studied and optimization was done. The achieved linear concentration range from 10 to 150mmolL -1 at 0.9835 calibration curve correlation coefficient, precision from 3.65% to 0.95% and response time from 35 to 20s respectively, at sensitivity of 8.01µAmmol -1 L and LOD of 2.9mmolL -1 completely satisfy the semiconductor industry requirements. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  13. Comparison of damage introduced into GaN/AlGaN/GaN heterostructures using selective dry etch recipes

    International Nuclear Information System (INIS)

    Green, R T; Luxmoore, I J; Houston, P A; Ranalli, F; Wang, T; Parbrook, P J; Uren, M J; Wallis, D J; Martin, T

    2009-01-01

    A SiCl 4 /SF 6 dry etch plasma recipe is presented giving a selectivity of 14:1 between GaN and AlGaN. Using a leakage test structure, which enables bulk and surface leakage components to be identified independently, the optimized recipe is compared to an un-etched sample and devices recessed using a Cl 2 /Ar/O 2 -based plasma chemistry. Devices etched using the SiCl 4 /SF 6 recipe demonstrated reduced bulk and surface leakage currents when operated over a wide range of temperatures. Consequently the SiCl 4 /SF 6 recipe is identified as most suitable for the fabrication of gate recessed AlGaN/GaN HEMTs

  14. Alkali-etching growth of nest-like Ag@mTiO2 hierarchical nanostructures and their potential applications.

    Science.gov (United States)

    Zhang, Zongnan; Zhang, Haijiao

    2017-06-01

    Porous nanomaterials have attracted extensive interests in adsorption, catalysis, biosensors, and biomedicine due to their high surface area, well-defined pore structure and tunable pore size. However, how to obtain porous nanomaterials of desirable component and unique structure with multifunctionalities and synergetic properties is still a great challenge. In this work, a novel nest-like Ag@mTiO 2 hierarchical nanostructure with Ag nanoparticle as the core and a mesoporous crystalline TiO 2 as the protective shell was successfully prepared by layer-by-layer assembly technique and alkali-etching hydrothermal route. By simply changing the conditions of alkali etching, different nanostructures could be obtained, such as core-shell or rattle type. In the process, the thickness of coating silica layer and TiO 2 shell both played important roles for the formation of desired nanostructures. The as-prepared products had a large specific surface area of 301m 2 /g and a tailored TiO 2 outer shell. Raman spectra results showed perfect SERS signal of the tags enhanced and remained good stability even after one month. Doxycycline (Doxy) was chosen to evaluate their drug loading and controlled release properties. The results indicated that the obtained Ag@mTiO 2 nanoparticles exhibited good biocompatibility and excellent drug-loading capacity. Consequently, they are also expected to serve as ideal candidates for more potential applications including photocatalysis, drug controlled release, biosensor and cell imaging, etc. Copyright © 2017 Elsevier B.V. All rights reserved.

  15. Plasma-induced formation of flower-like Ag2O nanostructures

    International Nuclear Information System (INIS)

    Yang, Zen-Hung; Ho, Chun-Hsien; Lee, Szetsen

    2015-01-01

    Graphical abstract: Flower-like Ag 2 O nanostructures. - Highlights: • Flower-like Ag 2 O nanostructures were synthesized from Ag colloids using plasma. • XPS was used to monitor plasma treatment effect on Ag colloids. • SERS of methyl orange was used to monitor the plasma oxidation–reduction processes. • Photocatalytic degradation of methylene blue was performed using Ag 2 O. • Ag 2 O is a more efficient visible light photocatalyst than Ag colloids. - Abstract: Plasma treatment effect on Ag colloids was investigated using X-ray photoelectron spectroscopy (XPS) and surface-enhanced Raman scattering (SERS) techniques. XPS showed that O 2 plasma was critical in removing organic residues in Ag colloids synthesized using citric acid as a reducing agent. With O 2 plasma treatment, Ag colloids were also oxidized to form flower-like Ag 2 O nanostructures. The formation mechanism is proposed. The SERS spectral intensity of methyl orange (MO) adsorbed on Ag surface became deteriorated with O 2 plasma treatment. Followed by H 2 plasma treatment, the SERS intensity of MO on Ag regained, which indicated that Ag 2 O has been reduced to Ag. Nonetheless, the reduction by H 2 plasma could not bring Ag back to the original as-synthesized nanoparticle morphology. The flower-like nanostructure morphology still remained. The photocatalytic degradation reactions of methylene blue (MB) aqueous solutions were carried out using Ag colloids and Ag 2 O nanostructures. The results show that Ag 2 O is more efficient than Ag colloids and many other metal oxides for the photocatalytic degradation of MB in solution when utilizing visible light

  16. Thinning of N-face GaN (0001) samples by inductively coupled plasma etching and chemomechanical polishing

    International Nuclear Information System (INIS)

    Rizzi, F.; Gu, E.; Dawson, M. D.; Watson, I. M.; Martin, R. W.; Kang, X. N.; Zhang, G. Y.

    2007-01-01

    The processing of N-polar GaN (0001) samples has been studied, motivated by applications in which extensive back side thinning of freestanding GaN (FS-GaN) substrates is required. Experiments were conducted on FS-GaN from two commercial sources, in addition to epitaxial GaN with the N-face exposed by a laser lift-off process. The different types of samples produced equivalent results. Surface morphologies were examined over relatively large areas, using scanning electron microscopy and stylus profiling. The main focus of this study was on inductively coupled plasma (ICP) etch processes, employing Cl 2 /Ar or Cl 2 /BCl 3 Ar gas mixtures. Application of a standard etch recipe, optimized for feature etching of Ga-polar GaN (0001) surfaces, caused severe roughening of N-polar samples and confirmed the necessity for specific optimization of etch conditions for N-face material. A series of recipes with a reduced physical (sputter-based) contribution to etching allowed average surface roughness values to be consistently reduced to below 3 nm. Maximum N-face etch rates of 370-390 nm/min have been obtained in recipes examined to date. These are typically faster than etch rates obtained on Ga-face samples under the same conditions and adequate for the process flows of interest. Mechanistic aspects of the ICP etch process and possible factors contributing to residual surface roughness are discussed. This study also included work on chemomechanical polishing (CMP). The optimized CMP process had stock removal rates of ∼500 nm/h on the GaN N face. This was much slower than the ICP etching but showed the important capability of recovering smooth surfaces on samples roughened in previous processing. In one example, a surface roughened by nonoptimized ICP etching was smoothed to give an average surface roughness of ∼2 nm

  17. Micro-structuring of thick NdFeB films using high-power plasma etching for magnetic MEMS application

    International Nuclear Information System (INIS)

    Jiang, Yonggang; Fujita, Takayuki; Higuchi, Kohei; Maenaka, Kazusuke; Masaoka, Shingo; Uehara, Minoru

    2011-01-01

    This paper describes the micro-patterning of thick NdFeB magnetic films using a high-power plasma etching method. The effects of RF bias power and gas composition on the selectivity and etching rate are experimentally studied. A maximum etching rate of 60 nm min −1 is achieved with an inductively coupled plasma power of 500 W and a RF bias power of 200 W. A maximum selectivity of 0.26 between hard baked AZP4903 photoresist and NdFeB magnetic films is achieved when volumetric Cl 2 concentration is 2.5%. NdFeB micro-magnets as thick as 4.2 µm are achieved by using AZP4903 photoresist. Magnetic film as thick as 10 µm can be patterned by using SU-8 photoresist with a thickness of 100 µm as the mask. The magnetic property of patterned microstructures is characterized using a vibrating sample magnetometer and the magnetic field distribution is measured using a Hall effect sensor IC. The characterization results indicate that the patterned magnetic microstructures have a high magnetic remanance of 1.0 T, which is comparable to that of the non-patterned NdFeB films.

  18. Wettability and sizing property improvement of raw cotton yarns treated with He/O{sub 2} atmospheric pressure plasma jet

    Energy Technology Data Exchange (ETDEWEB)

    Sun Shiyuan [Key Laboratory of Textile Science and Technology, Ministry of Education, China, College of Textiles, Donghua University, Shanghai 201620 (China); College of Textiles and Clothing Engineering, Dezhou University, Shandong 253023 (China); Sun Jie; Yao Lan [Key Laboratory of Textile Science and Technology, Ministry of Education, China, College of Textiles, Donghua University, Shanghai 201620 (China); Qiu Yiping, E-mail: ypqiu@dhu.edu.cn [Key Laboratory of Textile Science and Technology, Ministry of Education, China, College of Textiles, Donghua University, Shanghai 201620 (China)

    2011-01-01

    Raw cotton fiber is water repellent due to the existence of the water repellent cuticle layer. This study is designed to systematically investigate how He/O{sub 2} atmospheric pressure plasma jet (APPJ) treatments influence the wettability and the sizing property of cotton yarns. Water absorption time and adhesion of the sizing agent to the cotton roving are used to evaluate the improvement of wettability and sizing property of the yarn respectively. The water absorption time decreases with the increase of the treatment time and the oxygen flow rate, and the decrease of the jet to substrate distance (JTSD). An optimal water absorption time of 0.8 s is obtained with a treatment time of 20 s, JTSD of 1 mm and O{sub 2} flow rate of 0.2 L/min. Scanning electron microscopy (SEM) shows that the etching effect increases with the decrease of the JTSD and X-ray photoelectron spectroscopy (XPS) presents increased oxygen contents after the plasma treatments. An increase of O-C=O bonds while a decrease of C-OH/C-O-C bonds are observed when the JTSD is set at 2 mm. However, a remarkable increase of both C-OH/C-O-C and O-C=O bonds are achieved when the JTSD is 1 mm. The roving impregnation test results show a nearly doubled adhesion of sizing and a slightly improved breaking elongation, indicating that the plasma treatment does effectively enhance the bonding strength between the fiber and the sizing.

  19. Three-dimensional photonic crystals created by single-step multi-directional plasma etching.

    Science.gov (United States)

    Suzuki, Katsuyoshi; Kitano, Keisuke; Ishizaki, Kenji; Noda, Susumu

    2014-07-14

    We fabricate 3D photonic nanostructures by simultaneous multi-directional plasma etching. This simple and flexible method is enabled by controlling the ion-sheath in reactive-ion-etching equipment. We realize 3D photonic crystals on single-crystalline silicon wafers and show high reflectance (>95%) and low transmittance (photonic bandgap. Moreover, our method simply demonstrates Si-based 3D photonic crystals that show the photonic bandgap effect in a shorter wavelength range around 0.6 μm, where further fine structures are required.

  20. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  1. Black Silicon formation using dry etching for solar cells applications

    International Nuclear Information System (INIS)

    Murias, D.; Reyes-Betanzo, C.; Moreno, M.; Torres, A.; Itzmoyotl, A.; Ambrosio, R.; Soriano, M.; Lucas, J.; Cabarrocas, P. Roca i

    2012-01-01

    A study on the formation of Black Silicon on crystalline silicon surface using SF 6 /O 2 and SF 6 /O 2 /CH 4 based plasmas in a reactive ion etching (RIE) system is presented. The effect of the RF power, chamber pressure, process time, gas flow rates, and gas mixtures on the texture of silicon surface has been analyzed. Completely Black Silicon surfaces containing pyramid like structures have been obtained, using an optimized mask-free plasma process. Moreover, the Black Silicon surfaces have demonstrated average values of 1% and 4% for specular and diffuse reflectance respectively, feature that is suitable for the fabrication of low cost solar cells.

  2. Model etch profiles for ion energy distribution functions in an inductively coupled plasma reactor

    International Nuclear Information System (INIS)

    Chen, W.; Abraham-Shrauner, B.; Woodworth, J.R.

    1999-01-01

    Rectangular trench profiles are modeled with analytic etch rates determined from measured ion distribution functions. The pattern transfer step for this plasma etch is for trilayer lithography. Argon and chlorine angular ion energy distribution functions measured by a spherical collector ring analyzer are fit to a sum of drifting Maxwellian velocity distribution functions with anisotropic temperatures. The fit of the model ion distribution functions by a simulated annealing optimization procedure converges adequately for only two drifting Maxwellians. The etch rates are proportional to analytic expressions for the ion energy flux. Numerical computation of the etch profiles by integration of the characteristic equations for profile points and connection of the profiles points is efficient. copyright 1999 American Vacuum Society

  3. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma

    Science.gov (United States)

    Liu, Zecheng; Ishikawa, Kenji; Imamura, Masato; Tsutsumi, Takayoshi; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

  4. Dry etching of new phase-change material Al1.3Sb3Te in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Zhang Xu; Rao Feng; Liu Bo; Peng Cheng; Zhou Xilin; Yao Dongning; Guo Xiaohui; Song Sannian; Wang Liangyong; Cheng Yan; Wu Liangcai; Song Zhitang; Feng Songlin

    2012-01-01

    The dry etching characteristic of Al 1.3 Sb 3 Te film was investigated by using a CF 4 /Ar gas mixture. The experimental control parameters were gas flow rate into the chamber, CF 4 /Ar ratio, the O 2 addition, the chamber background pressure, and the incident RF power applied to the lower electrode. The total flow rate was 50 sccm and the behavior of etch rate of Al 1.3 Sb 3 Te thin films was investigated as a function of the CF 4 /Ar ratio, the O 2 addition, the chamber background pressure, and the incident RF power. Then the parameters were optimized. The fast etch rate was up to 70.8 nm/min and a smooth surface was achieved using optimized etching parameters of CF 4 concentration of 4%, power of 300 W and pressure of 80 mTorr.

  5. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2010-01-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. (author)

  6. Ex-situ XPS-investigation of the interface between PE-CVD SiO{sub 2} and wet chemically etched MO-CVD epitaxial layers of In{sub 0.53}Ga{sub 0.47}As

    Energy Technology Data Exchange (ETDEWEB)

    Procop, M. [Bundesanstalt fuer Materialforschung und -pruefung, Berlin (Germany); Wandel, K. [Humboldt-Universitaet, Berlin (Germany). Inst. fuer Festkoerperphysik; Verucchi, R. [Modena Univ. (Italy). Ist. di Fisica

    1995-11-01

    The As rich SiO{sub 2}/In{sub 0.53}Ga{sub 0.47}As interface which is produced by wet chemical etching before SiO{sub 2} deposition to improve the electronic properties of the interface has been studied. SiO{sub 2}-layers of about 10 to 20 nm thickness have been deposited in a plasma enhanced chemical vapour deposition (PECVD) reactor and then thinned down to about 4 to 3 nm by 1.5 keV Ar ion beam bombardment at grazing incidence (85 ) in the XPS analysis chamber. The photoelectron spectra show that an additional broadening of the In and As lines due to a possible ion beam damage can be neglected in case of a qualitative interpretation of the interface spectra. Moreover, TRIM simulations of the collision cascade reveal low damage production in the SiO{sub 2}/In{sub 0.53}Ga{sub 0.47}As interface region. Therefore such ex-situ XPS experiments allow a supervision of the interface chemistry after the fabrication process and an optimisation of the technology with regard to the etching solution and deposition conditions. The conservation or removal of the elemental arsenic and the oxidation of the semiconductor due to the SiO{sub 2} deposition are well reflected in the photoelectron spectra. (orig.)

  7. Particle behavior in an ECR plasma etch tool

    International Nuclear Information System (INIS)

    Blain, M.G.; Tipton, G.D.; Holber, W.M.; Westerfield, P.L.; Maxwell, K.L.

    1993-01-01

    Sources of particles in a close-coupled electron cyclotron resonance (ECR) polysilicon plasma etch source include flaking of films deposited on chamber surfaces, and shedding of material from electrostatic wafer chucks. A large, episodic increase in the number of particles added to a wafer in a clean system is observed more frequently for a plasma-on than for a gas-only source condition. For polymer forming process conditions, particles were added to wafers by a polymer film which was observed to fracture and flake away from chamber surfaces. The presence of a plasma, especially when rf bias is applied to the wafer, caused more particles to be ejected from the walls and added to wafers than the gas-only condition; however, no significant influence was observed with different microwave powers. A study of effect of electrode temperatures on particles added showed that thermophoretic forces are not significant for this ECR configuration. Particles originating from the electrostatic chuck were observed to be deposited on wafers in much larger numbers in the presence of the plasma as compared to gas-only conditions

  8. The effect of plasma etching on the surface topography of niobium superconducting radio frequency cavities

    Science.gov (United States)

    Radjenović, B.; Radmilović-Radjenović, M.

    2014-11-01

    In this letter the evolution of the surface topography of a niobium superconducting radio frequency cavity caused by different plasma etching modes (isotropic and anisotropic) is studied by the three-dimensional level set method. The initial rough surface is generated starting from an experimental power spectral density. The time dependence of the rms roughness is analyzed and the growth exponential factors β are determined for two etching modes (isotropic and anisotropic) assuming that isotropic etching is a much more effective mechanism of smoothing. The obtained simulation results could be useful for optimizing the parameters of the etching processes needed to obtain high quality niobium surfaces for superconducting radio frequency cavities.

  9. Anisotropic etching of polycrystalline silicon with a hot Cl2 molecular beam

    International Nuclear Information System (INIS)

    Suzuki, K.; Hiraoka, S.; Nishimatsu, S.

    1988-01-01

    A hot Cl 2 molecular (Cl/sup */ 2 ) beam was successfully applied to achieve highly anisotropic, highly selective, and almost damage-free etching of polycrystalline Si. The anisotropy, the ratio of etch rates in vertical and horizontal directions, was larger than 25. The selectivity, the ratio of polycrystalline Si and SiO 2 etch rates, was larger than 1000. The Cl/sup */ 2 beam was produced by free jet expansion of a Cl 2 gas heated in a graphite furnace. The furnace temperature was 830 0 C. The substrate temperature was 180 0 C. The average total energy (0.38 eV) of a Cl/sup */ 2 molecule impinging on a substrate surface is much lower than the critical energy (approximately 10 eV) to displace the atoms of the etched material and to cause surface damage. This is the essential reason why this highly selective and almost damage-free etching has been achieved. The highly anisotropic etching mechanism is explained by a model taking into account the directional incidence of Cl/sup */ 2 molecules to the surface, and the deactivation process of the Cl/sup */ 2 molecules on a cold surface

  10. Correlation of III/V semiconductor etch results with physical parameters of high-density reactive plasmas excited by electron cyclotron resonance

    Science.gov (United States)

    Gerhard, FRANZ; Ralf, MEYER; Markus-Christian, AMANN

    2017-12-01

    Reactive ion etching is the interaction of reactive plasmas with surfaces. To obtain a detailed understanding of this process, significant properties of reactive composite low-pressure plasmas driven by electron cyclotron resonance (ECR) were investigated and compared with the radial uniformity of the etch rate. The determination of the electronic properties of chlorine- and hydrogen-containing plasmas enabled the understanding of the pressure-dependent behavior of the plasma density and provided better insights into the electronic parameters of reactive etch gases. From the electrical evaluation of I(V) characteristics obtained using a Langmuir probe, plasmas of different compositions were investigated. The standard method of Druyvesteyn to derive the electron energy distribution functions by the second derivative of the I(V) characteristics was replaced by a mathematical model which has been evolved to be more robust against noise, mainly, because the first derivative of the I(V) characteristics is used. Special attention was given to the power of the energy dependence in the exponent. In particular, for plasmas that are generated by ECR with EM modes, the existence of Maxwellian distribution functions is not to be taken as a self-evident fact, but the bi-Maxwellian distribution was proven for Ar- and Kr-stabilized plasmas. In addition to the electron temperature, the global uniform discharge model has been shown to be useful for calculating the neutral gas temperature. To what extent the invasive method of using a Langmuir probe could be replaced with the non-invasive optical method of emission spectroscopy, particularly actinometry, was investigated, and the resulting data exhibited the same relative behavior as the Langmuir data. The correlation with etchrate data reveals the large chemical part of the removal process—most striking when the data is compared with etching in pure argon. Although the relative amount of the radial variation of plasma density and

  11. Plasma-induced formation of flower-like Ag{sub 2}O nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Zen-Hung; Ho, Chun-Hsien; Lee, Szetsen, E-mail: slee@cycu.edu.tw

    2015-09-15

    Graphical abstract: Flower-like Ag{sub 2}O nanostructures. - Highlights: • Flower-like Ag{sub 2}O nanostructures were synthesized from Ag colloids using plasma. • XPS was used to monitor plasma treatment effect on Ag colloids. • SERS of methyl orange was used to monitor the plasma oxidation–reduction processes. • Photocatalytic degradation of methylene blue was performed using Ag{sub 2}O. • Ag{sub 2}O is a more efficient visible light photocatalyst than Ag colloids. - Abstract: Plasma treatment effect on Ag colloids was investigated using X-ray photoelectron spectroscopy (XPS) and surface-enhanced Raman scattering (SERS) techniques. XPS showed that O{sub 2} plasma was critical in removing organic residues in Ag colloids synthesized using citric acid as a reducing agent. With O{sub 2} plasma treatment, Ag colloids were also oxidized to form flower-like Ag{sub 2}O nanostructures. The formation mechanism is proposed. The SERS spectral intensity of methyl orange (MO) adsorbed on Ag surface became deteriorated with O{sub 2} plasma treatment. Followed by H{sub 2} plasma treatment, the SERS intensity of MO on Ag regained, which indicated that Ag{sub 2}O has been reduced to Ag. Nonetheless, the reduction by H{sub 2} plasma could not bring Ag back to the original as-synthesized nanoparticle morphology. The flower-like nanostructure morphology still remained. The photocatalytic degradation reactions of methylene blue (MB) aqueous solutions were carried out using Ag colloids and Ag{sub 2}O nanostructures. The results show that Ag{sub 2}O is more efficient than Ag colloids and many other metal oxides for the photocatalytic degradation of MB in solution when utilizing visible light.

  12. Self-formation of a nanonet of fluorinated carbon nanowires on the Si surface by combined etching in fluorine-containing plasma

    Science.gov (United States)

    Amirov, I. I.; Gorlachev, E. S.; Mazaletskiy, L. A.; Izyumov, M. O.; Alov, N. V.

    2018-03-01

    In this work, we report a technique of the self-formation of a nanonet of fluorinated carbon nanowires on the Si surface using a combined etching in fluorine-containing C4F8/Ar and SF6 plasmas. Using scanning electron microscopy, atomic force microscopy and x-ray photoelectron spectroscopy, we show that after the etching of Si in the C4F8/Ar plasma, a fluorinated carbon film of nanometer-scale thickness is formed on its surface and its formation accelerates at elevated temperatures. After a subsequent short-term etching in the SF6 plasma, the film is modified into a nanonet of self-formed fluorinated carbon nanowires.

  13. Towards the perfect three-way junction: plasma etching and planar optical waveguides

    International Nuclear Information System (INIS)

    Boswell, R.W.; Love, J.D.

    1989-01-01

    A research program is presented in which plasma etching techniques on a microscopic scale will be used to manufacture multiple low-loss wavelength independent Y-junctions, so the optical signals they carry are efficiently coupled, meaning that signals losses should be minimal

  14. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  15. Optical and Electrical Performance of ZnO Films Textured by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Shiuh-Chuan HER

    2015-11-01

    Full Text Available Zinc oxide (ZnO films were prepared by radio frequency (RF magnetron sputtering on the glass substrate as transparent conductive oxide films. For silicon solar cells, a proper surface texture is essential to introduce light scattering and subsequent light trapping to enhance the current generation. In this study, the magnetron-sputtered ZnO films were textured by wet-chemical etching in diluted hydrochloric acid (HCl for better light scattering. The diffuse transmittance of the surface textured ZnO films was measured to evaluate the light scattering. The influence of hydrochloric acid concentration on the morphology, optical and electrical properties of the surface-textured ZnO film was investigated. The ZnO film etched in 0.05M HCl solution for 30 s exhibited average diffuse transmittance in the visible wavelength range of 9.52 % and good resistivity of 1.10 x 10-3 W×cm while the as-deposited ZnO film had average diffuse transmittance of 0.51 % and relatively high resistivity of 5.84 x 10-2 W×cm. Experimental results illustrated that the optical and electrical performance of ZnO films can be significantly improved by introducing the surface texture through the wet-chemical etching process.DOI: http://dx.doi.org/10.5755/j01.ms.21.4.9624

  16. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    Science.gov (United States)

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  17. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    Czech Academy of Sciences Publication Activity Database

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, Václav; Doležalová, Eva; Šimek, Milan; Biederman, H.

    2017-01-01

    Roč. 50, č. 13 (2017), č. článku 135201. ISSN 0022-3727 R&D Projects: GA MŠk(CZ) LD13010 Grant - others:European Cooperation in Science and Technology(XE) COST MP1101 Program:Materials, Physical and Nanosciences COST Action MP1101 Institutional support: RVO:61389021 Keywords : dielectric barrier discharges (DBD) * bio-decontamination * etching * polymers * biomolecules * spores * surface treatment Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 2.588, year: 2016 http://iopscience.iop.org/article/10.1088/1361-6463/aa5c21/meta

  18. Tribological Behavior of Plasma-Sprayed Al2O3-20 wt.%TiO2 Coating

    Science.gov (United States)

    Cui, Shiyu; Miao, Qiang; Liang, Wenping; Zhang, Zhigang; Xu, Yi; Ren, Beilei

    2017-05-01

    Al2O3-20 wt.% TiO2 ceramic coatings were deposited on the surface of Grade D steel by plasma spraying of commercially available powders. The phases and the microstructures of the coatings were investigated by x-ray diffraction and scanning electron microscopy, respectively. The Al2O3-20 wt.% TiO2 composite coating exhibited a typical inter-lamellar structure consisting of the γ-Al2O3 and the Al2TiO5 phases. The dry sliding wear behavior of the coating was examined at 20 °C using a ball-on-disk wear tester. The plasma-sprayed coating showed a low wear rate ( 4.5 × 10-6 mm3 N-1 m-1), which was matrix ( 283.3 × 10-6 mm3 N-1 m-1), under a load of 15 N. In addition, the tribological behavior of the plasma-sprayed coating was analyzed by examining the microstructure after the wear tests. It was found that delamination of the Al2TiO5 phase was the main cause of the wear during the sliding wear tests. A suitable model was used to simulate the wear mechanism of the coating.

  19. Optical characteristics of a RF DBD plasma jet in various {Ar}/ {O}_{2}Ar/O2 mixtures

    Science.gov (United States)

    Falahat, A.; Ganjovi, A.; Taraz, M.; Ravari, M. N. Rostami; Shahedi, A.

    2018-02-01

    In this paper, using the optical emission spectroscopy (OES) technique, the optical characteristics of a radiofrequency (RF) plasma jet are examined. The Ar/O2 mixture is taken as the operational gas and, the Ar percentage in the Ar/O2 mixture is varied from 70% to 95%. Using the optical emission spectrum analysis of the RF plasma jet, the excitation temperature is determined based on the Boltzmann plot method. The electron density in the plasma medium of the RF plasma jet is obtained by the Stark broadening of the hydrogen Balmer H_{β }. It is mostly seen that, the radiation intensity of Ar 4p→ 4s transitions at higher argon contributions in Ar/O2 mixture is higher. It is found that, at higher Ar percentages, the emission intensities from atomic oxygen (O) are higher and, the line intensities from the argon atoms and ions including O atoms linearly increase. It is observed that the quenching of Ar^{*} with O2 results in higher O species with respect to O2 molecules. In addition, at higher percentages of Ar in the Ar/O2 mixture, while the excitation temperature is decreased, the electron density is increased.

  20. Patterning functional materials using channel diffused plasma-etched self-assembled monolayer templates

    NARCIS (Netherlands)

    George, A.; Maijenburg, A.W.; Maas, M.G.; Blank, David H.A.; ten Elshof, Johan E.

    2011-01-01

    A simple and cost-effective methodology for large-area micrometer-scale patterning of a wide range of metallic and oxidic functional materials is presented. Self-assembled monolayers (SAM) of alkyl thiols on Au were micropatterned by channel-diffused oxygen plasma etching, a method in which selected

  1. Measurement of nanosize etched pits in SiO2 optical fiber conduit using AFM

    International Nuclear Information System (INIS)

    Espinosa, G.; Golzarri, J.I.; Vazquez, C.; Fragoso, R.

    2003-01-01

    Fission fragment tracks from 252 Cf have been observed in SiO 2 optical fiber, using an atomic force microscope (AFM), after a very short chemical etching in hydrofluoric acid solution at normal temperature. The nuclear track starting and evolution process is followed by the AFM direct measurements on the material surface and beyond a fine layer of the surface material. The images of the scanned cones were determined observing the two predominant energies from 252 Cf fission fragments and the development of the tracks in the 150 μm diameter optical fiber conduit

  2. Photocatalytic Active Bismuth Fluoride/Oxyfluoride Surface Crystallized 2Bi2O3-B2O3 Glass-Ceramics

    Science.gov (United States)

    Sharma, Sumeet Kumar; Singh, V. P.; Chauhan, Vishal S.; Kushwaha, H. S.; Vaish, Rahul

    2018-03-01

    The present article deals with 2Bi2O3-B2O3 (BBO) glass whose photocatalytic activity has been enhanced by the method of wet etching using an aqueous solution of hydrofluoric acid (HF). X-ray diffraction of the samples reveals that etching with an aqueous solution of HF leads to the formation of BiF3 and BiO0.1F2.8 phases. Surface morphology obtained from scanning electron microscopy show granular and plate-like morphology on the etched glass samples. Rhodamine 6G (Rh 6G) has been used to investigate the photocatalytic activity of the as-quenched and etched glasses. Enhanced visible light-driven photocatalytic activity was observed in HF etched glass-ceramics compared to the as-quenched BBO glass. Contact angle of the as-quenched glass was 90.2°, which decreases up to 20.02° with an increase in concentration of HF in the etching solution. Enhanced photocatalytic activity and increase in the hydrophilic nature suggests the efficient treatment of water pollutants by using the prepared surface crystallized glass-ceramics.

  3. High temperature electron cyclotron resonance etching of GaN, InN, and AlN

    International Nuclear Information System (INIS)

    Shul, R.J.; Kilcoyne, S.P.; Hagerott Crawford, M.; Parmeter, J.E.; Vartuli, C.B.; Abernathy, C.R.; Pearton, S.J.

    1995-01-01

    Electron cyclotron resonance etch rates for GaN, InN, and AlN are reported as a function of temperature for Cl 2 /H 2 /CH 4 /Ar and Cl 2 /H 2 /Ar plasmas. Using Cl 2 /H 2 /CH 4 /Ar plasma chemistry, GaN etch rates remain relatively constant from 30 to 125 degree C and then increase to a maximum of 2340 A/min at 170 degree C. The InN etch rate decreases monotonically from 30 to 150 degree C and then rapidly increases to a maximum of 2300 A/min at 170 degree C. This is the highest etch rate reported for this material. The AlN etch rate decreases throughout the temperature range studied with a maximum of 960 A/min at 30 degree C. When CH 4 is removed from the plasma chemistry, the GaN and InN etch rates are slightly lower, with less dramatic changes with temperature. The surface composition of the III--V nitrides remains unchanged after exposure to the Cl 2 /H 2 /CH 4 /Ar plasma over the temperatures studied

  4. Mask-free surface structuring of micro- and nanocrystalline diamond films by reactive ion plasma etching

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Babchenko, Oleg; Varga, Marián; Hruška, Karel; Kromka, Alexander

    2014-01-01

    Roč. 6, č. 7 (2014), s. 780-784 ISSN 2164-6627 R&D Projects: GA ČR GAP108/12/0910; GA ČR GAP108/12/0996; GA MPO FR-TI2/736 Institutional support: RVO:68378271 Keywords : micro- and nanocrystalline diamond * capacitively coupled plasma * reactive ion etching * nanostructuring * scanning electron microscopy Subject RIV: BM - Solid Matter Physics ; Magnetism

  5. Characterization of stain etched p-type silicon in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mogoda, A.S., E-mail: awad_mogoda@hotmail.com [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt); Ahmad, Y.H.; Badawy, W.A. [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt)

    2011-04-15

    Research highlights: {yields} Stain etching of p-Si in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4} was investigated. {yields} The electrical conductivity of the etched Si surfaces was measured using impedance technique. {yields} Scanning electron microscope and energy disperse X-ray were used to analyze the etched surfaces. {yields} Etching in aqueous HF solution containing HNO{sub 3} led to formation of a porous silicon layer. {yields} The formation of the porous silicon layer in HF/KMnO{sub 4} was accompanied by deposition of K{sub 2}SiF{sub 6} on the pores surfaces. - Abstract: Stain etching of p-type silicon in hydrofluoric acid solutions containing nitric acid or potassium permanganate as an oxidizing agent has been examined. The effects of etching time, oxidizing agent and HF concentrations on the electrochemical behavior of etched silicon surfaces have been investigated by electrochemical impedance spectroscopy (EIS). An electrical equivalent circuit was used for fitting the impedance data. The morphology and the chemical composition of the etched Si surface were studied using scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) techniques, respectively. A porous silicon layer was formed on Si etched in HF solutions containing HNO{sub 3}, while etching in HF solutions containing KMnO{sub 4} led to the formation of a porous layer and simultaneous deposition of K{sub 2}SiF{sub 6} inside the pores. The thickness of K{sub 2}SiF{sub 6} layer increases with increasing the KMnO{sub 4} concentration and decreases as the concentration of HF increases.

  6. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  7. Synthesis of nanocrystals in KNb(Ge,Si)O5 glasses and chemical etching of nanocrystallized glass fibers

    International Nuclear Information System (INIS)

    Enomoto, Itaru; Benino, Yasuhiko; Fujiwara, Takumi; Komatsu, Takayuki

    2006-01-01

    The nanocrystallization behavior of 25K 2 O-25Nb 2 O 5 -(50-x)GeO 2 -xSiO 2 glasses with x=0,25,and50 (i.e., KNb(Ge,Si)O 5 glasses) and the chemical etching behavior of transparent nanocrystallized glass fibers have been examined. All glasses show nanocrystallization, and the degree of transparency of the glasses studied depends on the heat treatment temperature. Transparent nanocrystallized glasses can be obtained if the glasses are heat treated at the first crystallization peak temperature. Transparent nanocrystallized glass fibers with a diameter of about 100μm in 25K 2 O-25Nb 2 O 5 -50GeO 2 are fabricated, and fibers with sharpened tips (e.g., the taper length is about 450μm and the tip angle is about 12 o ) are obtained using a meniscus chemical etching method, in which etching solutions of 10wt%-HF/hexane and 10M-NaOH/hexane are used. Although the tip (aperture size) has not a nanoscaled size, the present study suggests that KNb(Ge,Si)O 5 nanocrystallized glass fibers have a potential for new near-field optical fiber probes with high refractive indices of around n=1.8 and high dielectric constants of around ε=58 (1kHz, room temperature)

  8. Plasma-spraying synthesis of high-performance photocatalytic TiO2 coatings

    International Nuclear Information System (INIS)

    Takahashi, Yasuo; Maeda, Masakatsu; Ohmori, Akira; Shibata, Yoshitaka; Miyano, Yasuyuki; Murai, Kensuke

    2014-01-01

    Anatase (A-) TiO 2 is a photocatalytic material that can decompose air-pollutants, acetaldehyde, bacteria, and so on. In this study, three kinds of powder (A-TiO 2 without HAp, TiO 2 + 10mass%HAp, and TiO 2 +30mass%HAp, where HAp is hydroxyapatite and PBS is polybutylene succinate) were plasma sprayed on biodegradable PBS substrates. HAp powder was mixed with A-TiO 2 powder by spray granulation in order to facilitate adsorption of acetaldehyde and bacteria. The crystal structure was almost completely maintained during the plasma spray process. HAp enhanced the decomposition of acetaldehyde and bacteria by promoting adsorption. A 10mass% HAp content was the most effective for decomposing acetaldehyde when plasma preheating of the PBS was not carried out before the plasma spraying. The plasma preheating of PBS increased the yield rate of the spray process and facilitated the decomposition of acetaldehyde by A-TiO 2 coatings without HAp. HAp addition improved photocatalytic sterilization when plasma preheating of the PBS was performed

  9. Operational comparison of TLD albedo dosemeters and etched-track detectors in the PuO2-UO2 mixed oxide fuel fabrication facilities

    International Nuclear Information System (INIS)

    Tsujimura, N.; Takada, C.; Yoshida, T.; Momose, T.

    2005-01-01

    Full text: The authors carried out an operational study that compared the use of TLD albedo dosemeters with etched-track detector in plutonium environments of Japan Nuclear Cycle Development Institute, Tokai Works. A selected group of workers engaged in the fabrication process of MOX (PuO 2 -UO 2 mixed oxide) fuel wore both TLD albedo dosemeters and etched-track detectors over a period from 1991 to 1993. The TLD albedo dosemeter is the Panasonic model UD-809P and the etched-track detector is the NEUTRAK (polyallyl diglycol carbonate + 1mm-t polyethylene radiator) commercially available from Nagase-Landauer Ltd. Both dosemeters were issued and read monthly. It was found that the TL readings were generally proportional to the counted etch-pits, and thus the dose equivalent results obtained from TLD albedo dosemeter agreed with those from etched-track detector within a factor of 1.5. This result indicates that, in the workplaces of the MOX plants, the neutron spectrum remained almost constant in terms of time and space, and the appropriate range of field-specific correction with spectrum variations could be small in albedo dosimetry. In addition, the calibrations of both dosemeters in the workplaces and in a bare and moderated 252 Cf calibration field were performed for quantitative validation for the results from the operational comparison. In the former experiments, locations were selected that were representative of typical neutron measurements according to the prior neutron spectra measurements with the multi-sphere spectrometer. In the latter experiments, the workplace environments were simulated by using a 252 Cf source surrounded with cylindrical steel/PMMA moderators. From both experiments, the relationship between TL readings and counted etch-pits with neutron spectrum variation was determined. As expected, the relationship obtained from the simulated workplace field calibration reproduced that from the operational comparison. (author)

  10. Experimental study of a RF plasma source with helicon configuration in the mix Ar/H_2. Application to the chemical etching of carbon materials surfaces in the framework of the plasma-wall interactions studies of ITER's divertor

    International Nuclear Information System (INIS)

    Bieber, T.

    2012-01-01

    The issue of the interaction wall-plasma is important in thermonuclear devices. The purpose of this work is to design a very low pressure atomic plasma source in order to study chemical etching of carbon surfaces in the same conditions as edge plasma in tokamaks. The experimental work has consisted in 2 stages: first, the characterisation of the new helicon configuration reactor developed for this research and secondly the atomic hydrogen source used for the chemical etching. The first chapter recalls what thermonuclear fusion is. The helicon configuration reactor as well as its diagnostics (optical emission spectroscopy, laser induced fluorescence - LIF, and Langmuir probe) are described in the second chapter. The third chapter deals with the different coupling modes (RF power and plasma) identified in pure argon plasmas and how they are obtained by setting experimental parameters such as injected RF power, magnetic fields or pressure. The fourth chapter is dedicated to the study of the difference in behavior between the electronic density and the relative density of metastable Ar"+ ions. The last chapter presents the results in terms of mass losses of the carbon material surfaces obtained with the atomic hydrogen source. (A.C.)

  11. Etching of LiNbO/sub 3/ by laser-driven fusion of salts

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Brannon, P.J.

    1987-01-01

    Lithium niobate exhibits low reactivity with most chemical etchants. Consequently, etching a LiNbO/sub 3/ surface to produce optical structures such as ridge waveguides or grooves for fiber coupling normally requires relatively slow processes such as ion milling. The authors have developed a laser-driven chemical etching process for etching highly unreactive ionic solids based on the fusion of salts in the molten phase and show that the etch rate can be more than 100 times faster than ion milling rates. This process involves spatially localized melting of LiNbO/sub 3/ by high-power density laser pulses with photon energies in excess of the band gap of LiNbO/sub 3/. While molten, LiNbO/sub 3/ undergoes reaction with a surface coating of KF to form niobium oxyfluoride anions by fusion of the salts. The resulting solid is highly water soluble. The insolubility of LiNbO/sub 3/ permits subsequent removal of only the irradiated area by rinsing in water. Surface morphology is determined by laser power density. The process exhibits a wavelength dependence

  12. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    Science.gov (United States)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  13. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    International Nuclear Information System (INIS)

    Lu, J.; Meng, X.; SpringThorpe, A.J.; Shepherd, F.R.; Poirier, M.

    2004-01-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated 'T electrodes' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl 2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ∼0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl 2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 deg. C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes

  14. Field electron emission improvement of ZnO nanorod arrays after Ar plasma treatment

    International Nuclear Information System (INIS)

    Li Chun; Fang Guojia; Yuan Longyan; Liu Nishuang; Li Jun; Li Dejie; Zhao Xingzhong

    2007-01-01

    Vertically well-aligned single crystal ZnO nanorod arrays were synthesized and enhanced field electron emission was achieved after radio-frequency (rf) Ar plasma treatment. With Ar plasma treatment for 30 min, flat tops of the as-grown ZnO nanorods have been etched into sharp tips without damaging ZnO nanorod geometrical morphologies and crystallinity. After the Ar ion bombardment, the emission current density increases from 2 to 20 μA cm -2 at 9.0 V μm -1 with a decrease in turn-on voltage from 7.1 to 4.8 V μm -1 at a current density of 1 μA cm -2 , which demonstrates that the field emission of the as-grown ZnO nanorods has been efficiently enhanced. The scanning electron microscopy (SEM) results, in conjunction with the results of transmission electron microscopy (TEM), Raman spectroscopy and photoluminescence observation, are used to investigate the mechanisms of the field emission enhancement. It is believed that the enhancements can be mainly attributed to the sharpening of rod tops, and the decrease of electrostatic screening effect

  15. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  16. Atmospheric plasma sprayed (APS) coatings of Al2O3-TiO2 system for photocatalytic application.

    Science.gov (United States)

    Stengl, V; Ageorges, H; Ctibor, P; Murafa, N

    2009-05-01

    The goal of this study is to examine the photocatalytic ability of coatings produced by atmospheric plasma spraying (APS). The plasma gun used is a common gas-stabilized plasma gun (GSP) working with a d.c. current and a mixture of argon and hydrogen as plasma-forming gas. The TiO(2) powders are particles of about 100 nm which were agglomerated to a mean size of about 55 mum, suitable for spraying. Composition of the commercial powder is 13 wt% of TiO(2) in Al(2)O(3), whereas also in-house prepared powder with the same nominal composition but with agglomerated TiO(2) and conventional fused and crushed Al(2)O(3) was sprayed. The feedstock materials used for this purpose are alpha-alumina and anatase titanium dioxide. The coatings are analyzed by scanning electron microscopy (SEM), energy dispersion probe (EDS) and X-ray diffraction. Photocatalytic degradation of acetone is quantified for various coatings. All plasma sprayed coatings show a lamellar structure on cross section, as typical for this process. Anatase titania from feedstock powder is converted into rutile titania and alpha-alumina partly to gamma-alumina. Coatings are proven to catalyse the acetone decomposition when irradiated by UV rays.

  17. Plasma etching to enhance the surface insulating stability of alumina for fusion applications

    Directory of Open Access Journals (Sweden)

    M. Malo

    2016-12-01

    Full Text Available A significant increase in the surface electrical conductivity of alumina, considered one of the most promising insulating materials for numerous applications in fusion devices, has been observed during ion bombardment in vacuum due to oxygen loss by preferential sputtering. Although this is expected to cause serious limitations to insulating components functionality, recent studies showed it is possible to restore the damaged lattice by oxygen reincorporation during thermal treatments in air. These studies also revealed a correlation between conductivity and ion beam induced luminescence, which is being used to monitor surface electrical conductivity degradation and help qualify the post irradiation recovery. Work now carried out for Wesgo alumina considers oxygen implantation and plasma etching as additional methods to improve recovered layer depth and quality. Both conductivity and luminescence results indicate the potential use of plasma etching not only for damage recovery, but also as a pre-treatment to enhance material stability during irradiation.

  18. Effects of O2 plasma post-treatment on ZnO: Ga thin films grown by H2O-thermal ALD

    Science.gov (United States)

    Lee, Yueh-Lin; Chuang, Jia-Hao; Huang, Tzu-Hsuan; Ho, Chong-Long; Wu, Meng-Chyi

    2013-03-01

    Transparent conducting oxides have been widely employed in optoelectronic devices using the various deposition methods such as sputtering, thermal evaporator, and e-gun evaporator technologies.1-3 In this work, gallium doped zinc oxide (ZnO:Ga) thin films were grown on glass substrates via H2O-thermal atomic layer deposition (ALD) at different deposition temperatures. ALD-GZO thin films were constituted as a layer-by-layer structure by stacking zinc oxides and gallium oxides. Diethylzinc (DEZ), triethylgallium (TEG) and H2O were used as zinc, gallium precursors and oxygen source, respectively. Furthermore, we investigated the influences of O2 plasma post-treatment power on the surface morphology, electrical and optical property of ZnO:Ga films. As the result of O2 plasma post-treatment, the characteristics of ZnO:Ga films exhibit a smooth surface, low resistivity, high carrier concentration, and high optical transmittance in the visible spectrum. However, the transmittance decreases with O2 plasma power in the near- and mid-infrared regions.

  19. No positive effect of Acid etching or plasma cleaning on osseointegration of titanium implants in a canine femoral condyle press-fit model

    DEFF Research Database (Denmark)

    Saksø, Henrik; Jakobsen, Thomas Vestergaard; Mortensen, Mikkel Saksø

    2013-01-01

    Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants.......Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants....

  20. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  1. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  2. Chemically etched edges of YBa2Cu3O7 films for interconnects, crossovers and Josephson junctions

    International Nuclear Information System (INIS)

    Poppe, U.; Faley, M.I.; Urban, K.; Soltner, H.

    1993-01-01

    To produce damage-free edges is one of the main problems during the preparation of Josephson edge-type junctions and interconnects in multilayer structures including high temperature superconductors. The inherently short and anisotropic coherence length in high temperature superconductors makes it also difficult to fabricate Josephson junctions from these materials. One promising technique which helps to overcome such problems using a nonaqueous chemical etching with a Br-ethanol solution was first presented in a recent publication. Here we report results obtained with the use of this method: test of insulation properties of PrBa 2 Cu 3 O 7 , PrBa 2 Cu 2.85 Ga 0.15 O 7 , and SrTiO 3 used for crossovers and Josephson junctions. Some features of interconnects and Josephson junctions, prepared on the basis of the chemical technique are also discussed. (orig.)

  3. Site-specific Pt deposition and etching on electrically and thermally isolated SiO2 micro-disk surfaces

    International Nuclear Information System (INIS)

    Saraf, Laxmikant V

    2010-01-01

    Electrically and thermally isolated surfaces are crucial for improving the detection sensitivity of microelectronic sensors. The site-specific in situ growth of Pt nano-rods on thermally and electrically isolated SiO 2 micro-disks using wet chemical etching and a focused ion/electron dual beam (FIB-SEM) is demonstrated. Fabrication of an array of micro-cavities on top of a micro-disk is also demonstrated. The FIB source is utilized to fabricate through-holes in the micro-disks. Due to the amorphous nature of SiO 2 micro-disks, the Ga implantation possibly modifies through-hole sidewall surface chemistry rather than affecting its transport properties. Some sensor design concepts based on micro-fabrication of SiO 2 micro-disks utilizing thermally and electrically isolated surfaces are discussed from the viewpoint of applications in photonics and bio-sensing.

  4. Ar + NO microwave plasmas for Escherichia coli sterilization

    International Nuclear Information System (INIS)

    Hueso, Jose L; Rico, Victor J; Cotrino, Jose; Gonzalez-Elipe, Agustin R; Frias, Jose E

    2008-01-01

    Ar + NO microwave discharges are used for sterilization and the results are compared with additional experiments with Ar, O 2 and N 2 -O 2 plasma mixtures. The NO * species produced in the Ar-NO mixtures remain up to long distances from the source, thus improving the sterilization efficiency of the process. E. coli individuals exposed to the Ar + NO plasma undergo morphological damage and cell lysis. Combined effects of etching (by O * and Ar * species) and UV radiation (from deactivation of NO * species) are responsible for the higher activity found for this plasma mixture. (fast track communication)

  5. Nanoparticle and nanosphere mask for etching of ITO nanostructures and their reflection properties

    International Nuclear Information System (INIS)

    Xu, Cigang; Deng, Ligang; Holder, Adam; Bailey, Louise R.; Proudfoot, Gary; Thomas, Owain; Gunn, Robert; Cooke, Mike; Leendertz, Caspar; Bergmann, Joachim

    2015-01-01

    Au nanoparticles and polystyrene nanospheres were used as mask for plasma etching of indium tin oxide (ITO) layer. By reactive ion etching (RIE) processes, the morphology of polystyrene nanospheres can be tuned through chemical or physical etching, and Au nanoparticle mask can result in ITO nanostructures with larger aspect ratio than nanosphere mask. During inductively coupled plasma (ICP) processes, Au nanoparticle mask was not affected by the thermal effect of plasma, whereas temperature of the substrate was essential to protect nanospheres from the damaging effect of plasma. Physical bombardment in the plasma can also modify the nanospheres. It was observed that under the same process conditions, the ratio of CH 4 and H 2 in the process gas can affect the etching rate of ITO without completely etching the nanospheres. The morphology of ITO nanostructures also depends on process conditions. The resulting ITO nanostructures show lower reflection in a spectral range of 400-1000 nm than c-Si and conventional antireflection layer of SiN x film. ITO nanostructures obtained after etching (scale bar = 200 nm). (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Preparation of Track Etch Membrane Filters Using Polystyrene Film

    International Nuclear Information System (INIS)

    Kaewsaenee, Jerawut; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2007-08-01

    Full text: Polystyrene nuclear track etch membrane filters was prepared by exposed 13 .m thin film polystyrene with fission fragment. Nuclear latent track was enlarged to through hole on the film by etching with 80 o C 40% H 2 SO 4 with K 2 Cr 2 O 7 solution for 6-10 hour. The hole size was depend on concentration of etching solution and etching time with 1.3-3.4 .m hole diameter. The flow rate test of water was 0.79-1.56 mm cm-2 min-1 at 109.8-113.7 kPa pressure

  7. Structural and XPS studies of PSi/TiO2 nanocomposites prepared by ALD and Ag-assisted chemical etching

    International Nuclear Information System (INIS)

    Iatsunskyi, Igor; Kempiński, Mateusz; Nowaczyk, Grzegorz; Jancelewicz, Mariusz; Pavlenko, Mykola; Załęski, Karol; Jurga, Stefan

    2015-01-01

    Highlights: • Porous silicon/TiO 2 nanocomposites have been investigated. • Morphology and chemical composition of PSi/TiO 2 nanocomposites were established. • Valence-band XPS maximums for PSi/TiO 2 nanocomposites were found and analyzed. - Abstract: PSi/TiO 2 nanocomposites fabricated by atomic layer deposition (ALD) and metal-assisted chemical etching (MACE) were investigated. The morphology and phase structure of PSi/TiO 2 nanocomposites were studied by means of scanning electron microscopy (SEM), transmission electron microscopy (TEM) with an energy dispersive X-ray spectroscopy (EDX) and Raman spectroscopy. The mean size of TiO 2 nanocrystals was determined by TEM and Raman spectroscopy. X-ray photoelectron spectroscopy (XPS) was used to analyze the chemical elemental composition by observing the behavior of the Ti 2p, O 1s and Si 2p lines. TEM, Raman spectroscopy and XPS binding energy analysis confirmed the formation of TiO 2 anatase phase inside the PSi matrix. The XPS valence band analysis was performed in order to investigate the modification of PSi/TiO 2 nanocomposites electronic structure. Surface defects states of Ti 3+ at PSi/TiO 2 nanocomposites were identified by analyzing of XPS valence band spectra

  8. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    International Nuclear Information System (INIS)

    Roozeboom, F; Kniknie, B; Lankhorst, A M; Winands, G; Knaapen, R; Smets, M; Poodt, P; Dingemans, G; Keuning, W; Kessels, W M M

    2012-01-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF 6 to form gaseous SiF x etch products, and 2) passivation with C 4 F 8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ∼20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C 4 F 8 passivation steps by ALD-based oxide (e.g. SiO 2 ) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  9. A radio-frequency nonequilibrium atmospheric pressure plasma operating with argon and oxygen

    International Nuclear Information System (INIS)

    Moravej, M.; Yang, X.; Hicks, R.F.; Penelon, J.; Babayan, S.E.

    2006-01-01

    A capacitively coupled, atmospheric pressure plasma has been developed that produces a high concentration of reactive species at a gas temperature below 300 deg. C. The concentration of ground-state oxygen atoms produced by the discharge was measured by NO titration, and found to equal 1.2 vol %, or 1.2±0.4x10 17 cm -3 , using 6.0 vol % O 2 in argon at 150 W/cm 3 . The ozone concentration determined at the same conditions was 4.3±0.5x10 14 cm -3 . A model of the gas phase reactions was developed and yielded O atom and O 3 concentrations in agreement with experiment. This plasma source etched Kapton registered at 5.0 μm/s at 280 deg. C and an electrode-to-sample spacing of 1.5 cm. This fast etch rate is attributed to the high O atom flux generated by the plasma source

  10. Synthesis of Y2O3-ZrO2-SiO2 composite coatings on carbon fiber reinforced resin matrix composite by an electro-plasma process

    Science.gov (United States)

    Zhang, Yuping; Lin, Xiang; Chen, Weiwei; Cheng, Huanwu; Wang, Lu

    2016-05-01

    In the present paper the Y2O3-ZrO2-SiO2 composite coating was successfully synthesized on carbon fiber reinforced resin matrix composite by an electro-plasma process. The deposition process, microstructures and oxidation resistance of the coatings with different SiO2 concentrations were systematically investigated. A relatively dense microstructure was observed for the Y2O3-ZrO2-SiO2 composite coating with the SiO2 concentration above 5 g/L. The coating exhibited very good oxidation resistance at 1273 K with the mass loss rate as low as ∼30 wt.%, compared to 100 wt.% of the substrate. The formation of the ceramic composites was discussed in detail based on the electrochemical mechanism and the deposition dynamics in order to explain the effect of the plasma discharge. We believe that the electro-plasma process will find wide applications in preparing ceramics and coatings in industries.

  11. Low surface damage dry etched black silicon

    Science.gov (United States)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt; Lindhard, Jonas Michael; Hirsch, Jens; Lausch, Dominik; Schmidt, Michael Stenbæk; Stamate, Eugen; Hansen, Ole

    2017-10-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface damage that causes significant recombination. Here, we present a process optimization strategy for bSi, where surface damage is reduced and surface passivation is improved while excellent light trapping and low reflectance are maintained. We demonstrate that reduction of the capacitively coupled plasma power, during reactive ion etching at non-cryogenic temperature (-20 °C), preserves the reflectivity below 1% and improves the effective minority carrier lifetime due to reduced ion energy. We investigate the effect of the etching process on the surface morphology, light trapping, reflectance, transmittance, and effective lifetime of bSi. Additional surface passivation using atomic layer deposition of Al2O3 significantly improves the effective lifetime. For n-type wafers, the lifetime reaches 12 ms for polished and 7.5 ms for bSi surfaces. For p-type wafers, the lifetime reaches 800 μs for both polished and bSi surfaces.

  12. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    Science.gov (United States)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-05-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiOx layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W /L=10μm/50μm) fabricated on glass exhibited a high field-effect mobility of 35.8cm2/Vs, a subthreshold gate swing value of 0.59V/decade, a thrseshold voltage of 5.9V, and an Ion/off ratio of 4.9×106, which is acceptable for use as the switching transistor of an active-matrix TFT backplane.

  13. Frictional properties of CeO$_{2}$-Al$_{2}$O$_{3}$-ZrO$_{2}$ plasma-sprayed film under mixed and boundary lubricating conditions

    CERN Document Server

    Kita, H; Osumi, K; 10.2109/jcersj.112.615

    2004-01-01

    In order to find a counterpart for reducing the frictional coefficient of Al/sub 2/O/sub 3/-ZrO/sub 2/-CeO/sub 2/ plasma-sprayed film, the sliding properties in mixed and boundary lubricating conditions was investigated. It was found that combination of a CrN- coated cast iron pin and an Al/sub 2/O/sub 3/-ZrO/sub 2/-CeO/sub 2/ plasma sprayed plate provided the lowest frictional coefficient among several combinations chosen from practical materials. The coefficient of friction was much lower than that of the materials combination widely used for piston ring and cylinder liner. It was inferred that the combination of a pin made of hard materials with high density, a smooth surface such as CrN-coated cast iron and a porous plate can reduce the frictional coefficient because less sliding resistance is implemented and porosity retains oil.

  14. Damage-free plasma etching of porous organo-silicate low-k using micro-capillary condensation above -50 °C.

    Science.gov (United States)

    Chanson, R; Zhang, L; Naumov, S; Mankelevich, Yu A; Tillocher, T; Lefaucheux, P; Dussart, R; Gendt, S De; Marneffe, J-F de

    2018-01-30

    The micro-capillary condensation of a new high boiling point organic reagent (HBPO), is studied in a periodic mesoporous oxide (PMO) with ∼34 % porosity and k-value ∼2.3. At a partial pressure of 3 mT, the onset of micro-capillary condensation occurs around +20 °C and the low-k matrix is filled at -20 °C. The condensed phase shows high stability from -50 < T ≤-35 °C, and persists in the pores when the low-k is exposed to a SF 6 -based plasma discharge. The etching properties of a SF 6 -based 150W-biased plasma discharge, using as additive this new HBPO gas, shows that negligible damage can be achieved at -50 °C, with acceptable etch rates. The evolution of the damage depth as a function of time was studied without bias and indicates that Si-CH 3 loss occurs principally through Si-C dissociation by VUV photons.

  15. Ar + NO microwave plasmas for Escherichia coli sterilization

    Energy Technology Data Exchange (ETDEWEB)

    Hueso, Jose L; Rico, Victor J; Cotrino, Jose; Gonzalez-Elipe, Agustin R [Instituto de Ciencia de Materiales de Sevilla, Centro Mixto CSIC-Universidad de Sevilla, Centro de Investigaciones Cientificas Isla de la Cartuja, Avda. Americo Vespucio 49, 41092 Sevilla (Spain); Frias, Jose E [Instituto de BioquImica Vegetal y FotosIntesis (IBVF-CSIC). Centro de Investigaciones CientIficas Isla de la Cartuja. Avda Americo Vespucio, 49, 41092 Sevilla (Spain)], E-mail: jhueso@icmse.csic.es

    2008-05-07

    Ar + NO microwave discharges are used for sterilization and the results are compared with additional experiments with Ar, O{sub 2} and N{sub 2}-O{sub 2} plasma mixtures. The NO{sup *} species produced in the Ar-NO mixtures remain up to long distances from the source, thus improving the sterilization efficiency of the process. E. coli individuals exposed to the Ar + NO plasma undergo morphological damage and cell lysis. Combined effects of etching (by O{sup *} and Ar{sup *} species) and UV radiation (from deactivation of NO{sup *} species) are responsible for the higher activity found for this plasma mixture. (fast track communication)

  16. Change of wettability of PTFE surface by sputter etching and excimer laser. Sputter etching oyobi excimer laser ni yoru PTFE hyomen no shinsuika

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, S. (Nitto Denko Corp., Osaka (Japan)); Kubo, U. (Kinki University, Osaka (Japan))

    1994-06-20

    The wettability of PTFE (polytetrafluoroethylene) surfaces was improved by sputter etching and excimer laser irradiation. In sputter etching, the PTFE surface was treated by reactive sputter etching with H2O gas to give active groups on the surface. In laser irradiation, the surface was irradiated in pure water by high-energy KrF excimer laser. As the surface wettability was evaluated with a contact angle to water, the contact angle decreased remarkably in both treatments resulting in a good improvement effect. In sputter etching, various new chemical bonds such as F-C=O, F2C-FC-O, F2C-C-O and C-O were observed because of a decrease in F and incorporation of oxygen. Such chemical bonds could be eliminated by ultraviolet ray irradiation, and the treated surface condition approached the initial condition after irradiation of 200 hours. In laser irradiation, it was suggested that C-F bonds were broken, and OH groups were added to the surface by dissociation of H2O to H and OH. 7 refs., 8 figs., 1 tab.

  17. InAs0.45P0.55/InP strained multiple quantum wells intermixed by inductively coupled plasma etching

    International Nuclear Information System (INIS)

    Cao, Meng; Wu, Hui-Zhen; Lao, Yan-Feng; Cao, Chun-Fang; Liu, Cheng

    2009-01-01

    The intermixing effect on InAs 0.45 P 0.55 /InP strained multiple quantum wells (SMQWs) by inductively coupled plasma (ICP) etching and rapid thermal annealing (RTA) is investigated. Experiments show that the process of ICP etching followed RTA induces the blue shift of low temperature photoluminescence (PL) peaks of QWs. With increasing etching depth, the PL intensities are firstly enhanced and then diminished. This phenomenon is attributed to the variation of surface roughness and microstructure transformation inside the QW structure during ICP processing.

  18. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  19. Piezoelectric evaluation of ion beam etched Pb(Zr,Ti)O3 thin films by piezoresponse force microscopy

    International Nuclear Information System (INIS)

    Legrand, C.; Da Costa, A.; Desfeux, R.; Soyer, C.; Remiens, D.

    2007-01-01

    The evolution of piezoelectric properties of Pb(Zr,Ti)O 3 (PZT) thin films after ion beam etching have been investigated at the nanoscale level by piezoelectric force microscopy. A comparison of the piezoelectric properties on etched and unetched films is realized. Piezoelectric contrasts imaging evidences a modification of the domain architecture at the film surface. Local piezoelectric hysteresis loops measurements on grains indicate that the coercive voltage for switching is much higher for the etched films (2.3 V) compared to the unetched ones (1.0 V) while the average piezoelectric activity is slightly lower. The results are explained in terms of grain-damaging during etching and domain-wall pinning

  20. RF-plasma vapor deposition of siloxane on paper. Part 2: Chemical evolution of paper surface

    International Nuclear Information System (INIS)

    Sahin, Halil Turgut

    2013-01-01

    Highlights: ► Investigate the detailed RF-cold plasma surface modified paper by XPS and ATR-FTIR. ► Some chemical analysis of RF-cold plasma surface modified paper after RF plasma treatment. ► Identify the connection between RF plasma treatment and the surface chemistry of paper surface. - Abstract: Survey and high-resolution (HR) XPS studies indicate that OMCTSO plasma treatment created a new silicon containing functional groups and changed the hydroxyl content on the surface of paper. Four intense survey XPS spectrum peaks were observed for the OMCTSO plasma treated paper. They were the Si 2p at 100 eV, Si 2s at 160 eV, C 1s at 285 eV, and O 1s at 525 eV for the plasma modified surface. It was realized that the macromolecular chain-breaking mechanisms and plasma-induced etching processes control the number and the availability of OH-functionalities during OMCTSO plasma exposure on paper. The reaction, initiated by these species, depends mainly on the nature of chemicals in the plasma as well as on the energy level of the plasma and the nature of the surface effects in the modification of the paper. The ATR-FTIR spectrum of paper treated with OMCTSO plasma has characteristic absorption bands attributed to the Si-O and Si-O-Si formations on the surface.

  1. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    Energy Technology Data Exchange (ETDEWEB)

    Villalpando, I. [Centro de Investigacion de los Recursos Naturales, Antigua Normal Rural, Salaices, Lopez, Chihuahua (Mexico); John, P.; Wilson, J. I. B., E-mail: isaelav@hotmail.com [School of Engineering and Physical Sciences, Heriot-Watt University, Riccarton, Edinburgh, EH14-4AS (United Kingdom)

    2017-11-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  2. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    International Nuclear Information System (INIS)

    Villalpando, I.; John, P.; Wilson, J. I. B.

    2017-01-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  3. Wet etching rates of InGaZnO for the fabrication of transparent thin-film transistors on plastic substrates

    International Nuclear Information System (INIS)

    Lee, Chi-Yuan; Chang, Chienliu; Shih, Wen-Pin; Dai, Ching-Liang

    2010-01-01

    The wet etch process for amorphous indium gallium zinc oxide (a-IGZO or a-InGaZnO) by using various etchants is reported. The etch rates of a-IGZO, compared to another indium-based oxides including indium gallium oxide (IGO), indium zinc oxide (IZO), and indium tin oxide (ITO), are measured by using acetic acid, citric acid, hydrochloric acid, perchloric acid, and aqua ammonia as etchants, respectively. In our experimental results, the etch rate of the transparent oxide semiconductor (TOS) films by using acid solutions ranked accordingly from high to low are IZO, IGZO, IGO and ITO. Comparatively, the etch rate of the TOS films by using alkaline ammonia solution ranked from high to low are IGZO, IZO, IGO and ITO, in that order. Using the proposed wet etching process with high etch selectivity, bottom-gate-type thin-film transistors (TFTs) based on a-IGZO channels and Y 2 O 3 gate-insulators were fabricated by radio-frequency sputtering on plastic substrates. The wet etch processed TFT with 30 μm gate length and 120 μm gate width exhibits a saturation mobility of 46.25 cm 2 V -1 s -1 , a threshold voltage of 1.3 V, a drain current on-off ratio > 10 6 , and subthreshold gate voltage swing of 0.29 V decade -1 . The performance of the TFTs ensures the applicability of the wet etching process for IGZO to electronic devices on organic polymer substrates.

  4. Application of Radio-Frequency Plasma Glow Discharge to Removal of Uranium Dioxide from Metal Surfaces

    International Nuclear Information System (INIS)

    El-Genk, Mohamed S.; Saber, Hamed H.

    2000-01-01

    Recent experiments have shown that radio-frequency (rf) plasma glow discharge using NF 3 gas is an effective technique for the removal of uranium oxide from metal surfaces. The results of these experiments are analyzed to explain the measured dependence of the UO 2 removal or etch rate on the NF 3 gas pressure and the absorbed power in the plasma. The NF 3 gas pressure in the experiments was varied from 10.8 to 40 Pa, and the deposited power in the plasma was varied from 25 to 210 W. The UO 2 etch rate was strongly dependent on the absorbed power and, to a lesser extent, on the NF 3 pressure and decreased exponentially with immersion time. At 210 W and 17 Pa, all detectable UO 2 in the samples (∼10.6 mg each) was removed at the endpoint, whereas the initial etch rate was ∼3.11 μm/min. When the absorbed power was ≤50 W, however, the etch rate was initially ∼0.5 μg/min and almost zero at the endpoint, with UO 2 only partially etched. This self-limiting etching of UO 2 at low power is attributed to the formation of nonvolatile intermediates UF 2 , UF 3 , UF 4 , UF 5 , UO 2 F, and UO 2 F 2 on the surface. Analysis indicated that the accumulation of UF 6 and, to a lesser extent, O 2 near the surface partially contributed to the exponential decrease in the UO 2 etch rate with immersion time. Unlike fluorination with F 2 gas, etching of UO 2 using rf glow discharge is possible below 663 K. The average etch rates of the amorphous UO 2 in the NF 3 experiments are comparable to the peak values reported in other studies for crystalline UO 2 using CF 4 /O 2 glow discharge performed at ∼150 to 250 K higher sample temperatures

  5. Dry Etch Black Silicon with Low Surface Damage: Effect of Low Capacitively Coupled Plasma Power

    DEFF Research Database (Denmark)

    Iandolo, Beniamino; Plakhotnyuk, Maksym; Gaudig, Maria

    2017-01-01

    Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we pr...... carrier lifetime thanks to reduced ion energy. Surface passivation using atomic layer deposition of Al2O3 improves the effective lifetime to 7.5 ms and 0.8 ms for black silicon n- and p-type wafers, respectively.......Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we...... present a RIE optimization leading to reduced surface damage while retaining excellent light trapping and low reflectivity. In particular, we demonstrate that the reduction of the capacitively coupled power during reactive ion etching preserves a reflectance below 1% and improves the effective minority...

  6. Low-temperature plasma etching of high aspect-ratio densely packed 15 to sub-10 nm silicon features derived from PS-PDMS block copolymer patterns

    International Nuclear Information System (INIS)

    Liu, Zuwei; Sassolini, Simone; Olynick, Deirdre L; Gu, Xiaodan; Hwu, Justin

    2014-01-01

    The combination of block copolymer (BCP) lithography and plasma etching offers a gateway to densely packed sub-10 nm features for advanced nanotechnology. Despite the advances in BCP lithography, plasma pattern transfer remains a major challenge. We use controlled and low substrate temperatures during plasma etching of a chromium hard mask and then the underlying substrate as a route to high aspect ratio sub-10 nm silicon features derived from BCP lithography. Siloxane masks were fabricated using poly(styrene-b-siloxane) (PS-PDMS) BCP to create either line-type masks or, with the addition of low molecular weight PS-OH homopolymer, dot-type masks. Temperature control was essential for preventing mask migration and controlling the etched feature’s shape. Vertical silicon wire features (15 nm with feature-to-feature spacing of 26 nm) were etched with aspect ratios up to 17 : 1; higher aspect ratios were limited by the collapse of nanoscale silicon structures. Sub-10 nm fin structures were etched with aspect ratios greater than 10 : 1. Transmission electron microscopy images of the wires reveal a crystalline silicon core with an amorphous surface layer, just slightly thicker than a native oxide. (paper)

  7. Electron cyclotron resonance ion stream etching of tantalum for x-ray mask absorber

    International Nuclear Information System (INIS)

    Oda, Masatoshi; Ozawa, Akira; Yoshihara, Hideo

    1993-01-01

    Electron cyclotron resonance ion stream etching of Ta film was investigated for preparing x-ray mask absorber patterns. Ta is etched by the system at a high rate and with high selectivity. Using Cl 2 as etching gas, the etch rate decreases rapidly with decreasing pattern width below 0.5 μm and large undercutting is observed. The problems are reduced by adding Ar or O 2 gas to the Cl 2 . Etching with a mixture of Cl 2 and O 2 produces highly accurate Ta absorber patterns for x-ray masks. The pattern width dependence of the etch rate and the undercutting were simulated with a model that takes account of the angular distribution of active species incident on the sample. The experimental results agree well with those calculated assuming that the incidence angles are distributed between -36 degrees and 36 degrees. The addition of O 2 or Ar enhances ion assisted etching. 16 refs., 16 figs

  8. Properties and etching rates of negative ions in inductively coupled plasmas and dc discharges produced in Ar/SF6

    International Nuclear Information System (INIS)

    Draghici, M.; Stamate, E.

    2010-01-01

    Negative ion production is investigated in a chamber with transversal magnetic filter operated in dc or inductively coupled plasma (ICP) modes in Ar/SF 6 gas mixtures. Plasma parameters are evaluated by mass spectrometry and Langmuir probe for different discharge conditions. The density ratio of negative ion to electron exceeded 300 in dc mode while it was below 100 in the ICP mode. The possibility to apply a large positive bias to an electrode without affecting the plasma potential and the transition from a negative sheath to anodic glow are also investigated. The etching rates by positive and negative ions are evaluated on silicon substrate for different Ar/SF 6 gas ratios. The etching rate by negative ions was with less than 5% smaller than that by positive ions.

  9. Properties and etching rates of negative ions in inductively coupled plasmas and dc discharges produced in Ar/SF6

    DEFF Research Database (Denmark)

    Draghici, Mihai; Stamate, Eugen

    2010-01-01

    of negative ion to electron exceeded 300 in dc mode while it was below 100 in the ICP mode. The possibility to apply a large positive bias to an electrode without affecting the plasma potential and the transition from a negative sheath to anodic glow are also investigated. The etching rates by positive...... and negative ions are evaluated on silicon substrate for different Ar/SF6 gas ratios. The etching rate by negative ions was with less than 5% smaller than that by positive ions.......Negative ion production is investigated in a chamber with transversal magnetic filter operated in dc or inductively coupled plasma (ICP) modes in Ar/SF6 gas mixtures. Plasma parameters are evaluated by mass spectrometry and Langmuir probe for different discharge conditions. The density ratio...

  10. Surface changes of biopolymers PHB and PLLA induced by Ar+ plasma treatment and wet etching

    Science.gov (United States)

    Slepičková Kasálková, N.; Slepička, P.; Sajdl, P.; Švorčík, V.

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar+ plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers - polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  11. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    Energy Technology Data Exchange (ETDEWEB)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin; Walch, Steve P.; Kim, Hyo Jin [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Kim, Ki-Hyun [Manufacturing Technology Center, Samsung Electronics, Suwon, Gyeonggi-Do (Korea, Republic of); Prinz, Fritz B. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{sub x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.

  12. Spark plasma sintering and mechanical properties of $ZrO_{2} (Y_{2}O_{3})-Al_{2}O_{3}$ composites

    CERN Document Server

    Jin Sheng H; Dalla Torre, S; Miyamoto, H; Miyamoto, K

    2000-01-01

    Spark plasma sintering (SPS) was conducted on nanocrystalline ZrO/sub 2/(Y/sub 2/O/sub 3/)-20 mol% Al/sub 2/O/sub 3/ powder at a heat rate of 600 degrees C/min with a short holding time. Full density was obtained at sintering temperatures >1300 degrees C. Considerable grain growth occurred relative to the initial powder particles, but smaller grain size and higher density can be obtained as compared to hot-pressing. High flexural strength and fracture toughness were also achieved for the SPS-resulted composite. (8 refs).

  13. Characterization of nanostructured CuO-porous silicon matrixformed on copper coated silicon substrate via electrochemical etching

    International Nuclear Information System (INIS)

    Naddaf, M.; Mrad, O.; Al-Zier, A.

    2015-01-01

    A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak (blue) PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.(author)

  14. Teste de microtração em esmalte de um sistema adesivo universal pela técnica etch-and-rinse e etch-and-dry

    OpenAIRE

    Peneque, Carolina Martins Santos

    2014-01-01

    Tese de mestrado, Medicina dentária, Universidade de Lisboa, Faculdade de Medicina Dentária, 2014 Objetivo: Recorrendo ao teste de microtração, avaliar e comparar as forças adesivas em esmalte cortado de um sistema adesivo universal (Scotchbond Universal Adhesive, 3M ESPE, St. Paul, MN, USA) usado segundo as instruções do fabricante, nos modos etch-and-rinse e etch-and-dry. A hipótese nula testada é a de que não existem diferenças estatisticamente significativas nas forças de adesão ao esm...

  15. Non-equilibrium nitrogen DC-arc plasma treatment of TiO2 nanopowder.

    Science.gov (United States)

    Suzuki, Yoshikazu; Gonzalez-Aguilar, José; Traisnel, Noel; Berger, Marie-Hélène; Repoux, Monique; Fulcheri, Laurent

    2009-01-01

    Non-equilibrium nitrogen DC-arc plasma treatment of a commercial TiO2 anatase nanopowder was examined to obtain nitrogen-doped TiO2. By using a non-thermal discharge at low current (150 mA) and high voltage (1200 V) using pure N2 gas, light yellowish-gray TiO2 powder was successfully obtained within a short period of 5-10 min. XPS and TEM-EELS studies confirmed the existence of doped nitrogen. Due to the relatively mild conditions (plasma power of 180 W), metastable anatase structure and fine crystallite size of TiO2 (ca. 10 nm) were maintained after the plasma treatment. The in-flight powder treatment system used in this study is promising for various type of powder treatment.

  16. Synergistic Effect of H2O2 and NO2 in Cell Death Induced by Cold Atmospheric He Plasma

    Science.gov (United States)

    Girard, Pierre-Marie; Arbabian, Atousa; Fleury, Michel; Bauville, Gérard; Puech, Vincent; Dutreix, Marie; Sousa, João Santos

    2016-01-01

    Cold atmospheric pressure plasmas (CAPPs) have emerged over the last decade as a new promising therapy to fight cancer. CAPPs’ antitumor activity is primarily due to the delivery of reactive oxygen and nitrogen species (RONS), but the precise determination of the constituents linked to this anticancer process remains to be done. In the present study, using a micro-plasma jet produced in helium (He), we demonstrate that the concentration of H2O2, NO2− and NO3− can fully account for the majority of RONS produced in plasma-activated buffer. The role of these species on the viability of normal and tumour cell lines was investigated. Although the degree of sensitivity to H2O2 is cell-type dependent, we show that H2O2 alone cannot account for the toxicity of He plasma. Indeed, NO2−, but not NO3−, acts in synergy with H2O2 to enhance cell death in normal and tumour cell lines to a level similar to that observed after plasma treatment. Our findings suggest that the efficiency of plasma treatment strongly depends on the combination of H2O2 and NO2− in determined concentrations. We also show that the interaction of the He plasma jet with the ambient air is required to generate NO2− and NO3− in solution. PMID:27364563

  17. Study of Gallium Arsenide Etching in a DC Discharge in Low-Pressure HCl-Containing Mixtures

    Science.gov (United States)

    Dunaev, A. V.; Murin, D. B.

    2018-04-01

    Halogen-containing plasmas are often used to form topological structures on semiconductor surfaces; therefore, spectral monitoring of the etching process is an important diagnostic tool in modern electronics. In this work, the emission spectra of gas discharges in mixtures of hydrogen chloride with argon, chlorine, and hydrogen in the presence of a semiconducting gallium arsenide plate were studied. Spectral lines and bands of the GaAs etching products appropriate for monitoring the etching rate were determined. It is shown that the emission intensity of the etching products is proportional to the GaAs etching rate in plasmas of HCl mixtures with Ar and Cl2, which makes it possible to monitor the etching process in real time by means of spectral methods.

  18. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    International Nuclear Information System (INIS)

    Riedel, Nicholas A.; Smith, Barbara S.; Williams, John D.; Popat, Ketul C.

    2012-01-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO 2 peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: ►Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. ►Oxygen etched substrates showed fewer adhered platelets. ►Platelet activation was reduced by the improved oxide surface. ►Oxygen etched substrates exhibited increased whole blood clotting times. ►Although clotting reductions were seen, protein adsorption remained similar.

  19. Monitoring of PVD, PECVD and etching plasmas using Fourier components of RF voltage

    International Nuclear Information System (INIS)

    Dvorak, P; Vasina, P; Bursikova, V; Zemlicka, R

    2010-01-01

    Fourier components of discharge voltages were measured in two different reactive plasmas and their response to the creation or destruction of a thin film was studied. In reactive magnetron sputtering the effect of transition from the metallic to the compound mode accompanied by the creation of a compound film on the sputtered target was observed. Further, deposition and etching of a diamond-like carbon film and their effects on amplitudes of Fourier components of the discharge voltage were studied. It was shown that the Fourier components, including higher harmonic frequencies, sensitively react to the presence of a film. Therefore, they can be used as a powerful tool for the monitoring of deposition and etching processes. It was demonstrated that the behaviour of the Fourier components was caused in both experiments by the presence of the film. It was not caused by changes in the chemical composition of the gas phase induced by material etched from the film or decrease in gettering rate. Further, the observed behaviour was not affected by the film conductivity. The behaviour of the Fourier components can be explained by the difference between the coefficients of secondary electron emission of the film and its underlying material.

  20. Enhanced oxidation of naphthalene using plasma activation of TiO2/diatomite catalyst.

    Science.gov (United States)

    Wu, Zuliang; Zhu, Zhoubin; Hao, Xiaodong; Zhou, Weili; Han, Jingyi; Tang, Xiujuan; Yao, Shuiliang; Zhang, Xuming

    2018-04-05

    Non-thermal plasma technology has great potential in reducing polycyclic aromatic hydrocarbons (PAHs) emission. But in plasma-alone process, various undesired by-products are produced, which causes secondary pollutions. Here, a dielectric barrier discharge (DBD) reactor has been developed for the oxidation of naphthalene over a TiO 2 /diatomite catalyst at low temperature. In comparison to plasma-alone process, the combination of plasma and TiO 2 /diatomite catalyst significantly enhanced naphthalene conversion (up to 40%) and CO x selectivity (up to 92%), and substantially reduced the formation of aerosol (up to 90%) and secondary volatile organic compounds (up to near 100%). The mechanistic study suggested that the presence of the TiO 2 /diatomite catalyst intensified the electron energy in the DBD. Meantime, the energized electrons generated in the discharge activated TiO 2 , while the presence of ozone enhanced the activity of the TiO 2 /diatomite catalyst. This plasma-catalyst interaction led to the synergetic effect resulting from the combination of plasma and TiO 2 /diatomite catalyst, consequently enhanced the oxidation of naphthalene. Importantly, we have demonstrated the effectiveness of plasma to activate the photocatalyst for the deep oxidation of PAH without external heating, which is potentially valuable in the development of cost-effective gas cleaning process for the removal of PAHs in vehicle applications during cold start conditions. Copyright © 2017 Elsevier B.V. All rights reserved.

  1. Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    International Nuclear Information System (INIS)

    Jansen, H V; De Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-01-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O 2 ) or a fluorocarbon (FC) gas (C 4 F 8 or CHF 3 ). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF 3 and C 4 F 8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF 3 is roughly 30 times the flow of C 4 F 8 , and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O 2 is FC-free but shows only tolerable anisotropic results at

  2. Genotoxicity studies in semiconductor industry. 1. In vitro mutagenicity and genotoxicity studies of waste samples resulting from plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Braun, R.; Huettner, E.M.; Merten, H.; Raabe, F. (Institute of Plant Genetics and Crop Plant Research, Gatersleben (Germany))

    1993-07-01

    Solid waste samples taken from the etching reactor, the turbo pump, and the waste air system of a plasma etching technology line in semiconductor production were studied as to their genotoxic properties in a bacterial repair test, in the Ames/Salmonella microsome assay, in the SOS chromotest, in primary mouse hepatocytes, and in Chinese hamster V79 cell cultures. All three waste samples were found to be active by inducing of unscheduled DNA-synthesis in mouse hepatocytes in vitro. In the bacterial rec-type repair test with Proteus mirabilis, waste samples taken from the turbo pump and the vacuum pipe system were not genotoxic. The waste sample taken from the chlorine-mediated plasma reactor was clearly positive in the bacterial repair assay and in the SOS chromotest with Escherichia coli. Mutagenic activity was demonstrated for all samples in the presence and absence of S9 mix made from mouse liver homogenate. Again, highest mutagenic activity was recorded for the waste sample taken from the plasma reactor, while samples collected from the turbo pump and from the waste air system before dilution and liberation of the air were less mutagenic. For all samples chromosomal damage in V79 cells was not detected, indicating absence of clastogenic activity in vitro. Altogether, these results indicate generation of genotoxic and mutagenic products as a consequence of chlorine-mediated plasma etching in the microelectronics industry and the presence of genotoxins even in places distant from the plasma reactor. Occupational exposure can be expected both from the precipitated wastes and from chemicals reaching the environment with the air stream.

  3. Stages of polymer transformation during remote plasma oxidation (RPO) at atmospheric pressure

    Science.gov (United States)

    Luan, P.; Oehrlein, G. S.

    2018-04-01

    The interaction of cold temperature plasma sources with materials can be separated into two types: ‘direct’ and ‘remote’ treatments. Compared to the ‘direct’ treatment which involves energetic charged species along with short-lived, strongly oxidative neutral species, ‘remote’ treatment by the long-lived weakly oxidative species is less invasive and better for producing uniformly treated surfaces. In this paper, we examine the prototypical case of remote plasma oxidation (RPO) of polymer materials by employing a surface micro-discharge (in a N2/O2 mixture environment) treatment on polystyrene. Using material characterization techniques including real-time ellipsometry, x-ray photoelectron spectroscopy, and Fourier-transform infrared spectroscopy, the time evolution of polymer film thickness, refractive index, surface, and bulk chemical composition were evaluated. These measurements revealed three consecutive stages of polymer transformation, i.e. surface adsorption and oxidation, bulk film permeation and thickness expansion followed by the material removal as a result of RPO. By correlating the observed film thickness changes with simultaneously obtained chemical information, we found that the three stages were due to the three effects of weakly oxidative species on polymers: (1) surface oxidation and nitrate (R-ONO2) chemisorption, (2) bulk oxidation, and (3) etching. Our results demonstrate that surface adsorption and oxidation, bulk oxidation, and etching can all happen during one continuous plasma treatment. We show that surface nitrate is only adsorbed on the top few nanometers of the polymer surface. The polymer film expansion also provided evidence for the diffusion and reaction of long-lived plasma species in the polymer bulk. Besides, we found that the remote plasma etched surface was relatively rich in O-C=O (ester or carboxylic acid). These findings clarify the roles of long-lived weakly oxidative plasma species on polymers and advance

  4. Preparation and characterization of rare earth modified nanocrystalline Al2O3/13 wt%TiO2 feedstock for plasma spraying.

    Science.gov (United States)

    Wang, Y; Tian, W; Yang, Y

    2009-02-01

    The preparation and characterization of RE modified nanocrystalline Al2O3/13 wt%TiO2 feedstock for plasma spraying are described in this paper. Taking individual nano particles as starting materials, by wet ball milling, spray drying, sintering and plasma treating, nanocrystalline plasma sprayable feedstock is prepared. The as-prepared feedstocks were analyzed by XRD, SEM, EDS, TEM and HRTEM methods. As shown from analyses results, the reconstituted agglomerate feedstock possesses spherical geometry, proper particle size, homogeneous composition distribution and nano scaled grains. There are three dimensional net structures in the prepared feedstock, which could be retained in coatings if the feedstock does not melt or partially melts during the plasma spray process. The three dimensional net structures could play an important role in improving crack propagation resistance and wear resistance of coatings. The reconstitution process and characterization methods discussed in this paper can also be applied to prepare intraclass nanocrystalline feedstock such as ZrO2/Y2O3 and Cr2O3 et al.

  5. Influence of O2 plasma treatment on NiO x layer in perovskite solar cells

    Science.gov (United States)

    Nishihara, Yoshihiko; Chikamatsu, Masayuki; Kazaoui, Said; Miyadera, Tetsuhiko; Yoshida, Yuji

    2018-04-01

    We fabricated perovskite solar cells (PSCs) with an inverted p-i-n planar structure using a NiO x film as a hole-transporting layer. Since the surface of the NiO x film fabricated by sputtering is hydrophobic, O2 plasma treatment under various conditions was performed to improve its wettability. Water contact angles after the treatment under both normal and weak conditions on the NiO x film reached approximately 15°. After the treatment, the valence band level of the NiO x film was deeper by about 0.15 eV. The maximum efficiency of the NiO x -based device under the optimized O2 plasma condition reached 12.3%.

  6. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    Science.gov (United States)

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  7. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  8. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  9. Surface changes of biopolymers PHB and PLLA induced by Ar{sup +} plasma treatment and wet etching

    Energy Technology Data Exchange (ETDEWEB)

    Slepičková Kasálková, N. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Slepička, P., E-mail: petr.slepicka@vscht.cz [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Sajdl, P. [Department of Power Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Švorčík, V. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic)

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar{sup +} plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers – polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  10. Microstructure and mechanical properties of plasma sprayed Al2O3 – 13%TiO2 Ceramic Coating

    Directory of Open Access Journals (Sweden)

    Wahab Juyana A

    2017-01-01

    Full Text Available This paper focused on the effect of deposition conditions on the microstructural and mechanical properties of the ceramic coating. In this study, Al2O3 – 13%TiO2 coated mild steel were prepared by using atmospheric plasma spray technology with different plasma power ranging from 25 kW to 40 kW. The as-sprayed coatings consist of γ-Al2O3 phase as the major phase and small amount of the titania phase existed in the coating structure. High degree of fully melted region was observed in the surface morphology for the coating sprayed with high plasma power, which lead to the high hardness and low percentage of porosity. In this study, nanoindentation test was carried out to investigate mechanical properties of the coating and the results showed that the coatings possess high elastic behaviour, which beneficial in engineering practice.

  11. Characterization of nanostructured CuO-porous silicon matrix formed on copper-coated silicon substrate via electrochemical etching

    Science.gov (United States)

    Naddaf, M.; Mrad, O.; Al-zier, A.

    2014-06-01

    A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak `blue' PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.

  12. CoPt/TiN films nanopatterned by RF plasma etching towards dot-patterned magnetic media

    Science.gov (United States)

    Szívós, János; Pothorszky, Szilárd; Soltys, Jan; Serényi, Miklós; An, Hongyu; Gao, Tenghua; Deák, András; Shi, Ji; Sáfrán, György

    2018-03-01

    CoPt thin films as possible candidates for Bit Patterned magnetic Media (BPM) were prepared and investigated by electron microscopy techniques and magnetic measurements. The structure and morphology of the Direct Current (DC) sputtered films with N incorporation were revealed in both as-prepared and annealed state. Nanopatterning of the samples was carried out by means of Radio Frequency (RF) plasma etching through a Langmuir-Blodgett film of silica nanospheres that is a fast and high throughput technique. As a result, the samples with hexagonally arranged 100 nm size separated dots of fct-phase CoPt were obtained. The influence of the order of nanopatterning and anneling on the nanostructure formation was revealed. The magnetic properties of the nanopatterned fct CoPt films were investigated by Vibrating Sample Magnetometer (VSM) and Magnetic Force Microscopy (MFM). The results show that CoPt thin film nanopatterned by means of the RF plasma etching technique is promising candidate to a possible realization of BPM. Furthermore, this technique is versatile and suitable for scaling up to technological and industrial applications.

  13. Microstructure and Properties of Plasma-Sprayed Mixture of Cr2O3 and TiO2

    Czech Academy of Sciences Publication Activity Database

    Ctibor, Pavel; Píš, I.; Kotlan, J.; Pala, Zdeněk; Štengl, Václav; Homola, P.

    2013-01-01

    Roč. 22, č. 7 (2013), s. 1163-1169 ISSN 1059-9630 Institutional support: RVO:61389021 ; RVO:61388980 Keywords : bandgap * Cr2O3 * photocatalysis * resistivity * spectroscopy * TiO2 Subject RIV: BL - Plasma and Gas Discharge Physics; CA - Inorganic Chemistry (UACH-T) Impact factor: 1.491, year: 2013 http://link.springer.com/article/10.1007%2Fs11666-013-9969-9

  14. Spectral and ion emission features of laser-produced Sn and SnO2 plasmas

    Science.gov (United States)

    Hui, Lan; Xin-Bing, Wang; Du-Luo, Zuo

    2016-03-01

    We have made a detailed comparison of the atomic and ionic debris, as well as the emission features of Sn and SnO2 plasmas under identical experimental conditions. Planar slabs of pure metal Sn and ceramic SnO2 are irradiated with 1.06 μm, 8 ns Nd:YAG laser pulses. Fast photography employing an intensified charge coupled device (ICCD), optical emission spectroscopy (OES), and optical time of flight emission spectroscopy are used as diagnostic tools. Our results show that the Sn plasma provides a higher extreme ultraviolet (EUV) conversion efficiency (CE) than the SnO2 plasma. However, the kinetic energies of Sn ions are relatively low compared with those of SnO2. OES studies show that the Sn plasma parameters (electron temperature and density) are lower compared to those of the SnO2 plasma. Furthermore, we also give the effects of the vacuum degree and the laser pulse energy on the plasma parameters. Project supported by the National Natural Science Foundation of China (Grant No. 11304235) and the Director Fund of WNLO, China.

  15. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  16. Oxygen plasma etching of graphene: A first-principles dynamical inspection of the reaction mechanisms and related activation barriers

    Science.gov (United States)

    Koizumi, Kenichi; Boero, Mauro; Shigeta, Yasuteru; Oshiyama, Atsushi; Dept. of Applied Physics Team; Institute of Physics and Chemistry of Strasbourg (IPCMS) Collaboration; Department Of Materials Engineering Science Collaboration

    2013-03-01

    Oxygen plasma etching is a crucial step in the fabrication of electronic circuits and has recently received a renovated interest in view of the realization of carbon-based nanodevices. In an attempt at unraveling the atomic-scale details and to provide guidelines for the control of the etching processes mechanisms, we inspected the possible reaction pathways via reactive first principles simulations. These processes involve breaking and formation of several chemical bonds and are characterized by different free-energy barriers. Free-energy sampling techniques (metadynamics and blue moon), used to enhance the standard Car-Parrinello molecular dynamics, provide us a detailed microscopic picture of the etching of graphene surfaces and a comprehensive scenario of the activation barriers involved in the various steps. MEXT, Japan - contract N. 22104005

  17. Masking considerations in chemically assisted ion beam etching of GaAs/AlGaAs laser structures

    International Nuclear Information System (INIS)

    Behfar-Rad, A.; Wong, S.S.; Davis, R.J.; Wolf, E.D.; Cornell Univ., Ithaca, NY

    1989-01-01

    The use of photoresist, Cr, and SiO 2 as etch masks for GaAs/AlGaAs structures in chemically assisted ion beam etching is reported. The optimized etch with a photoresist mask results in a high degree of anisotropy and smooth sidewalls. However, the etched surface contains undesirable features. The etch with a Cr mask is also highly anisotropic, and the etched surface is free of features. The drawback with Cr masks is that the sidewalls are rough. Vertical and smooth sidewalls as well as a featureless surface are obtained with a SiO 2 mask. The SiO 2 mask has been employed to etch the facets of monolithic GaAs/AlGaAs-based laser structures

  18. TOPICAL REVIEW: Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    Science.gov (United States)

    Jansen, H V; de Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-03-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O2) or a fluorocarbon (FC) gas (C4F8 or CHF3). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF3 and C4F8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF3 is roughly 30 times the flow of C4F8, and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O2 is FC-free but shows only tolerable anisotropic results at -120 °C. The

  19. Highly roughened polycaprolactone surfaces using oxygen plasma-etching and in vitro mineralization for bone tissue regeneration: fabrication, characterization, and cellular activities.

    Science.gov (United States)

    Kim, YongBok; Kim, GeunHyung

    2015-01-01

    Herein, poly(ɛ-caprolactone) (PCL) surfaces were treated to form various roughness values (R(a)=290-445 nm) and polar functional groups on the surfaces using a plasma-etching process, followed by immersion into simulated body fluid (SBF) for apatite formation. The surface morphology, chemical composition, and mean roughness of the plasma-etched PCL surfaces were measured, and various physical and morphological properties (water contact angles, protein absorption ability, and crystallite size of the apatite layer) of the in vitro mineralized PCL surfaces were evaluated. The roughened PCL surface P-3, which was treated with a sufficient plasma exposure time (4 h), achieved homogeneously distributed apatite formation after soaking in SBF for 7 days, as compared with other surfaces that were untreated or plasma-treated for 30 min or 2 h. Furthermore, to demonstrate their feasibility as a biomimetic surface, pre-osteoblast cells (MC3T3-E1) were cultured on the mineralized PCL surfaces, and cell viability, DAPI-phalloidin fluorescence assay, and alizarin red-staining of the P-3 surface were highly improved compared to the P-1 surface treated with a 30-min plasma exposure time; compared to untreated mineralized PCL surface (N-P), P-3 showed even greater improvements in cell viability and DAPI-phalloidin fluorescence assay. Based on these results, we found that the mineralized PCL surface supplemented with the appropriate plasma treatment can be implicitly helpful to achieve rapid hard tissue regeneration. Copyright © 2014 Elsevier B.V. All rights reserved.

  20. Time resolved emission spectroscopy investigations of pulsed laser ablated plasmas of ZrO2 and Al2O3

    International Nuclear Information System (INIS)

    Hadoko, A D; Lee, P S; Lee, P; Mohanty, S R; Rawat, R S

    2006-01-01

    With the rising trend of synthesizing ultra thin films and/or quantum-confined materials using laser ablation, optimization of deposition parameters plays an essential role in obtaining desired film characteristics. This paper presents the initial step of plasma optimization study by examining temporal distribution of the plasma formation by pulsed laser ablation of materials. The emitted spectra of ZrO 2 and Al 2 O 3 are obtained ∼3mm above the ablated target to derive the ablated plasma characteristics. The plasma temperature is estimated to be at around 2.35 eV, with electron density of 1.14 x 10 16 (cm -3 ). Emission spectra with different gate delay time (40-270 ns) are captured to study the time resolved plume characteristics. Transitory elemental species are identified

  1. Isolating GaSb Membranes Grown Metamorphically on GaAs Substrates Using Highly Selective Substrate Removal Etch Processes

    Science.gov (United States)

    Renteria, E. J.; Muniz, A. J.; Addamane, S. J.; Shima, D. M.; Hains, C. P.; Balakrishnan, G.

    2015-05-01

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11,000 ± 2000, whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2- μm-thick GaSb epilayers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high- resolution x-ray diffraction and atomic force microscopy.

  2. Triple layered core–shell structure with surface fluorinated ZnO-carbon nanotube composites and its electron emission properties

    International Nuclear Information System (INIS)

    Wang, H.Y.; Chua, Daniel H.C.

    2013-01-01

    Highlights: ► The effects of CF 4 plasma on ZnO-CNT core–shell structures were studied. ► ZnO was effective in protecting the aligned CNTs core for as long as 30 min of plasma etching. ► SEM showed the surface morphology was nearly similar between pristine, 2 min and 30 min plasma etched specimens. ► F was observed to displace O in ZnO. ► This is the first report of an ultra long plasma etch of fluorine onto ZnO surface. - Abstract: Core-shelled structures such as zinc oxide (ZnO) on carbon nanotubes (CNTs) give rise to interesting material properties. In this work, a triple-layered core–shell–shell structure is presented where the effects of fluorine (F) incorporation on the outmost shell of the ZnO-CNT structure are studied. The samples prepared ranged from a short 2 min to a 30 min immersion in carbon tetraflouride (CF 4 ) plasma. In addition, its effects on the electron emission properties also studied and it is shown that the plasma immersions create thinner field emitters with sharp tiny wrinkles giving rise to more electron emission sites and higher enhancement factor. In addition, X-ray photoelectron spectroscopy measurements showed that F ions replace O in ZnO coatings during immersion process, thus increasing the electrical conductivity and shifts the Fermi level of ZnO upwards. Both physical and electronic effects further contribute to a lower threshold field.

  3. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  4. Etching Effect of an Atmospheric DC Arc Plasmatron

    International Nuclear Information System (INIS)

    Chun, Se Min; Kim, Ji Hun; Kang, In Je; Lee, Heon Ju

    2010-01-01

    Thermal plasmas (especially arc plasma) were extensively industrialized, principally by aeronautic sector. Cold plasma technologies have been developed in the microelectronics but their vacuum equipment limits their implantation. Plasmas used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in very costly due to the use of vacuum equipment and vacuum components. Use of DC arc plasmatrons in welding, soldering, and cutting of metals is well known. A DC-arc plasmatron with high durability was reported to be a suitable device for etching silicon and photo-resist surfaces

  5. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  6. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    Science.gov (United States)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  7. Fabrication of high aspect ratio TiO2 and Al2O3 nanogratings by atomic layer deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Takayama, Osamu; Michael-Lindhard, Jonas

    2016-01-01

    The authors report on the fabrication of TiO2 and Al2O3 nanostructured gratings with an aspect ratio of up to 50. The gratings were made by a combination of atomic layer deposition (ALD) and dry etch techniques. The workflow included fabrication of a Si template using deep reactive ion etching...... spectroscopy. The approach presented opens the possibility to fabricate high quality optical metamaterials and functional nanostructures....

  8. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  9. Rare-earth-ion-doped Al2O3 waveguides for active integrated optical devices

    NARCIS (Netherlands)

    Bradley, J.; Ay, F.; Blauwendraat, Tom; Worhoff, Kerstin; Pollnau, Markus; Orlovic, Valentin A.; Panchenko, Vladislav; Scherbakov, Ivan A.

    2007-01-01

    Reactively co-sputtered amorphous $Al_2O_3$ waveguide layers with low propagation losses have been deposited. In order to define channel waveguides in such $Al_2O_3$ films, the etching behaviour of $Al_2O_3$ has been investigated using an inductively coupled reactive ion etch system. The etch rate

  10. Real-time control of ion density and ion energy in chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Chang, C.-H.; Leou, K.-C.; Lin Chaung; Lin, T.-L.; Tseng, C.-W.; Tsai, C.-H.

    2003-01-01

    In this study, we have experimentally demonstrated the real-time closed-loop control of both ion density and ion energy in a chlorine inductively coupled plasma etcher. To measure positive ion density, the trace rare gases-optical emission spectroscopy is used to measure the chlorine positive ion density. An rf voltage probe is adopted to measure the root-mean-square rf voltage on the electrostatic chuck which is linearly dependent on sheath voltage. One actuator is a 13.56 MHz rf generator to drive the inductive coil seated on a ceramic window. The second actuator is also a 13.56 MHz rf generator to power the electrostatic chuck. The closed-loop controller is designed to compensate for process drift, process disturbance, and pilot wafer effect and to minimize steady-state error of plasma parameters. This controller has been used to control the etch process of unpatterned polysilicon. The experimental results showed that the closed-loop control had a better repeatability of plasma parameters compared with open-loop control. The closed-loop control can eliminate the process disturbance resulting from reflected power. In addition, experimental results also demonstrated that closed-loop control has a better reproducibility in etch rate as compared with open-loop control

  11. Oxygen functionalization of MWCNTs in RF-dielectric barrier discharge Ar/O2 plasma

    Science.gov (United States)

    Abdel-Fattah, E.; Ogawa, D.; Nakamura, K.

    2017-07-01

    The oxygenation of multi-wall carbon nanotubes (MWCNTs) was performed via a radio frequency dielectric barrier discharge (RF-DBD) in an Ar/{{\\text{H}}2}\\text{O} plasma mixture. The relative intensity of the Ar/{{\\text{O}}2} plasma species was characterized by optical emission spectroscopy (OES). The effects of treatment time, RF power and oxygen gas percentage on the chemical composition and surface morphology of MWCNTs were investigated by means of x-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy and field emission scanning electron microscopy (FE-SEM). The results of FTIR and XPS revealed the presence of oxygen-containing functional groups on the MWCNTs treated in an Ar/{{\\text{O}}2} plasma at an RF power of 50 W and pressure of 400 Pa. The amount of oxygen functional groups (C=O, C-O, and O-COO) also increased by increasing treatment time up to 6 min, but slightly decreased when treatment time was increased by 10 min. The increase of oxygen gas percentage in the plasma mixture does not affect the oxygen content in the treated MWCNTs. Meanwhile, MWCNTs treated at high power (80 W) showed a reduction in oxygen functional groups in comparison with low RF power conditions. The Raman analysis was consistent with the XPS and FTIR results. The integrity of the nanotube patterns also remained damaged as observed by FE-SEM images. The MWCNTs treated in RF-DBD using the Ar/{{\\text{O}}2} plasma mixture showed improved dispersibility in deionized water. A correlation between the OES data and the observed surface characterization for an improved understanding of the functionalization of MWCNTs in Ar/{{\\text{O}}2} plasma was presented.

  12. Addition of MgO nanoparticles and plasma surface treatment of three-dimensional printed polycaprolactone/hydroxyapatite scaffolds for improving bone regeneration

    Energy Technology Data Exchange (ETDEWEB)

    Roh, Hee-Sang; Lee, Chang-Min; Hwang, Young-Hyoun [Department of Dental Materials, School of Dentistry, Chosun University, Gwangju 61452 (Korea, Republic of); Kook, Min-Suk [Department of Oral & Maxillofacial Surgery, School of Dentistry, Chonnam National University, Gwangju 61186 (Korea, Republic of); Yang, Seong-Won [Department of Ophthalmology, College of Medicine, Chosun University, Gwangju 61452 (Korea, Republic of); Lee, Donghun [Department of Herbal Pharmacology, Kyung Hee University College of Korean Medicine, Seoul 130-701 (Korea, Republic of); Kim, Byung-Hoon, E-mail: kim5055@chosun.ac.kr [Department of Dental Materials, School of Dentistry, Chosun University, Gwangju 61452 (Korea, Republic of)

    2017-05-01

    Magnesium (Mg) plays an important role in the body in mediating cell-extracellular matrix interactions and controlling bone apatite structure and density. Hydroxyapatite (HAp) has been used for osteoconductive bone replacement because of its good compressive strength and biocompatibility. The object of this study is to investigate the effects of adding Magnesium oxide (MgO) nanoparticles to polycaprolactone (PCL)/HAp composites and treating PCL/HAp/MgO scaffolds with oxygen and nitrogen plasma. The 3D PCL/HAp/MgO scaffolds were fabricated using a 3D bioextruder. PCL was mixed with 1–15 wt% of MgO and HAp. The scaffolds were treated with oxygen and nitrogen plasma under anisotropic etching conditions to improve the bioactivity. The plasma-treated surfaces were analyzed by X-ray photoelectron spectroscopy, scanning electron microscopy, and atomic force microscopy. In addition, the proliferation and differentiation of pre-osteoblast (MC3T3-E1) cells were examined by 3-(4,5-Dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay and alkaline phosphatase activity. Cell mineralization within the produced scaffolds was analyzed by the quantification of alizarin stainings. The addition of MgO/HAp nanoparticles and plasma treatment enhanced the adhesion, proliferation, and differentiation of MC3T3-E1 cells in the PCL scaffolds. Hence, changes in physical surface morphology and surface chemical properties of the 3D scaffold by plasma treatment can affect the behavior of MC3T3-E1 cells. - Highlights: • 3D-printed PCL/HAp/MgO showed good porosity and interconnectivity. • O{sub 2} and N{sub 2} plasma improved the surface roughness and hydrophilicity on scaffolds. • Addition of HAp/MgO nanoparticles enhanced the cell behavior of preosteoblast.

  13. Plasma-Sprayed ZnO/TiO2 Coatings with Enhanced Biological Performance

    Science.gov (United States)

    Zhao, Xiaobing; Peng, Chao; You, Jing

    2017-08-01

    Surface chemical composition and topography are two key factors in the biological performance of implants. The aim of this work is to deposit ZnO/TiO2 composite coatings on the surface of titanium substrates by plasma spraying technique. The effects of the amount of ZnO doping on the microstructure, surface roughness, corrosion resistance, and biological performance of the TiO2 coatings were investigated. The results indicated that the phase composition of the as-sprayed TiO2 coating was mainly rutile. Addition of 10% ZnO into TiO2 coating led to a slight shift of the diffraction peaks to lower angle. Anatase phase and Zn2TiO4 were formed in 20%ZnO/TiO2 and 30%ZnO/TiO2 coatings, respectively. Doping with ZnO changed the topography of the TiO2 coatings, which may be beneficial to enhance their biological performance. All coatings exhibited microsized surface roughness, and the corrosion resistance of ZnO/TiO2 coatings was improved compared with pure TiO2 coating. The ZnO/TiO2 coatings could induce apatite formation on their surface and inhibit growth of Staphylococcus aureus, but these effects were dose dependent. The 20%ZnO/TiO2 coating showed better biological performance than the other coatings, suggesting potential application for bone implants.

  14. Fabrication of antireflective nanostructures for crystalline silicon solar cells by reactive ion etching

    International Nuclear Information System (INIS)

    Lin, Hsin-Han; Chen, Wen-Hua; Wang, Chi-Jen; Hong, Franklin Chau-Nan

    2013-01-01

    In this study we have fabricated large-area (15 × 15 cm 2 ) subwavelength antireflection structure on poly-Si substrates to reduce their solar reflectivity. A reactive ion etching system was used to fabricate nanostructures on the poly-silicon surface. Reactive gases, composed of chlorine (Cl 2 ), sulfur hexafluoride (SF 6 ) and oxygen (O 2 ), were activated to fabricate nanoscale pyramids by RF plasma. The poly-Si substrates were etched in various gas compositions for 6–10 min to form nano-pyramids. The sizes of pyramids were about 200–300 nm in heights and about 100 nm in width. Besides the nanoscale features, the high pyramid density on the poly-Si surface is another important factor to reduce the reflectivity. Low-reflectivity surface was fabricated with reflectivity significantly reduced down to < 2% for photons in a wavelength range of 500–900 nm. - Highlights: ► Large-area (15 × 15 cm 2 ) antireflection structures fabricated on poly-Si substrates ► Si nano-pyramids produced by utilizing self-masked reactive ion etching process ► High density of nanoscale pyramids was formed on the entire substrate surface. ► Surface reflectivity below 2% was achieved in the wavelength range of 500–900 nm

  15. Air Plasma-Sprayed La2Zr2O7-SrZrO3 Composite Thermal Barrier Coating Subjected to CaO-MgO-Al2O3-SiO2 (CMAS)

    Science.gov (United States)

    Cai, Lili; Ma, Wen; Ma, Bole; Guo, Feng; Chen, Weidong; Dong, Hongying; Shuang, Yingchai

    2017-08-01

    La2Zr2O7-SrZrO3 composite thermal barrier coatings (TBCs) were prepared by air plasma spray (APS). The La2Zr2O7-SrZrO3 composite TBCs covered with calcium-magnesium-aluminum-silicate (CMAS) powder, as well as the powder mixture of CMAS and spray-dried La2Zr2O7-SrZrO3 composite powder, were heat-treated at 1250 °C in air for 1, 4, 8, and 12 h. The phase constituents and microstructures of the reaction products were characterized by x-ray diffraction, scanning electron microscopy, and energy-dispersive spectroscopy. Experimental results showed that the La2Zr2O7-SrZrO3 composite TBCs had higher CMAS resistance than 8YSZ coating. A dense new layer developed between CMAS and La2Zr2O7-SrZrO3 composite TBCs during interaction, and this new layer consisted mostly of apatite (Ca2La8(SiO4)6O2) and c-ZrO2. The newly developed layer effectively protected the La2Zr2O7-SrZrO3 composite TBCs from further CMAS attack.

  16. Shallow surface etching of organic and inorganic compounds by electrospray droplet impact

    International Nuclear Information System (INIS)

    Hiraoka, Kenzo; Sakai, Yuji; Iijima, Yoshitoki; Asakawa, Daiki; Mori, Kunihiko

    2009-01-01

    The electrospray droplet impact (EDI) was applied to bradykinin, polyethylene terephthalate (PET), SiO 2 /Si, and indium phosphide (InP). It was found that bradykinin deposited on the stainless steel substrate was ionized/desorbed without the accumulation of radiation products. The film thickness desorbed by a single collisional event was found to be less than 10 monolayers. In the EDI mass spectra for PET, several fragment ions were observed but the XPS spectra did not change with prolonged cluster irradiation. The etching rate for SiO 2 by EDI was measured to be ∼0.2 nm/min. The surface roughness of InP etched by EDI was found to be one order of magnitude smaller than that etched by 3 keV Ar + for about the same etching depths. EDI is capable of shallow surface etching with little damage left on the etched surface.

  17. Thermal de-isolation of silicon microstructures in a plasma etching environment

    International Nuclear Information System (INIS)

    Lee, Yong-Seok; Jang, Yun-Ho; Kim, Yong-Kweon; Kim, Jung-Mu

    2013-01-01

    This paper presents a theoretical and experimental strategy for thermal de-isolation of silicon microstructures during a plasma etching process. Heat sinking blocks and thin metal layers are implemented around a thermally isolated mass to avoid severe spring width losses by a steep temperature rise. Thermal de-isolation significantly reduces the fabrication errors from −51.0% to −9.0% and from −39.5% to −6.7% for spring widths and resonant frequencies, respectively. Thermal de-isolation also reduces the standard deviation of resonant frequencies from 8.7% to 1.5% across a wafer, which clearly demonstrates the proposed method. (paper)

  18. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Riedel, Nicholas A. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Smith, Barbara S. [School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Williams, John D. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Popat, Ketul C., E-mail: ketul.popat@colostate.edu [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States)

    2012-07-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO{sub 2} peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: Black-Right-Pointing-Pointer Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates showed fewer adhered platelets. Black-Right-Pointing-Pointer Platelet activation was reduced by the improved oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates exhibited increased whole blood clotting times. Black-Right-Pointing-Pointer Although clotting reductions were

  19. Simple O2 Plasma-Processed V2O5 as an Anode Buffer Layer for High-Performance Polymer Solar Cells

    DEFF Research Database (Denmark)

    Bao, Xichang; Zhu, Qianqian; Wang, Ting

    2015-01-01

    A simple O2 plasma processing method for preparation of a vanadium oxide (V2O5) anode buffer layer on indium tin oxide (ITO)-coated glass for polymer solar cells (PSCs) is reported. The V2O5 layer with high transmittance and good electrical and interfacial properties was prepared by spin coating...... the illumination of AM 1.5G (100 mW/cm2). Compared to that of the control device with PBDTTT-C:PC71BM as the active layer and PEDOT:PSS (PCE of 6.52%) and thermally annealed V2O5 (PCE of 6.27%) as the anode buffer layer, the PCE was improved by 15.6 and 20.2%, respectively, after the introduction of a V2O5 (O2...... plasma) anode buffer layer. The improved PCE is ascribed to the greatly improved fill factor and enhanced short-circuit current density of the devices, which benefited from the change in the work function of V2O5, a surface with many dangling bonds for better interfacial contact, and the excellent charge...

  20. Modifying TiO{sub 2} surface architecture by oxygen plasma to increase dye sensitized solar cell efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Rajmohan, Gayathri Devi [Institute for Frontier Materials, Deakin University, Geelong Waurn Ponds, Victoria 3216 (Australia); Dai, Xiujuan J., E-mail: jane.dai@deakin.edu.au [Institute for Frontier Materials, Deakin University, Geelong Waurn Ponds, Victoria 3216 (Australia); Tsuzuki, Takuya; Lamb, Peter R. [Institute for Frontier Materials, Deakin University, Geelong Waurn Ponds, Victoria 3216 (Australia); Plessis, Johan du [School of Applied Sciences, RMIT University, GPO Box 2476 V, Melbourne, Victoria 3001 (Australia); Huang, Fuzhi; Cheng, Yi-Bing [Department of Materials Engineering, Monash University, Melbourne, Victoria 3800 (Australia)

    2013-10-31

    Oxygen plasma treatment of TiO{sub 2} films has been used to improve the efficiency of dye sensitized solar cells. Both a commercial TiO{sub 2} sample and a TiO{sub 2} thin film synthesized by a sol-gel technique were treated using a custom built inductively coupled plasma apparatus. X-ray photoelectron spectroscopy revealed that oxygen-plasma treatment increased the number of oxygen functional groups (hydroxyl groups) and introduced some Ti{sup 3+} species on the surface of TiO{sub 2}. A sample solar cell with plasma treated TiO{sub 2} showed an overall solar-to-electricity conversion efficiency of 4.3%, about a 13% increase over untreated TiO{sub 2}. The photon conversion efficiency for the plasma treated TiO{sub 2} was 34% higher than untreated TiO{sub 2}. This enhanced cell-performance is partly due to increased dye adsorption from an increase in surface oxygen functional groups and also may be partly due to Ti{sup 3+} states on the surface of TiO{sub 2}. - Highlights: • Oxygen plasma is used to generate hydroxyl groups on the surface of TiO{sub 2} • Parallel study was conducted using a spin coated TiO{sub 2} and a Commercial TiO{sub 2} film. • The plasma functionalization caused increased dye uptake. • Some species in Ti{sup 3+} state are also generated after oxygen plasma. • Dye sensitised solar cell with functionalised electrode showed improved efficiency.

  1. Effects of H2/O2 mixed gas plasma treatment on electrical and optical property of indium tin oxide

    International Nuclear Information System (INIS)

    Kim, Jun Young; Lee, Dong-Min; Kim, Jae-Kwan; Yang, Su-Hwan; Lee, Ji-Myon

    2013-01-01

    Highlights: ► The specific resistivity of ITO was enhanced by H 2 + O 2 mixed gas plasma treatment. ► The transmittance was same as that of untreated ITO after plasma treatment. ► The process was carried out at room temperature without any step of post-treatment. - Abstract: This study examined the effects of H 2 and H 2 + O 2 mixed gas plasma treatment on the properties of ITO films. The films were deposited on corning glass by RF magnetron sputtering under Ar and Ar/O 2 mixed gas ambient. After a H 2 plasma treatment, the ITO films showed an improved specific resistance due to the formation of oxygen vacancies acting as shallow donors, but showed quenched transmittance due to the formation of agglomerated metals on the surface. After an H 2 + O 2 mixed gas plasma treatment, the specific resistance of the film was improved without deteriorating transmittance. The enhanced specific resistance by mixed gas plasma treatment was attributed to the formation of free electrons by the incorporation of H in the lattice.

  2. An interatomic potential model for molecular dynamics simulation of silicon etching by Br+-containing plasmas

    International Nuclear Information System (INIS)

    Ohta, H.; Iwakawa, A.; Eriguchi, K.; Ono, K.

    2008-01-01

    An interatomic potential model for Si-Br systems has been developed for performing classical molecular dynamics (MD) simulations. This model enables us to simulate atomic-scale reaction dynamics during Si etching processes by Br + -containing plasmas such as HBr and Br 2 plasmas, which are frequently utilized in state-of-the-art techniques for the fabrication of semiconductor devices. Our potential form is based on the well-known Stillinger-Weber potential function, and the model parameters were systematically determined from a database of potential energies obtained from ab initio quantum-chemical calculations using GAUSSIAN03. For parameter fitting, we propose an improved linear scheme that does not require any complicated nonlinear fitting as that in previous studies [H. Ohta and S. Hamaguchi, J. Chem. Phys. 115, 6679 (2001)]. In this paper, we present the potential derivation and simulation results of bombardment of a Si(100) surface using a monoenergetic Br + beam

  3. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  4. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  5. NH3/O2 mixed gas plasmas alter the interaction of blood components with stainless steel.

    Science.gov (United States)

    Chen, Meng; Zamora, Paul O; Peña, Louis; Som, Prantika; Osaki, Shigemasa

    2003-12-01

    Stainless steel treated with a mixed gas plasma of NH(3) plus O(2) had chemical and biologic characteristics distinct from untreated stainless steel or stainless steel treated with NH(3) or O(2) plasmas used separately. NH(3)/O(2) plasmas deposited nitrogen as both -CN (organic) and -NO (nitrate, nitrite)--materials not found on untreated stainless steel--and the contact angle changed from 44 degrees to 23 degrees. Treatment of stainless steel (and titanium) resulted in surfaces with enhanced resistance to platelet and leukocyte attachment. A gas plasma of N(2)O/O(2) also was found to reduce platelet and leukocyte attachment, suggesting that these properties may be common to surfaces coated with oxynitrites (nitrides). Upon subcutaneous implantation, no inflammation, hemolysis, or untoward thrombosis was noted in the tissue surrounding the wafers treated with the NH(3)/O(2) plasmas, although the cellular density was considerably reduced by 2 weeks after implant. Collectively, the results suggest that NH(3)/O(2) plasmas impart a unique character to stainless steel that may be useful in the construction of medical devices. Copyright 2003 Wiley Periodicals, Inc. J Biomed Mater Res 67A: 994-1000, 2003

  6. Performance improvement inpolymer-based thin film transistor using modified bottom-contact structures with etched SiO{sub 2} layers

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jeong Woo [R and D Center, Samsung Corning Precision Materials Co., Ltd, Asan (Korea, Republic of); You, Young Jun; Shim, Jae Won [Dept. of Electronics and Electrical Engineering, Dongguk University-Seoul, Seoul (Korea, Republic of)

    2017-02-15

    Polymer-based thin film transistors (TFTs) with a modified bottom-contact structure and etched SiO{sub 2} layer were developed and investigated. An increase in the field-effect mobility in the developed TFTs compared to TFTs with a normal bottom-contact structure was ascertained. A bottom-contact structure and the photolithographic processing method were used to ensure that the developed TFTs were suitable for commercial applications. Increased mobility of the modified bottom-contact structure was attributed to direct contact of the Au electrode with the active polymer layer.

  7. CO2 Plasma-Treated TiO2 Film as an Effective Electron Transport Layer for High-Performance Planar Perovskite Solar Cells.

    Science.gov (United States)

    Wang, Kang; Zhao, Wenjing; Liu, Jia; Niu, Jinzhi; Liu, Yucheng; Ren, Xiaodong; Feng, Jiangshan; Liu, Zhike; Sun, Jie; Wang, Dapeng; Liu, Shengzhong Frank

    2017-10-04

    Perovskite solar cells (PSCs) have received great attention because of their excellent photovoltaic properties especially for the comparable efficiency to silicon solar cells. The electron transport layer (ETL) is regarded as a crucial medium in transporting electrons and blocking holes for PSCs. In this study, CO 2 plasma generated by plasma-enhanced chemical vapor deposition (PECVD) was introduced to modify the TiO 2 ETL. The results indicated that the CO 2 plasma-treated compact TiO 2 layer exhibited better surface hydrophilicity, higher conductivity, and lower bulk defect state density in comparison with the pristine TiO 2 film. The quality of the stoichiometric TiO 2 structure was improved, and the concentration of oxygen-deficiency-induced defect sites was reduced significantly after CO 2 plasma treatment for 90 s. The PSCs with the TiO 2 film treated by CO 2 plasma for 90 s exhibited simultaneously improved short-circuit current (J SC ) and fill factor. As a result, the PSC-based TiO 2 ETL with CO 2 plasma treatment affords a power conversion efficiency of 15.39%, outperforming that based on pristine TiO 2 (13.54%). These results indicate that the plasma treatment by the PECVD method is an effective approach to modify the ETL for high-performance planar PSCs.

  8. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    Science.gov (United States)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  9. Incorporation of sol-gel SnO2:Sb into nanoporous SiO2

    International Nuclear Information System (INIS)

    Canut, B.; Blanchin, M.G.; Ramos-Canut, S.; Teodorescu, V.; Toulemonde, M.

    2006-01-01

    Silicon oxide films thermally grown on Si(1 0 0) wafers were irradiated with 200 MeV 197 Au ions in the 10 9 -10 1 cm -2 fluence range. The targets were then etched at room temperature in aqueous HF solution (1 vol.%) for various durations. Atomic force microscopy (AFM) in the tapping mode was used to probe the processed surfaces. Conical holes with a low size dispersion were evidenced. Their surface diameter varies between 20 and 70 nm, depending on the etching time. Sol-gel dip coating technique, associated with a further annealing treatment performed at 500 o C for 15 min, was used to fill the nanopores created in SiO 2 with a transparent conductive oxide (SnO 2 doped with antimony). Transmission electron microscopy (TEM) performed on cross-sectional specimen showed that SnO 2 :Sb crystallites of ∼5 nm mean size are trapped in the holes without degrading their geometry

  10. Enhanced field emission of ZnO nanoneedle arrays via solution etching at room temperature

    DEFF Research Database (Denmark)

    Ma, Huanming; Qin, Zhiwei; Wang, Zaide

    2017-01-01

    ZnO nanoneedle arrays (ZnO nns) were synthesized by a facile two-step solution-phase method based on the etching of pre-synthesized ZnO nanowire arrays (ZnO nws) with flat ends at room temperature. Field emission measurement results showed that the turn-on electronic fields of ZnO nns and nws wer...

  11. Electrodeposited manganese dioxide nanostructures on electro-etched carbon fibers: High performance materials for supercapacitor applications

    International Nuclear Information System (INIS)

    Kazemi, Sayed Habib; Maghami, Mostafa Ghaem; Kiani, Mohammad Ali

    2014-01-01

    Highlights: • We report a facile method for fabrication of MnO 2 nanostructures on electro-etched carbon fiber. • MnO 2 -ECF electrode shows outstanding supercapacitive behavior even at high discharge rates. • Exceptional cycle stability was achieved for MnO 2 -ECF electrode. • The coulombic efficiency of MnO 2 -ECF electrode is nearly 100%. - Abstract: In this article we introduce a facile, low cost and additive/template free method to fabricate high-rate electrochemical capacitors. Manganese oxide nanostructures were electrodeposited on electro-etched carbon fiber substrate by applying a constant anodic current. Nanostructured MnO 2 on electro-etched carbon fiber was characterized by scanning electron microscopy, X-ray diffraction and energy dispersive X-ray analysis. The electrochemical behavior of MnO 2 electro-etched carbon fiber electrode was investigated by electrochemical techniques including cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy. A maximum specific capacitance of 728.5 F g −1 was achieved at a scan rate of 5 mV s −1 for MnO 2 electro-etched carbon fiber electrode. Also, this electrode showed exceptional cycle stability, suggesting that it can be considered as a good candidate for supercapacitor electrodes

  12. Electrodeposited manganese dioxide nanostructures on electro-etched carbon fibers: High performance materials for supercapacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Kazemi, Sayed Habib, E-mail: habibkazemi@iasbs.ac.ir [Department of Chemistry, Institute for Advanced Studies in Basic Sciences (IASBS), Zanjan 45137-66731 (Iran, Islamic Republic of); Center for Research in Climate Change and Global Warming (CRCC), Institute for Advanced Studies in Basic Sciences (IASBS), Zanjan 45137-66731 (Iran, Islamic Republic of); Maghami, Mostafa Ghaem [Department of Chemistry, Institute for Advanced Studies in Basic Sciences (IASBS), Zanjan 45137-66731 (Iran, Islamic Republic of); Kiani, Mohammad Ali [Chemistry and Chemical Engineering Research Center of Iran, P.O. Box 14335-186, Tehran (Iran, Islamic Republic of)

    2014-12-15

    Highlights: • We report a facile method for fabrication of MnO{sub 2} nanostructures on electro-etched carbon fiber. • MnO{sub 2}-ECF electrode shows outstanding supercapacitive behavior even at high discharge rates. • Exceptional cycle stability was achieved for MnO{sub 2}-ECF electrode. • The coulombic efficiency of MnO{sub 2}-ECF electrode is nearly 100%. - Abstract: In this article we introduce a facile, low cost and additive/template free method to fabricate high-rate electrochemical capacitors. Manganese oxide nanostructures were electrodeposited on electro-etched carbon fiber substrate by applying a constant anodic current. Nanostructured MnO{sub 2} on electro-etched carbon fiber was characterized by scanning electron microscopy, X-ray diffraction and energy dispersive X-ray analysis. The electrochemical behavior of MnO{sub 2} electro-etched carbon fiber electrode was investigated by electrochemical techniques including cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy. A maximum specific capacitance of 728.5 F g{sup −1} was achieved at a scan rate of 5 mV s{sup −1} for MnO{sub 2} electro-etched carbon fiber electrode. Also, this electrode showed exceptional cycle stability, suggesting that it can be considered as a good candidate for supercapacitor electrodes.

  13. Improvement in surface hydrophilicity and resistance to deformation of natural leather through O_2/H_2O low-temperature plasma treatment

    International Nuclear Information System (INIS)

    You, Xuewei; Gou, Li; Tong, Xingye

    2016-01-01

    Graphical abstract: - Highlights: • O_2/H_2O can increase oxygen concentration in the plasma compared to the pure O_2 atmosphere. • Pores at the surface of natural leather became larger and deeper with enhanced permeability of water. • The initial water contact angle was about 21°. • Its preferable surface hydrophilicity kept for 3 days, which gives guidance for next process. • The elongation of the treated sample for 10 min was twice as large as that of the untreated sample. - Abstract: The natural leather was modified through O_2/H_2O low-temperature plasma treatment. Surface morphology was characterized by scanning electron microscopy (SEM) and the results showed that the pores on the leather surface became deeper and larger with enhanced permeability of water and vapor. XPS and FTIR-ATR was performed to determine the chemical composition of natural leather surface. Oxygen-containing groups were successfully grafted onto the surface of natural leather and oxygen content increased with longer treatment time. After O_2/H_2O plasma treatment, initial water contact angle was about 21° and water contact angles were not beyond 55° after being stored for 3 days. Furthermore, the tensile test indicated that the resistance to deformation had a prominent transform without sacrificing the tensile strength.

  14. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  15. Improved performance of solution-processed a-InGaZnO thin-film transistors due to Ar/O2 mixed-plasma treatment

    International Nuclear Information System (INIS)

    Kim, Kwan-Soo; Hwang, Yeong-Hyeon; Hwang, In-Chan; Cho, Won-Ju

    2014-01-01

    We investigated the effects of Ar and O 2 treatment and of Ar/O 2 mixed plasma treatment on the electrical characteristics of solution-processed amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). The electrical performance and the instability of a-IGZO TFTs were significantly improved by the plasma treatments. The plasma treatments reduced the carbon-based residual contamination that acted as possible trap sites. In particular, the O 2 -plasma treatment produced a significant improvement in the reliability of a-IGZO TFTs when compared with the Ar-plasma-treated device, owing to the elimination of residual carbon in the active channel of the solution-processed a-IGZO. However, the optimized improvement of the solution-processed a-IGZO TFT under a gate bias stress was obtained for the device treated with an Ar/O 2 mixed-gas plasma. The plasma treatment in the Ar/O 2 -mixed ambience remarkably enhanced not only the reliability but also the electrical performance of the a-IGZO TFT; the on/off-current ratio, the field-effect mobility, and the subthreshold slope were 6.78 x 10 7 , 1.24 cm 2 /V·s, and 513 mV/dec, respectively.

  16. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  17. Low-temperature oxidizing plasma surface modification and composite polymer thin-film fabrication techniques for tailoring the composition and behavior of polymer surfaces

    Science.gov (United States)

    Tompkins, Brendan D.

    This dissertation examines methods for modifying the composition and behavior of polymer material surfaces. This is accomplished using (1) low-temperature low-density oxidizing plasmas to etch and implant new functionality on polymers, and (2) plasma enhanced chemical vapor deposition (PECVD) techniques to fabricate composite polymer materials. Emphases are placed on the structure of modified polymer surfaces, the evolution of polymer surfaces after treatment, and the species responsible for modifying polymers during plasma processing. H2O vapor plasma modification of high-density polyethylene (HDPE), low-density polyethylene (LDPE), polypropylene (PP), polystyrene (PS), polycarbonate (PC), and 75A polyurethane (PU) was examined to further our understanding of polymer surface reorganization leading to hydrophobic recovery. Water contact angles (wCA) measurements showed that PP and PS were the most susceptible to hydrophobic recovery, while PC and HDPE were the most stable. X-ray photoelectron spectroscopy (XPS) revealed a significant quantity of polar functional groups on the surface of all treated polymer samples. Shifts in the C1s binding energies (BE) with sample age were measured on PP and PS, revealing that surface reorganization was responsible for hydrophobic recovery on these materials. Differential scanning calorimetry (DSC) was used to rule out the intrinsic thermal properties as the cause of reorganization and hydrophobic recovery on HDPE, LDPE, and PP. The different contributions that polymer cross-linking and chain scission mechanisms make to polymer aging effects are considered. The H2O plasma treatment technique was extended to the modification of 0.2 microm and 3.0 microm track-etched polycarbonate (PC-TE) and track-etched polyethylene terephthalate (PET-TE) membranes with the goal of permanently increasing the hydrophilicity of the membrane surfaces. Contact angle measurements on freshly treated and aged samples confirmed the wettability of the

  18. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Jung, Hyunsoo; Choi, Hagyoung; Lee, Sanghun; Jeon, Heeyoung; Jeon, Hyeongtag

    2013-01-01

    In the present study, we investigated the gas and moisture permeation barrier properties of Al 2 O 3 films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH 3 ) 3 ] as the Al source and O 2 plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al 2 O 3 at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradation test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10 −4 gm −2 day −1 and 1.2 × 10 −3 gm −2 day −1 , respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O 2 plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties

  19. Investigation of hybrid plasma-catalytic removal of acetone over CuO/γ-Al2O3 catalysts using response surface method.

    Science.gov (United States)

    Zhu, Xinbo; Tu, Xin; Mei, Danhua; Zheng, Chenghang; Zhou, Jinsong; Gao, Xiang; Luo, Zhongyang; Ni, Mingjiang; Cen, Kefa

    2016-07-01

    In this work, plasma-catalytic removal of low concentrations of acetone over CuO/γ-Al2O3 catalysts was carried out in a cylindrical dielectric barrier discharge (DBD) reactor. The combination of plasma and the CuO/γ-Al2O3 catalysts significantly enhanced the removal efficiency of acetone compared to the plasma process using the pure γ-Al2O3 support, with the 5.0 wt% CuO/γ-Al2O3 catalyst exhibiting the best acetone removal efficiency of 67.9%. Catalyst characterization was carried out to understand the effect the catalyst properties had on the activity of the CuO/γ-Al2O3 catalysts in the plasma-catalytic reaction. The results indicated that the formation of surface oxygen species on the surface of the catalysts was crucial for the oxidation of acetone in the plasma-catalytic reaction. The effects that various operating parameters (discharge power, flow rate and initial concentration of acetone) and the interactions between these parameters had on the performance of the plasma-catalytic removal of acetone over the 5.0 wt% CuO/γ-Al2O3 catalyst were investigated using central composite design (CCD). The significance of the independent variables and their interactions were evaluated by means of the Analysis of Variance (ANOVA). The results showed that the gas flow rate was the most significant factor affecting the removal efficiency of acetone, whilst the initial concentration of acetone played the most important role in determining the energy efficiency of the plasma-catalytic process. Copyright © 2016 Elsevier Ltd. All rights reserved.

  20. Low pressure plasma discharges for the sterilization and decontamination of surfaces

    International Nuclear Information System (INIS)

    Rossi, F; Rauscher, H; Hasiwa, M; Gilliland, D; Kylian, O

    2009-01-01

    The mechanisms of sterilization and decontamination of surfaces are compared in direct and post discharge plasma treatments in two low-pressure reactors, microwave and inductively coupled plasma. It is shown that the removal of various biomolecules, such as proteins, pyrogens or peptides, can be obtained at high rates and low temperatures in the inductively coupled plasma (ICP) by using Ar/O 2 mixtures. Similar efficiency is obtained for bacterial spores. Analysis of the discharge conditions illustrates the role of ion bombardment associated with O radicals, leading to a fast etching of organic matter. By contrast, the conditions obtained in the post discharge lead to much lower etching rates but also to a chemical modification of pyrogens, leading to their de-activation. The advantages of the two processes are discussed for the application to the practical case of decontamination of medical devices and reduction of hospital infections, illustrating the advantages and drawbacks of the two approaches.

  1. Low pressure plasma discharges for the sterilization and decontamination of surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Rossi, F; Rauscher, H; Hasiwa, M; Gilliland, D [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, Via E. Fermi 2749, 21027 Ispra (Vatican City State, Holy See) (Italy); Kylian, O [Faculty of Mathematics and Physics, Charles University, V Holesovickach 2, Prague 8, 180 00 (Czech Republic)], E-mail: francois.rossi@jrc.ec.europa.eu

    2009-11-15

    The mechanisms of sterilization and decontamination of surfaces are compared in direct and post discharge plasma treatments in two low-pressure reactors, microwave and inductively coupled plasma. It is shown that the removal of various biomolecules, such as proteins, pyrogens or peptides, can be obtained at high rates and low temperatures in the inductively coupled plasma (ICP) by using Ar/O{sub 2} mixtures. Similar efficiency is obtained for bacterial spores. Analysis of the discharge conditions illustrates the role of ion bombardment associated with O radicals, leading to a fast etching of organic matter. By contrast, the conditions obtained in the post discharge lead to much lower etching rates but also to a chemical modification of pyrogens, leading to their de-activation. The advantages of the two processes are discussed for the application to the practical case of decontamination of medical devices and reduction of hospital infections, illustrating the advantages and drawbacks of the two approaches.

  2. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    Science.gov (United States)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  3. Production of O2(1Δ) in flowing plasmas using spiker-sustainer excitation

    International Nuclear Information System (INIS)

    Babaeva, Natalia Y.; Arakoni, Ramesh A.; Kushner, Mark J.

    2006-01-01

    In chemical oxygen iodine lasers (COILs), oscillation at 1.315 μm in atomic iodine ( 2 P 1/22 P 3/2 ) is produced by collisional excitation transfer of O 2 ( 1 Δ) to I 2 and I. Plasma production of O 2 ( 1 Δ) in electrical COILs (eCOILs) eliminates liquid phase generators. For the flowing plasmas used for eCOILs (He/O 2 , a few to tens of torr), self-sustaining electron temperatures, T e , are 2-3 eV whereas excitation of O 2 ( 1 Δ) optimizes with T e =1-1.5 eV. One method to increase O 2 ( 1 Δ) production is by lowering the average value of T e using spiker-sustainer (SS) excitation where a high power pulse (spiker) is followed by a lower power period (sustainer). Excess ionization produced by the spiker enables the sustainer to operate with a lower T e . Previous investigations suggested that SS techniques can significantly raise yields of O 2 ( 1 Δ). In this paper, we report on the results from a two-dimensional computational investigation of radio frequency (rf) excited flowing He/O 2 plasmas with emphasis on SS excitation. We found that the efficiency of SS methods generally increase with increasing frequency by producing a higher electron density, lower T e , and, as a consequence, a more efficient production of O 2 ( 1 Δ)

  4. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  5. Synthesis and characterization of Al2O3 and SiO2 films with fluoropolymer content using rf-plasma magnetron sputtering technique

    International Nuclear Information System (INIS)

    Islam, Mohammad; Inal, Osman T.

    2008-01-01

    Pure and molecularly mixed inorganic films for protection against atomic oxygen in lower earth orbit were prepared using radio-frequency (rf) plasma magnetron sputtering technique. Alumina (Al 2 O 3 ) and silica (SiO 2 ) films with average grain size in the range of 30-80 nm and fully dense or dense columnar structure were synthesized under different conditions of pressure and power. Simultaneous oxide sputtering and plasma polymerization (PP) of hexafluoropropylene (HFP) led to the formation of molecularly mixed films with fluoropolymer content. The degree of plasma polymerization was strongly influenced by total chamber pressure and the argon to HFP molar ratio (n Ar /n M ). An order of magnitude increase in pressure due to argon during codeposition changed the plasma-polymerization mechanism from radical-chain- to radical-radical-type processes. Subsequently, a shift from linear CH 2 group based chain polymerization to highly disordered fluoropolymer content with branching and cross-linking was observed. Fourier transform infrared spectroscopy studies revealed chemical interaction between depositing SiO 2 and PP-HFP through appearance of absorption bands characteristic of Si-F stretching and expansion of SiO 2 network. The relative amount and composition of plasma-polymerized fluoropolymer in such films can be controlled by changing argon to HFP flow ratio, total chamber pressure, and applied power. These films offer great potential for use as protective coatings in aerospace applications

  6. ICP etching for InAs-based InAs/GaAsSb superlattice long wavelength infrared detectors

    Science.gov (United States)

    Huang, Min; Chen, Jianxin; Xu, Jiajia; Wang, Fangfang; Xu, Zhicheng; He, Li

    2018-05-01

    In this work, we study and report the dry etching processes for InAs-based InAs/GaAsSb strain-free superlattice long wavelength infrared (LWIR) detectors. The proper etching parameters were first obtained through the parametric studies of Inductively Coupled Plasma (ICP) etching of both InAs and GaSb bulk materials in Cl2/N2 plasmas. Then an InAs-based InAs/GaAsSb superlattice LWIR detector with PπN structure was fabricated by using the optimized etching parameters. At 80 K, the detector exhibits a 100% cut-off wavelength of 12 μm and a responsivity of 1.5 A/W. Moreover, the dark current density of the device under a bias of -200 mV reaches 5.5 × 10-4 A/cm2, and the R0A is 15 Ω cm2. Our results pave the way towards InAs-based superlattice LWIR detectors with better performances.

  7. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  8. Suppression of interfacial reaction for HfO2 on silicon by pre-CF4 plasma treatment

    International Nuclear Information System (INIS)

    Lai, C.S.; Wu, W.C.; Chao, T.S.; Chen, J.H.; Wang, J.C.; Tay, L.-L.; Rowell, Nelson

    2006-01-01

    In this letter, the effects of pre-CF 4 plasma treatment on Si for sputtered HfO 2 gate dielectrics are investigated. The significant fluorine was incorporated at the HfO 2 /Si substrate interface for a sample with the CF 4 plasma pretreatment. The Hf silicide was suppressed and Hf-F bonding was observed for the CF 4 plasma pretreated sample. Compared with the as-deposited sample, the effective oxide thickness was much reduced for the pre-CF 4 plasma treated sample due to the elimination of the interfacial layer between HfO 2 and Si substrate. These improved characteristics of the HfO 2 gate dielectrics can be explained in terms of the fluorine atoms blocking oxygen diffusion through the HfO 2 film into the Si substrate

  9. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    Energy Technology Data Exchange (ETDEWEB)

    Lavrova, Olga [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials; Balakrishnan, Ganesh [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials

    2017-02-24

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).

  10. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  11. Advanced nanoporous TiO2 photocatalysts by hydrogen plasma for efficient solar-light photocatalytic application

    Science.gov (United States)

    An, Ha-Rim; Park, So Young; Kim, Hyeran; Lee, Che Yoon; Choi, Saehae; Lee, Soon Chang; Seo, Soonjoo; Park, Edmond Changkyun; Oh, You-Kwan; Song, Chan-Geun; Won, Jonghan; Kim, Youn Jung; Lee, Jouhahn; Lee, Hyun Uk; Lee, Young-Chul

    2016-01-01

    We report an effect involving hydrogen (H2)-plasma-treated nanoporous TiO2(H-TiO2) photocatalysts that improve photocatalytic performance under solar-light illumination. H-TiO2 photocatalysts were prepared by application of hydrogen plasma of assynthesized TiO2(a-TiO2) without annealing process. Compared with the a-TiO2, the H-TiO2 exhibited high anatase/brookite bicrystallinity and a porous structure. Our study demonstrated that H2 plasma is a simple strategy to fabricate H-TiO2 covering a large surface area that offers many active sites for the extension of the adsorption spectra from ultraviolet (UV) to visible range. Notably, the H-TiO2 showed strong ·OH free-radical generation on the TiO2 surface under both UV- and visible-light irradiation with a large responsive surface area, which enhanced photocatalytic efficiency. Under solar-light irradiation, the optimized H-TiO2 120(H2-plasma treatment time: 120 min) photocatalysts showed unprecedentedly excellent removal capability for phenol (Ph), reactive black 5(RB 5), rhodamine B (Rho B) and methylene blue (MB) — approximately four-times higher than those of the other photocatalysts (a-TiO2 and P25) — resulting in complete purification of the water. Such well-purified water (>90%) can utilize culturing of cervical cancer cells (HeLa), breast cancer cells (MCF-7), and keratinocyte cells (HaCaT) while showing minimal cytotoxicity. Significantly, H-TiO2 photocatalysts can be mass-produced and easily processed at room temperature. We believe this novel method can find important environmental and biomedical applications. PMID:27406992

  12. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  13. Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Fukuda, Seiichi; Ohtake, Hiroto; Samukawa, Seiji

    2010-01-01

    UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface is not clearly understood because of the difficulty in monitoring photons during plasma processing. For this purpose, we have previously proposed an on-wafer monitoring technique for UV photons. For this study, using the combination of this on-wafer monitoring technique and a neural network, we established a relationship between the data obtained from the on-wafer monitoring technique and UV spectra. Also, we obtained absolute intensities of UV radiation by calibrating arbitrary units of UV intensity with a 126 nm excimer lamp. As a result, UV spectra and their absolute intensities could be predicted with the on-wafer monitoring. Furthermore, we developed a prediction system with the on-wafer monitoring technique to simulate UV-radiation damage in dielectric films during plasma etching. UV-induced damage in SiOC films was predicted in this study. Our prediction results of damage in SiOC films shows that UV spectra and their absolute intensities are the key cause of damage in SiOC films. In addition, UV-radiation damage in SiOC films strongly depends on the geometry of the etching structure. The on-wafer monitoring technique should be useful in understanding the interaction of UV radiation with surface and in optimizing plasma processing by controlling UV radiation.

  14. A comparative study on the activity of TiO2 in pulsed plasma under different discharge conditions

    Science.gov (United States)

    Lijuan, DUAN; Nan, JIANG; Na, LU; Kefeng, SHANG; Jie, LI; Yan, WU

    2018-05-01

    In the present study, a combination of pulsed discharge plasma and TiO2 (plasma/TiO2) has been developed in order to study the activity of TiO2 by varying the discharge conditions of pulsed voltage, discharge mode, air flow rate and solution conductivity. Phenol was used as the chemical probe to characterize the activity of TiO2 in a pulsed discharge system. The experimental results showed that the phenol removal efficiency could be improved by about 10% by increasing the applied voltage. The phenol removal efficiency for three discharge modes in the plasma-discharge-alone system was found to be highest in the spark mode, followed by the spark–streamer mode and finally the streamer mode. In the plasma/TiO2 system, the highest catalytic effect of TiO2 was observed in the spark–streamer discharge mode, which may be attributed to the favorable chemical and physical effects from the spark–streamer discharge mode, such as ultraviolet light, O3, H2O2, pyrolysis, shockwaves and high-energy electrons. Meanwhile, the optimal flow rate and conductivity were 0.05 m3 l‑1 and 10 μS cm‑1, respectively. The main phenolic intermediates were hydroquinone, catechol, and p-benzoquinone during the discharge treatment process. A different phenol degradation pathway was observed in the plasma/TiO2 system as compared to plasma alone. Analysis of the reaction intermediates demonstrated that p-benzoquinone reduction was selectively catalyzed on the TiO2 surface. The effective decomposition of phenol constant (D e) increased from 74.11% to 79.16% when TiO2 was added, indicating that higher phenol mineralization was achieved in the plasma/TiO2 system.

  15. Study of the physical discharge properties of a Ar/O2 DC plasma jet

    Science.gov (United States)

    Barkhordari, A.; Ganjovi, A.; Mirzaei, I.; Falahat, A.

    2018-03-01

    In this paper, the physical properties of plasma discharge in a manufactured DC plasma jet operating with the Ar/O2 gaseous mixture are studied. Moreover, the optical emission spectroscopy technique is used to perform the experimental measurements. The obtained emission spectra are analyzed and, the plasma density, rotational, vibrational and electronic temperature are calculated. The NO emission lines from {NO }γ( A2 Σ^{+} \\to {X}2 Πr ) electronic transition are observed. It is seen that, at the higher argon contributions in Ar/O2 gaseous mixture, the emission intensities from argon ions will increase. Moreover, while the vibrational and excitation temperatures are increased at the higher input DC currents, they will decrease at the higher Ar percentages in the Ar/O2 gaseous mixture. Furthermore, at the higher DC currents and Ar contributions, both the plasma electron density and dissociation fraction of oxygen atoms are increased.

  16. OH radicals distribution in an Ar-H2O atmospheric plasma jet

    Science.gov (United States)

    Li, L.; Nikiforov, A.; Xiong, Q.; Britun, N.; Snyders, R.; Lu, X.; Leys, C.

    2013-09-01

    Recently, plasma jet systems found numerous applications in the field of biomedicine and treatment of temperature-sensitive materials. OH radicals are one of the main active species produced by these plasmas. Present study deals with the investigation of RF atmospheric pressure plasma jet in terms of OH radicals production by admixture of H2O into argon used as a feed gas. Generation of OH radicals is studied by laser-induced fluorescence spectroscopy. The excitation dynamics of OH radicals induced by the laser photons is studied by time-resolved spectroscopy. It is shown that vibrational and rotational energy transfer processes, which are sensitive to the surrounding species, can lead to the complication in the OH radicals diagnostics at high pressure and have to be considered during experiments. The axial and radial 2D maps of absolute densities of hydroxyl radicals at different water contents are obtained. The highest density of 1.15 × 1020 m-3 is measured in the plasma core for the case of 0.3% H2O. In the x-y-plane, the OH density steeply decreases within a range of ±2 mm from its maximum value down to 1018 m-3. The effect of H2O addition on the generation of OH radicals is investigated and discussed.

  17. Improvement in surface hydrophilicity and resistance to deformation of natural leather through O2/H2O low-temperature plasma treatment

    Science.gov (United States)

    You, Xuewei; Gou, Li; Tong, Xingye

    2016-01-01

    The natural leather was modified through O2/H2O low-temperature plasma treatment. Surface morphology was characterized by scanning electron microscopy (SEM) and the results showed that the pores on the leather surface became deeper and larger with enhanced permeability of water and vapor. XPS and FTIR-ATR was performed to determine the chemical composition of natural leather surface. Oxygen-containing groups were successfully grafted onto the surface of natural leather and oxygen content increased with longer treatment time. After O2/H2O plasma treatment, initial water contact angle was about 21° and water contact angles were not beyond 55° after being stored for 3 days. Furthermore, the tensile test indicated that the resistance to deformation had a prominent transform without sacrificing the tensile strength.

  18. Phosphine Plasma Activation of α-Fe 2 O 3 for High Energy Asymmetric Supercapacitors

    KAUST Repository

    Liang, Hanfeng

    2018-04-12

    We report a phosphine (PH3) plasma activation strategy for significantly boosting the electrochemical performance of supercapacitor electrodes. Using Fe2O3 as a demonstration, we show that the plasma activation simultaneously improves the conductivity, creates atomic-scale vacancies (defects), as well as increases active surface area, and thus leading to a greatly enhanced performance with a high areal capacitance of 340 mF cm-2 at 1 mA cm-2, compared to 66 mF cm-2 of pristine Fe2O3. Moreover, the asymmetric supercapacitor devices based on plasma-activated Fe2O3 anodes and electrodeposited MnO2 cathodes can achieve a high stack energy density of 0.42 mWh cm-3 at a stack power density of 10.3 mW cm-3 along with good stability (88% capacitance retention after 9000 cycles at 10 mA cm-2). Our work provides a simple yet effective strategy to greatly enhance the electrochemical performance of Fe2O3 anodes and to further promote their application in asymmetric supercapacitors.

  19. Spatially resolvable optical emission spectrometer for analyzing density uniformity of semiconductor process plasma

    International Nuclear Information System (INIS)

    Oh, Changhoon; Ryoo, Hoonchul; Lee, Hyungwoo; Hahn, Jae W.; Kim, Se-Yeon; Yi, Hun-Jung

    2010-01-01

    We proposed a spatially resolved optical emission spectrometer (SROES) for analyzing the uniformity of plasma density for semiconductor processes. To enhance the spatial resolution of the SROES, we constructed a SROES system using a series of lenses, apertures, and pinholes. We calculated the spatial resolution of the SROES for the variation of pinhole size, and our calculated results were in good agreement with the measured spatial variation of the constructed SROES. The performance of the SROES was also verified by detecting the correlation between the distribution of a fluorine radical in inductively coupled plasma etch process and the etch rate of a SiO 2 film on a silicon wafer.

  20. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  1. Incorporation of sol-gel SnO{sub 2}:Sb into nanoporous SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Canut, B. [Laboratoire de Physique de la Matiere Condensee et Nanostructures, Universite ClaudeBernard Lyon I, UMR CNRS 5586, Dept. de Physique des Materiaux, 43 Boulevard du 11 Novembre 1918, 69622 Villeurbanne Cedex (France)]. E-mail: bruno.canut@lpmcn.univ-lyon1.fr; Blanchin, M.G. [Laboratoire de Physique de la Matiere Condensee et Nanostructures, Universite ClaudeBernard Lyon I, UMR CNRS 5586, Dept. de Physique des Materiaux, 43 Boulevard du 11 Novembre 1918, 69622 Villeurbanne Cedex (France); Ramos-Canut, S. [Laboratoire de Physique de la Matiere Condensee et Nanostructures, Universite ClaudeBernard Lyon I, UMR CNRS 5586, Dept. de Physique des Materiaux, 43 Boulevard du 11 Novembre 1918, 69622 Villeurbanne Cedex (France); Teodorescu, V. [National Institute for Physics of Materials, Magurele, R-76900 Bucharest (Romania); Toulemonde, M. [Centre Interdisciplinaire de Recherche Ions Laser, CIRIL-GANIL, rue Claude Bloch, BP 5133, 14070 Caen Cedex 5 (France)

    2006-04-15

    Silicon oxide films thermally grown on Si(1 0 0) wafers were irradiated with 200 MeV {sup 197}Au ions in the 10{sup 9}-10{sup 1} cm{sup -2} fluence range. The targets were then etched at room temperature in aqueous HF solution (1 vol.%) for various durations. Atomic force microscopy (AFM) in the tapping mode was used to probe the processed surfaces. Conical holes with a low size dispersion were evidenced. Their surface diameter varies between 20 and 70 nm, depending on the etching time. Sol-gel dip coating technique, associated with a further annealing treatment performed at 500 {sup o}C for 15 min, was used to fill the nanopores created in SiO{sub 2} with a transparent conductive oxide (SnO{sub 2} doped with antimony). Transmission electron microscopy (TEM) performed on cross-sectional specimen showed that SnO{sub 2}:Sb crystallites of {approx}5 nm mean size are trapped in the holes without degrading their geometry.

  2. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    Science.gov (United States)

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  3. Effect of CO on NO and N2O conversions in nonthermal argon plasma

    International Nuclear Information System (INIS)

    Zhao Guibing; Argyle, Morris D.; Radosz, Maciej

    2006-01-01

    200-600 ppm of CO inhibit NO conversion in nonthermal Ar plasma, but do not produce N 2 O. However, 1.01% of CO has no effect on NO conversion, but produces N 2 O. In general, N 2 O conversion in Ar plasma decreases with increasing CO concentration. These experimental results cannot be explained by charge transfer reactions of Ar + . Selectivity analysis of all excited states of Ar possibly contributing to NO x conversion without and with CO suggests that only Ar( 3 P 2 ) contributes to NO x conversion and CO dissociation. A kinetic model of 43 reactions is required to model NO conversion or N 2 O conversion in Ar without CO, whereas 81 reactions are required to model NO conversion and N 2 O conversion in Ar with CO. At constant gas pressure, a single set of model parameters can predict NO conversion or N 2 O conversion without and with CO. All experimental results can be explained using a reaction mechanism in which excited neutral states of Ar are the only active species, which supports the conclusion that cations have a negligible impact on these nonthermal plasma reactions

  4. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  5. Platelike WO3 sensitized with CdS quantum dots heterostructures for photoelectrochemical dynamic sensing of H2O2 based on enzymatic etching.

    Science.gov (United States)

    Wang, Yanhu; Gao, Chaomin; Ge, Shenguang; Yu, Jinghua; Yan, Mei

    2016-11-15

    A platelike tungsten trioxide (WO3) sensitized with CdS quantum dots (QDs) heterojunction is developed for solar-driven, real-time, and selective photoelectrochemical (PEC) sensing of H2O2 in the living cells. The structure is synthesized by hydrothermally growing platelike WO3 on fluorine doped tin oxide (FTO) and subsequently sensitized with CdS QDs. The as-prepared WO3-CdS QDs heterojunction achieve significant photocurrent enhancement, which is remarkably beneficial for light absorption and charge carrier separation. Based on the enzymatic etching of CdS QDs enables the activation of quenching the charge transfer efficiency, thus leading to sensitive PEC recording of H2O2 level in buffer and cellular environments. The results indicated that the proposed method will pave the way for the development of excellent PEC sensing platform with the quantum dot sensitization. This study could also provide a new train of thought on designing of self-operating photoanode in PEC sensing, promoting the application of semiconductor nanomaterials in photoelectrochemistry. Copyright © 2016 Elsevier B.V. All rights reserved.

  6. Improved performance of solution-processed a-InGaZnO thin-film transistors due to Ar/O{sub 2} mixed-plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kwan-Soo; Hwang, Yeong-Hyeon; Hwang, In-Chan; Cho, Won-Ju [Kwangwoon University, Seoul (Korea, Republic of)

    2014-08-15

    We investigated the effects of Ar and O{sub 2} treatment and of Ar/O{sub 2} mixed plasma treatment on the electrical characteristics of solution-processed amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). The electrical performance and the instability of a-IGZO TFTs were significantly improved by the plasma treatments. The plasma treatments reduced the carbon-based residual contamination that acted as possible trap sites. In particular, the O{sub 2}-plasma treatment produced a significant improvement in the reliability of a-IGZO TFTs when compared with the Ar-plasma-treated device, owing to the elimination of residual carbon in the active channel of the solution-processed a-IGZO. However, the optimized improvement of the solution-processed a-IGZO TFT under a gate bias stress was obtained for the device treated with an Ar/O{sub 2} mixed-gas plasma. The plasma treatment in the Ar/O{sub 2}-mixed ambience remarkably enhanced not only the reliability but also the electrical performance of the a-IGZO TFT; the on/off-current ratio, the field-effect mobility, and the subthreshold slope were 6.78 x 10{sup 7}, 1.24 cm{sup 2}/V·s, and 513 mV/dec, respectively.

  7. Nanostructured Photocatalytic TiO2 Coating Deposited by Suspension Plasma Spraying with Different Injection Positions

    Science.gov (United States)

    Liu, Xuezhang; Wen, Kui; Deng, Chunming; Yang, Kun; Deng, Changguang; Liu, Min; Zhou, Kesong

    2018-02-01

    High plasma power is beneficial for the deposition efficiency and adhesive strength of suspension-sprayed photocatalytic TiO2 coatings, but it confronts two challenges: one is the reduced activity due to the critical phase transformation of anatase into rutile, and the other is fragmented droplets which cannot be easily injected into the plasma core. Here, TiO2 coatings were deposited at high plasma power and the position of suspension injection was varied with the guidance of numerical simulation. The simulation was based on a realistic three-dimensional time-dependent numerical model that included the inside and outside of torch regions. Scanning electron microscopy was performed to study the microstructure of the TiO2 coatings, whereas x-ray diffraction was adopted to analyze phase composition. Meanwhile, photocatalytic activities of the manufactured TiO2 coatings were evaluated by the degradation of an aqueous solution of methylene blue dye. Fragmented droplets were uniformly injected into the plasma jet, and the solidification pathway of melting particles was modified by varying the position of suspension injection. A nanostructured TiO2 coating with 93.9% anatase content was obtained at high plasma power (48.1 kW), and the adhesive coating bonding to stainless steel exhibited the desired photocatalytic activity.

  8. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  9. Effect of etching stop layer on characteristics of amorphous IGZO thin film transistor fabricated at low temperature

    Directory of Open Access Journals (Sweden)

    Xifeng Li

    2013-03-01

    Full Text Available Transparent bottom-gate amorphous Indium-Gallium-Zinc Oxide (a-IGZO thin-film transistors (TFTs had been successfully fabricated at relative low temperature. The influence of reaction gas ratio of N2O and SiH4 during the growth of etching stop layer (SiOx on the characteristics of a-IGZO TFTs was investigated. The transfer characteristics of the TFTs were changed markedly because active layer of a-IGZO films was modified by plasma in the growth process of SiOx. By optimizing the deposition parameters of etching stop layer process, a-IGZO TFTs were manufactured and exhibited good performance with a field-effect mobility of 8.5 cm2V-1s-1, a threshold voltage of 1.3 V, and good stability under gate bias stress of 20 V for 10000 s.

  10. SYNTHESIS OF BIODIESEL ON A HYBRID CATALYTIC-PLASMA REACTOR OVER K2O/CaO-ZnO CATALYST

    Directory of Open Access Journals (Sweden)

    Luqman Buchori

    2017-10-01

    Full Text Available This paper aimed to study the synergistic effects of dielectric barrier discharge plasma and 5 % K2O/CaO-ZnO catalyst on biodiesel synthesis. The catalyst was prepared using co-precipitation followed by impregnation method. The catalyst was characterized by XRD, while the catalyst basicity was tested by titration method. The effects of voltage, weight hourly space velocity (WHSV, and catalyst pellet diameter on the yield of fatty acid methyl ester (FAME and biodiesel were studied. The transesterification process within and without plasma environment was investigated to find synergistic effect between the role of high energetic electrons from the plasma through the catalytic reaction zone and the role of basicity in the catalyst. From the results, an applied voltage of 5 kV, a WHSV of 1.186 min-1, and a catalyst diameter of 5 mm gave the better FAME yield of 77.19 %. The reaction time required was only 1.25 minutes at a discharge power of 530 W. This result proved that the plasma environment has a significant effect on performance of the hybrid catalytic-plasma reactor for biodiesel production.

  11. Lifetime Extension of the Gas Discharge Detectors with Plasma Etching of Silicon Deposits in 80%CF4 + 20%CO2

    Science.gov (United States)

    Gavrilov, G. E.; Vakhtel, V. M.; Maysuzenko, D. A.; Tavtorkina, T. A.; Fetisov, A. A.; Shvetsova, N. Yu.

    2017-12-01

    A method of elimination of silicon compounds from the anode wire of an aged proportional counter is presented. The aging of a counter with a 70%Ar + 30%CO2 and a 60%Ar + 30%CO2 + 10%CF4 working mixture was stimulated by a 90Sr β source. To accelerate the process of aging, the gas mixture flow to the counter was supplied through a pipe with RTV coated wall. As a result, the amplitude of the signal decreased 70% already at accumulated charge of Q = 0.03 C/cm. The etching of the silicon compounds on the wire surface with an 80%CF4 + 20%CO2 gas mixture discharge led to full recovery of the operating characteristics of detector and an increase in the lifetime. A scanning electron microscopy and X-ray spectroscopy analysis of the recovered wire surface were performed. In accordance with the results, a good quality of wire cleaning from SiO2 compounds was obtained.

  12. Improvement in surface hydrophilicity and resistance to deformation of natural leather through O{sub 2}/H{sub 2}O low-temperature plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    You, Xuewei; Gou, Li, E-mail: gouli@scu.edu.cn; Tong, Xingye

    2016-01-01

    Graphical abstract: - Highlights: • O{sub 2}/H{sub 2}O can increase oxygen concentration in the plasma compared to the pure O{sub 2} atmosphere. • Pores at the surface of natural leather became larger and deeper with enhanced permeability of water. • The initial water contact angle was about 21°. • Its preferable surface hydrophilicity kept for 3 days, which gives guidance for next process. • The elongation of the treated sample for 10 min was twice as large as that of the untreated sample. - Abstract: The natural leather was modified through O{sub 2}/H{sub 2}O low-temperature plasma treatment. Surface morphology was characterized by scanning electron microscopy (SEM) and the results showed that the pores on the leather surface became deeper and larger with enhanced permeability of water and vapor. XPS and FTIR-ATR was performed to determine the chemical composition of natural leather surface. Oxygen-containing groups were successfully grafted onto the surface of natural leather and oxygen content increased with longer treatment time. After O{sub 2}/H{sub 2}O plasma treatment, initial water contact angle was about 21° and water contact angles were not beyond 55° after being stored for 3 days. Furthermore, the tensile test indicated that the resistance to deformation had a prominent transform without sacrificing the tensile strength.

  13. Fabrication of Carbon Nanotube/SiO2and Carbon Nanotube/SiO2/Ag Nanoparticles Hybrids by Using Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Li Haiqing

    2009-01-01

    Full Text Available Abstract Based on plasma-treated single wall carbon nanotubes (SWCNTs, SWCNT/SiO2and thiol groups-functionalized SWCNT/SiO2hybrids have been fabricated through a sol–gel process. By means of thiol groups, Ag nanoparticles have been in situ synthesized and bonded onto the SiO2shell of SWCNT/SiO2in the absence of external reducing agent, resulting in the stable carbon nanotube/SiO2/Ag nanoparticles hybrids. This strategy provides a facile, low–cost, and green methodology for the creation of carbon nanotube/inorganic oxides-metal nanoparticles hybrids.

  14. Crystal growth and dislocation etch pits observation of chalcopyrite CdSiP2

    Science.gov (United States)

    He, Zhiyu; Zhao, Beijun; Zhu, Shifu; Chen, Baojun; Huang, Wei; Lin, Li; Feng, Bo

    2018-01-01

    CdSiP2 is the only crystal that can offer Non-critical Phase Matching (NCPM) for a 1064 nm pumped optical parametric oscillation (OPO) with idler output in the 6 μm range. In this paper, a large, crack-free CdSiP2 single crystal measuring 18 mm in diameter and 65 mm in length was successfully grown by the Vertical Bridgman method (MVB) with an explosion-proof quartz ampoule. The results of lattice parameters, element composition and IR transmittance of the as-grown crystal characterized by X-ray diffraction (XRD), energy dispersive X-ray spectrometer (EDS) and Fourier transformation infrared spectrometer (FTIR) showed the as grown crystal crystallized well and the absorption coefficients at 4878 cm-1 and 2500 cm-1 were 0.14 cm-1 and 0.06 cm-1. Moreover, a new etchant composed of Br2, HCl, HNO3, CH3OH and H2O (1:800:800:400:400 in volume ratio) was prepared and the dislocation etch pits on oriented faces of as-grown CdSiP2 crystal were observed for the first time. It is found the etch pits are in rectangular structure on the (1 0 1) face, but in trigonal pyramid structure on (3 1 2) face. According to the quantities of the etch pits, the average densities of dislocation were evaluated to be 2.28 × 105/cm2 and 1.4 × 105/cm2, respectively.

  15. Characterizing the effects of free carriers in fully etched, dielectric-clad silicon waveguides

    Science.gov (United States)

    Sharma, Rajat; Puckett, Matthew W.; Lin, Hung-Hsi; Vallini, Felipe; Fainman, Yeshaiahu

    2015-06-01

    We theoretically characterize the free-carrier plasma dispersion effect in fully etched silicon waveguides, with various dielectric material claddings, due to fixed interface charges and trap states at the silicon-dielectric interfaces. The values used for these charges are obtained from the measured capacitance-voltage characteristics of SiO2, SiNx, and Al2O3 thin films deposited on silicon substrates. The effect of the charges on the properties of silicon waveguides is then calculated using the semiconductor physics tool Silvaco in combination with the finite-difference time-domain method solver Lumerical. Our results show that, in addition to being a critical factor in the analysis of such active devices as capacitively driven silicon modulators, this effect should also be taken into account when considering the propagation losses of passive silicon waveguides.

  16. Properties of thin films deposited from HMDSO/O2 induced remote plasma: Effect of oxygen fraction

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.; Al-Khaled, B.

    2008-01-01

    Thin films deposited from hexamethyle disiloxane (HMDSO)/O 2 mixture excited in a radio-frequency hollow cathode discharge system have been investigated for their structural, optical and corrosive properties as a function of oxygen fraction χo 2o 2 =0, 0.38, 0.61, 0.76 and 0.90). It is found that the effect of oxygen fraction on films properties is related to O 2 dissociation degree (αd) behavior in pure oxygen plasma. αd has been investigated by actinometry optical emission spectroscopy (AOES) combined with double langmuir probe measurements, a maximum of O 2 dissociation degree of 15% has been obtained for 50 sccm flow rate of O 2o 2 =0.61 in HMDSO/O 2 plasma). Fourier transform infrared spectroscopy (FTIR) and optical measurements showed that the behavior of both identified IR group densities and deposition rate as a function of oxygen fraction is similar to that of O 2 dissociation degree. The inorganic nature of the films depends significantly on oxygen fraction, the best inorganic structure of deposited films has been obtained for 62% HMDSO content in the mixture HMDSO/O 2o 2 =0.38). The refractive index for deposited films from pure HMDSO(χo 2 =0) has been found to be higher than that of films deposited from HMDSO/O 2 mixture. In HMDSO/O 2 plasma, it has a behavior similar to that of deposition rate, and it is comparable to that of quartz. The effect of oxygen fraction on the corrosive properties of thin films deposited on steel has been investigated. It is found that the measured corrosion current density in 0.1 M KCI solution decreases with the addition of O 2 to HMDSO plasma, and it is minimum for χo 2 =0.38. (author)

  17. Anisotropic chemical etching of semipolar {101-bar 1-bar}/{101-bar +1} ZnO crystallographic planes: polarity versus dangling bonds

    International Nuclear Information System (INIS)

    Palacios-Lidon, E; Perez-GarcIa, B; Colchero, J; Vennegues, P; Zuniga-Perez, J; Munoz-Sanjose, V

    2009-01-01

    ZnO thin films grown by metal-organic vapor phase epitaxy along the nonpolar [112-bar] direction and exhibiting semipolar {101-bar 1-bar}/{101-bar +1} facets have been chemically etched with HCl. In order to get an insight into the influence of the ZnO wurtzite structure in the chemical reactivity of the material, Kelvin probe microscopy and convergent beam electron diffraction have been employed to unambiguously determine the absolute polarity of the facets, showing that {101-bar +1} facets are unstable upon etching in an HCl solution and transform into (000+1)/{101-bar 1-bar} planes. In contrast, {101-bar 1-bar} undergo homogeneous chemical etching perpendicular to the initial crystallographic plane. The observed etching behavior has been explained in terms of surface oxygen dangling bond density, suggesting that the macroscopic polarity plays a secondary role in the etching process.

  18. Strong temperature effect on X-ray photo-etching of polytetrafluoroethylene using a 10Hz laser-plasma radiation source based on a gas puff target

    Czech Academy of Sciences Publication Activity Database

    Bartnik, A.; Fiedorowicz, H.; Jarocki, R.; Juha, Libor; Kostecki, J.; Rakowski, R.; Szczurek, M.

    2006-01-01

    Roč. 82, - (2006), s. 529-532 ISSN 0946-2171 R&D Projects: GA MŠk(CZ) LC510 Grant - others:Ministery of Scientific Research(PL) 3 T08C 002 27 Institutional research plan: CEZ:AV0Z10100523 Keywords : photo-etching * organic polymers * laser-produced plasmas Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.023, year: 2006

  19. Structural and electrical characterization of HBr/O2 plasma damage to Si substrate

    International Nuclear Information System (INIS)

    Fukasawa, Masanaga; Nakakubo, Yoshinori; Matsuda, Asahiko; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi; Minami, Masaki; Uesawa, Fumikatsu; Tatsumi, Tetsuya

    2011-01-01

    Silicon substrate damage caused by HBr/O 2 plasma exposure was investigated by spectroscopic ellipsometry (SE), high-resolution Rutherford backscattering spectroscopy, and transmission electron microscopy. The damage caused by H 2 , Ar, and O 2 plasma exposure was also compared to clarify the ion-species dependence. Although the damage basically consists of a surface oxidized layer and underlying dislocated Si, the damage structure strongly depends on the incident ion species, ion energy, and oxidation during air and plasma exposure. In the case of HBr/O 2 plasma exposure, hydrogen generated the deep damaged layer (∼10 nm), whereas ion-enhanced diffusion of oxygen, supplied simultaneously by the plasma, caused the thick surface oxidation. In-line monitoring of damage thicknesses by SE, developed with an optimized optical model, showed that the SE can be used to precisely monitor damage thicknesses in mass production. Capacitance-voltage (C-V) characteristics of a damaged layer were studied before and after diluted-HF (DHF) treatment. Results showed that a positive charge is generated at the surface oxide-dislocated Si interface and/or in the bulk oxide after plasma exposure. After DHF treatment, most of the positive charges were removed, while the thickness of the ''Si recess'' was increased by removing the thick surface oxidized layer. As both the Si recess and remaining dislocated Si, including positive charges, cause the degradation of electrical performance, precise monitoring of the surface structure and understanding its effect on device performance is indispensable for creating advanced devices.

  20. Low Damage, High Anisotropy Inductively Coupled Plasma for Gallium Nitride based Devices

    KAUST Repository

    Ibrahim, Youssef H.

    2013-05-27

    Group III-nitride semiconductors possess unique properties, which make them versatile materials for suiting many applications. Structuring vertical and exceptionally smooth GaN profiles is crucial for efficient optical device operation. The processing requirements for laser devices and ridge waveguides are stringent as compared to LEDs and other electronic devices. Due to the strong bonding and chemically inert nature of GaN, dry etching becomes a critical fabrication step. The surface morphology and facet etch angle are analyzed using SEM and AFM measurements. The influence of different mask materials is also studied including Ni as well as a SiO2 and resist bilayer. The high selectivity Ni Mask is found to produce high sidewall angles ~79°. Processing parameters are optimized for both the mask material and GaN in order to achieve a highly anisotropic, smooth profile, without resorting to additional surface treatment steps. An optimizing a SF6/O2 plasma etch process resulted in smooth SiO2 mask sidewalls. The etch rate and GaN surface roughness dependence on the RF power was also examined. Under a low 2mTorr pressure, the RF and ICP power were optimized to 150W and 300W respectively, such that a smooth GaN morphology and sidewalls was achieved with reduced ion damage. The The AFM measurements of the etched GaN surface indicate a low RMS roughness ranging from 4.75 nm to 7.66 nm.

  1. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  2. The K 2S 2O 8-KOH photoetching system for GaN

    Science.gov (United States)

    Weyher, J. L.; Tichelaar, F. D.; van Dorp, D. H.; Kelly, J. J.; Khachapuridze, A.

    2010-09-01

    A recently developed photoetching system for n-type GaN, a KOH solution containing the strong oxidizing agent potassium peroxydisulphate (K 2S 2O 8), was studied in detail. By careful selection of the etching parameters, such as the ratio of components and the hydrodynamics, two distinct modes were defined: defect-selective etching (denoted by KSO-D) and polishing (KSO-P). Both photoetching methods can be used under open-circuit (electroless) conditions. Well-defined dislocation-related etch whiskers are formed during KSO-D etching. All types of dislocations are revealed, and this was confirmed by cross-sectional TEM examination of the etched samples. Extended electrically active defects are also clearly revealed. The known relationship between etch rate and carrier concentration for photoetching of GaN in KOH solutions was confirmed for KSO-D etch using Raman measurements. It is shown that during KSO-P etching diffusion is the rate-limiting step, i.e. this etch is suitable for polishing of GaN. Some constraints of the KSO etching system for GaN are discussed and peculiar etch features, so far not understood, are described.

  3. On-site SiH4 generator using hydrogen plasma generated in slit-type narrow gap

    Science.gov (United States)

    Takei, Norihisa; Shinoda, Fumiya; Kakiuchi, Hiroaki; Yasutake, Kiyoshi; Ohmi, Hiromasa

    2018-06-01

    We have been developing an on-site silane (SiH4) generator based on use of the chemical etching reaction between solid silicon (Si) and the high-density H atoms that are generated in high-pressure H2 plasma. In this study, we have developed a slit-type plasma source for high-efficiency SiH4 generation. High-density H2 plasma was generated in a narrow slit-type discharge gap using a 2.45 GHz microwave power supply. The plasma’s optical emission intensity distribution along the slit was measured and the resulting distribution was reflected by both the electric power distribution and the hydrogen gas flow. Because the Si etching rate strongly affects the SiH4 generation rate, the Si etching behavior was investigated with respect to variations in the experimental parameters. The weight etch rate increased monotonically with increasing input microwave power. However, the weight etch rate decreased with increasing H2 pressure and an increasing plasma gap. This reduction in the etch rate appears to be related to shrinkage of the plasma generation area because increased input power is required to maintain a constant plasma area with increasing H2 pressure and the increasing plasma gap. Additionally, the weight etch rate also increases with increasing H2 flow rate. The SiH4 generation rate of the slit-type plasma source was also evaluated using gas-phase Fourier transform infrared absorption spectroscopy and the material utilization efficiencies of both Si and the H2 gas for SiH4 gas formation were discussed. The main etch product was determined to be SiH4 and the developed plasma source achieved a SiH4 generation rate of 10 sccm (standard cubic centimeters per minute) at an input power of 900 W. In addition, the Si utilization efficiency exceeded 60%.

  4. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  5. An Alternative to Annealing TiO2 Nanotubes for Morphology Preservation: Atmospheric Pressure Plasma Jet Treatment.

    Science.gov (United States)

    Seo, Sang-Hee; Uhm, Soo-Hyuk; Kwon, Jae-Sung; Choi, Eun Ha; Kim, Kwang-Mahn; Kim, Kyoung-Nam

    2015-03-01

    Titanium oxide nanotube layer formed by plasma electrolytic oxidation (PEO) is known to be excellent in biomaterial applications. However, the annealing process which is commonly performed on the TiO2 nanotubes cause defects in the nanotubular structure. The purpose of this work was to apply a non-thermal atmospheric pressure plasma jet on diameter-controlled TiO2 nanotubes to mimic the effects of annealing while maintaining the tubular structure for use as biomaterial. Diameter-controlled nanotube samples fabricated by plasma electrolytic oxidation were dried and prepared under three different conditions: untreated, annealed at 450 °C for 1 h in air with a heating rate of 10 °C/min, and treated with an air-based non-thermal atmospheric pressure plasma jet for 5 minutes. The contact angle measurement was investigated to confirm the enhanced hydrophilicity of the TiO2 nanotubes. The chemical composition of the surface was studied using X-ray photoelectron spectroscopy, and the morphology of TiO2 nanotubes was examined by field emission scanning electron microscopy. For the viability of the cell, the attachment of the osteoblastic cell line MC3T3-E1 was determined using the water-soluble tetrazolium salt assay. We found that there are no morphological changes in the TiO2 nanotubular structure after the plasma treatment. Also, we investigated a change in the chemical composition and enhanced hydrophilicity which result in improved cell behavior. The results of this study indicated that the non-thermal atmospheric pressure plasma jet results in osteoblast functionality that is comparable to annealed samples while maintaining the tubular structure of the TiO2 nanotubes. Therefore, this study concluded that the use of a non-thermal atmospheric pressure plasma jet on nanotube surfaces may replace the annealing process following plasma electrolytic oxidation.

  6. Determination of nuclear tracks parameters on sequentially etched PADC detectors

    Science.gov (United States)

    Horwacik, Tomasz; Bilski, Pawel; Koerner, Christine; Facius, Rainer; Berger, Thomas; Nowak, Tomasz; Reitz, Guenther; Olko, Pawel

    Polyallyl Diglycol Carbonate (PADC) detectors find many applications in radiation protection. One of them is the cosmic radiation dosimetry, where PADC detectors measure the linear energy transfer (LET) spectra of charged particles (from protons to heavy ions), supplementing TLD detectors in the role of passive dosemeter. Calibration exposures to ions of known LET are required to establish a relation between parameters of track observed on the detector and LET of particle creating this track. PADC TASTRAK nuclear track detectors were exposed to 12 C and 56 Fe ions of LET in H2 O between 10 and 544 keV/µm. The exposures took place at the Heavy Ion Medical Accelerator (HIMAC) in Chiba, Japan in the frame of the HIMAC research project "Space Radiation Dosimetry-Ground Based Verification of the MATROSHKA Facility" (20P-240). Detectors were etched in water solution of NaOH with three different temperatures and for various etching times to observe the appearance of etched tracks, the evolution of their parameters and the stability of the etching process. The applied etching times (and the solution's concentrations and temperatures) were: 48, 72, 96, 120 hours (6.25 N NaOH, 50 O C), 20, 40, 60, 80 hours (6.25 N NaOH, 60 O C) and 8, 12, 16, 20 hours (7N NaOH, 70 O C). The analysis of the detectors involved planimetric (2D) measurements of tracks' entrance ellipses and mechanical measurements of bulk layer thickness. Further track parameters, like angle of incidence, track length and etch rate ratio were then calculated. For certain tracks, results of planimetric measurements and calculations were also compared with results of optical track profile (3D) measurements, where not only the track's entrance ellipse but also the location of the track's tip could be directly measured. All these measurements have been performed with the 2D/3D measurement system at DLR. The collected data allow to create sets of V(LET in H2 O) calibration curves suitable for short, intermediate and

  7. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  8. Surface roughening of silicon, thermal silicon dioxide, and low-k dielectric coral films in argon plasma

    International Nuclear Information System (INIS)

    Yin Yunpeng; Sawin, Herbert H.

    2008-01-01

    The surface roughness evolutions of single crystal silicon, thermal silicon dioxide (SiO 2 ), and low dielectric constant film coral in argon plasma have been measured by atomic force microscopy as a function of ion bombardment energy, ion impingement angle, and etching time in an inductively coupled plasma beam chamber, in which the plasma chemistry, ion energy, ion flux, and ion incident angle can be adjusted independently. The sputtering yield (or etching rate) scales linearly with the square root of ion energy at normal impingement angle; additionally, the angular dependence of the etching yield of all films in argon plasma followed the typical sputtering yield curve, with a maximum around 60 deg. -70 deg. off-normal angle. All films stayed smooth after etching at normal angle but typically became rougher at grazing angles. In particular, at grazing angles the rms roughness level of all films increased if more material was removed; additionally, the striation structure formed at grazing angles can be either parallel or transverse to the beam impingement direction, which depends on the off-normal angle. More interestingly, the sputtering caused roughness evolution at different off-normal angles can be qualitatively explained by the corresponding angular dependent etching yield curve. In addition, the roughening at grazing angles is a strong function of the type of surface; specifically, coral suffers greater roughening compared to thermal silicon dioxide

  9. Polymer degradation in reactive ion etching and its possible application to all dry processes

    International Nuclear Information System (INIS)

    Hiraoka, H.; Welsh, L.W. Jr.

    1981-01-01

    Dry etching processes involving CF 4 -plasma and reactive ion etching become increasingly important for microcircuit fabrication techniques. In these techniques polymer degradation and etch resistance against reactive species like F atoms and CF 3 + ions are the key factors in the processes. It is well-known that classical electron beam resists like poly(methyl methacrylate) and poly(1-butene sulfone) are not suitable for dry etching processes because they degrade rapidly under these etching conditions. In order to find a correlation of etching rate and polymer structures the thickness loss of polymer films have been measured for a variety of polymer films in reactive ion etching conditions, where CF 3 + ions are the major reactive species with an accelerating potential of 500 volts. Because of its high CF 4 -plasma and reactive ion etch resistance, and because of its high electron beam sensitivity, poly(methacrylonitrile) provides a positive working electron beam resist uniquely suited for all dry processes. (author)

  10. Properties of CuS thin films treated in air plasma

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Martinez, H.; Calixto-Rodriguez, M.; Nunez Rodriguez, A.

    2009-01-01

    Copper sulfide thin films were grown by chemical deposition and post treated in air plasma during 20 min. Air plasma was generated by alternating current discharge at a pressure of 4 x 10 2 Pa. The power discharge was maintained at an output of 220 V and a current of 0.2 A. Thermal annealing at 300 o C was performed for comparison. X-ray diffraction shows that plasma treatment results in phase transformation of Cu 39 S 28 (as grown) to CuS (treated by plasma). The copper lost is confirmed by X-ray fluorescence. No significant change in the optical band gap was observed due to plasma action. In addition, the electrical conductivity increases in one order of magnitude. On the other hand, the samples under plasma condition show a parallel growth to the substrate and an increase in the surface uniformity. The plasma etching removes copper due to its affinity with oxygen to form CuO, as is corroborated by optical emission spectroscopy.

  11. Water-based synthesis of TiO2/CeO2 composites supported on plasma-treated montmorillonite for parathion methyl degradation

    Czech Academy of Sciences Publication Activity Database

    Henych, Jiří; Kormunda, M.; Šťastný, Martin; Janoš, P.; Vomáčka, Petr; Matoušek, J.; Štengl, Václav

    2017-01-01

    Roč. 144, AUG (2017), s. 26-35 ISSN 0169-1317 R&D Projects: GA MŠk(CZ) LM2015073 Institutional support: RVO:61388980 Keywords : Montmorillonite * Parathion methyl * Plasma treatment * TiO2 /CeO2 composites Subject RIV: CA - Inorganic Chemistry OBOR OECD: Inorganic and nuclear chemistry Impact factor: 3.101, year: 2016

  12. Application of the total etching technique or self-etching primers on primary teeth after air abrasion Aplicação da técnica de condicionamento total ou de "primers" autocondicionantes em dentes decíduos após abrasão a ar

    Directory of Open Access Journals (Sweden)

    Fábio Renato Manzolli Leite

    2005-09-01

    Full Text Available Since the use of air abrasion has grown in pediatric dentistry, the aim of this study was to evaluate, by means of shear bond strength testing, the need to use the total etching technique or self-etching primers on dentin of primary teeth after air abrasion. Twenty-five exfoliated primary molars had their occlusal dentin exposed by trimming and polishing. Specimens were treated by: Air abrasion + Scotchbond MultiPurpose adhesive (G1; 37% phosphoric acid + Scotchbond MP adhesive (G2; Clearfil SE (G3; Air abrasion + 37% phosphoric acid + Scotchbond MP adhesive (G4; Air abrasion + Clearfil SE (G5. On the treated surface, a cylinder of 2 mm by 6 mm was made using a composite resin (Z100. Duncan's test showed that: (G2 = G3 = G5 > (G1 = G4. The use of a self-etching primer on air abraded dentin is recommended to obtain higher bond strengths.Como o uso da abrasão a ar tem aumentado em odontopediatria, o objetivo deste trabalho foi avaliar a resistência ao cisalhamento da resina composta Z100 sobre a superfície dentinária de dentes decíduos após abrasionamento a ar associado ou não a técnica de condicionamento total (ácido fosfórico + Scotchbond Multi Purpose ou "primer" autocondicionante (Clearfil SE Bond. A superfície oclusal de 25 molares decíduos foi removida para exposição completa da superfície dentinária. Após polimento com lixas abrasivas, foram divididos em 5 grupos (5 espécimes em cada tratados por abrasão + adesivo Scotchbond (G1; condicionamento ácido + adesivo Scotchbond (G2; adesivo Clearfil (G3; abrasão a ar + condicionamento ácido + adesivo Scotchbond (G4; abrasão a ar + adesivo Clearfil (G5. Uma matriz bipartida foi adaptada à superfície dentinária e preenchida com resina composta. Após polimerização, corpos-de-prova de 2 mm x 6 mm foram obtidos. O teste de Duncan mostrou a desigualdade (G1 = G4 < (G2 = G3 = G5. Desse modo, conclui-se que, após o abrasionamento dentinário, deve ser utilizado o sistema de

  13. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  14. Oxidation of atomically thin MoS2 on SiO2

    Science.gov (United States)

    Yamamoto, Mahito; Cullen, William; Einstein, Theodore; Fuhrer, Michael

    2013-03-01

    Surface oxidation of MoS2 markedly affects its electronic, optical, and tribological properties. However, oxidative reactivity of atomically thin MoS2 has yet to be addressed. Here, we investigate oxidation of atomic layers of MoS2 using atomic force microscopy and Raman spectroscopy. MoS2 is mechanically exfoliated onto SiO2 and oxidized in Ar/O2 or Ar/O3 (ozone) at 100-450 °C. MoS2 is much more reactive to O2 than an analogous atomic membrane of graphene and monolayer MoS2 is completely etched very rapidly upon O2 treatment above 300 °C. Thicker MoS2 (> 15 nm) transforms into MoO3 after oxidation at 400 °C, which is confirmed by a Raman peak at 820 cm-1. However, few-layer MoS2 oxidized below 400 °C exhibits no MoO3 Raman mode but etch pits are formed, similar to graphene. We find atomic layers of MoS2 shows larger reactivity to O3 than to O2 and monolayer MoS2 transforms chemically upon O3 treatment even below 100 °C. Work supported by the U. of Maryland NSF-MRSEC under Grant No. DMR 05-20741.

  15. Diagnostic study of low-pressure Ar-O2 remote plasma generated in HCD-L 300 system: Relative density of O atom

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2007-01-01

    The relative density of O atom of Ar-O 2 remote plasma excited in a low pressure 13.56 HMz hollow cathode discharge system has been investigated. The measurements were carried out at a total pressure of 0.05 mbar, radiofrequency (RF) power of 200 W and at three different axial distances in the plasma chamber below the outlet of the discharge source. Using optical emission spectroscopy (OES), the relative density of O ground state was determined from intensity ratio of O(844.6 nm) and Ar(750.4 nm) lines. The electron temperature and O 2 + densities have been measured using double langmuir probe measurements. The kinetic study of Ar-O 2 plasma, combined with both spectroscopy and langmuir probe measurements, revealed that the main production mechanism of the excited O(3p 3 P) is direct excitation by electron impact. A maximum of O ground state relative density and correspondingly a minimum of O 2 + density are obtained for the ratio O 2 /Ar: 60/40. The maximum O density in the remote zone is found to be 4.5 times higher than at the outlet of source. (author)

  16. Effect of O2 plasma immersion on electrical properties and transistor performance of indium gallium zinc oxide thin films

    International Nuclear Information System (INIS)

    Liu, P.; Chen, T.P.; Liu, Z.; Tan, C.S.; Leong, K.C.

    2013-01-01

    Evolution of electrical properties and thin-film transistor characteristics of amorphous indium gallium zinc oxide (IGZO) thin films synthesized by RF sputtering with O 2 plasma immersion has been examined. O 2 plasma immersion results in an enhancement in the Hall mobility and a decrease in the electron concentration; and the transistor performance can be greatly improved by the O 2 plasma immersion. X-ray photoelectron spectroscopy analysis indicates that the effect of O 2 plasma immersion on the electrical properties and the transistor performance can be attributed to the reduction of the oxygen-related defects in the IGZO thin films. - Highlights: • Oxygen plasma immersion effect on indium gallium zinc oxide thin film properties • Oxygen-related defect reduces in the InGaZnO thin film with oxygen plasma immersion. • Increasing oxygen plasma immersion duration on device will decrease the off current. • Oxygen plasma immersion enhances the performance of device

  17. Water plasma functionalized CNTs/MnO2 composites for supercapacitors.

    Science.gov (United States)

    Hussain, Shahzad; Amade, Roger; Jover, Eric; Bertran, Enric

    2013-01-01

    A water plasma treatment applied to vertically-aligned multiwall carbon nanotubes (CNTs) synthesized by plasma enhanced chemical vapour deposition gives rise to surface functionalization and purification of the CNTs, along with an improvement of their electrochemical properties. Additional increase of their charge storage capability is achieved by anodic deposition of manganese dioxide lining the surface of plasma-treated nanotubes. The morphology (nanoflower, layer, or needle-like structure) and oxidation state of manganese oxide depend on the voltage window applied during charge-discharge measurements and are found to be key points for improved efficiency of capacitor devices. MnO2/CNTs nanocomposites exhibit an increase in their specific capacitance from 678 Fg(-1), for untreated CNTs, up to 750 Fg(-1), for water plasma-treated CNTs.

  18. Improved electrochemical performances of oxygen plasma treated LiMn2O4 thin films

    International Nuclear Information System (INIS)

    Chen, C C; Chiu, K-F; Lin, K M; Lin, H C; Yang, C-R; Wang, F M

    2007-01-01

    LiMn 2 O 4 spinel thin films were deposited by radio frequency (rf) magnetron sputtering followed by annealing at 600 0 C in air.The films were then post-treated with an rf driven oxygen plasma. The crystallization and surface morphology of LiMn 2 O 4 thin films were seen to change with rf power. The treated samples were tested under harsh conditions such as deep discharge to 1.5 V and cycling at elevated temperature of 60 0 C to verify the electrochemical performances of LiMn 2 O 4 cathodes. The oxygen plasma treatments improved the electrochemical properties of LiMn 2 O 4 thin films significantly. As the cells were cycled in the range of 4.5-2.0 V at 60 0 C, the samples treated at a proper rf power of 50 W exhibited an initial capacity greater than ∼400 mAh g -1 with reasonable cycling stability. The results were attributed to the change of morphology and the formation of a surface layer induced by the oxygen plasma irradiation

  19. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  20. Similarity ratio analysis for early stage fault detection with optical emission spectrometer in plasma etching process.

    Directory of Open Access Journals (Sweden)

    Jie Yang

    Full Text Available A Similarity Ratio Analysis (SRA method is proposed for early-stage Fault Detection (FD in plasma etching processes using real-time Optical Emission Spectrometer (OES data as input. The SRA method can help to realise a highly precise control system by detecting abnormal etch-rate faults in real-time during an etching process. The method processes spectrum scans at successive time points and uses a windowing mechanism over the time series to alleviate problems with timing uncertainties due to process shift from one process run to another. A SRA library is first built to capture features of a healthy etching process. By comparing with the SRA library, a Similarity Ratio (SR statistic is then calculated for each spectrum scan as the monitored process progresses. A fault detection mechanism, named 3-Warning-1-Alarm (3W1A, takes the SR values as inputs and triggers a system alarm when certain conditions are satisfied. This design reduces the chance of false alarm, and provides a reliable fault reporting service. The SRA method is demonstrated on a real semiconductor manufacturing dataset. The effectiveness of SRA-based fault detection is evaluated using a time-series SR test and also using a post-process SR test. The time-series SR provides an early-stage fault detection service, so less energy and materials will be wasted by faulty processing. The post-process SR provides a fault detection service with higher reliability than the time-series SR, but with fault testing conducted only after each process run completes.

  1. Characteristics of N-doped TiO{sub 2} nanotube arrays by N{sub 2}-plasma for visible light-driven photocatalysis

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xu [College of Chemical Engineering, Sichuan University, Chengdu 610065 (China); Liu Zhongqing, E-mail: 301zql@vip.sina.com [College of Chemical Engineering, Sichuan University, Chengdu 610065 (China); Zheng Jian; Yan Xin; Li Dandan; Chen Si [College of Chemical Engineering, Sichuan University, Chengdu 610065 (China); Chu Wei, E-mail: chuwei1965_scu@yahoo.com [College of Chemical Engineering, Sichuan University, Chengdu 610065 (China)

    2011-10-13

    Highlights: > A new pathway is provided to prepare N-doped TiO2 nanotube arrays using N{sub 2}-plasma treatment. > N{sub 2}-plasma treatment did not wreck the structure of nanotube arrays. > Nitrogen doping promoted the phase transition to rutile phase at low annealing temperatures > Nitrogen doping narrow band gap of TiO{sub 2} and improve the photocatalytic activity of samples. - Abstract: N-doped TiO{sub 2} nanotube arrays were prepared by electrochemical anode oxidation of Ti foil followed by treatment with N{sub 2}-plasma and subsequent annealed under Ar atmosphere. The morphologies, composition and optical properties of N-doped TiO{sub 2} nanotube arrays were characterized using field-emission scanning electron microscope (FE-SEM), transmission electron microscope (TEM), X-ray photoelectron spectroscopy (XPS), X-ray diffraction spectrometer (XRD), Photoluminescence (PL) and UV-vis diffusion reflection spectroscopy (UV-vis DRS). Methylene blue (MB) solution was utilized as the degradation model to evaluate the photocatalytic activity of the samples under visible light irradiation. The results suggested N{sub 2}-plasma treatment created doping of nitrogen onto the surface of photoelectrodes successfully and the N-doped TiO{sub 2} nanotube arrays display a significantly enhancement of the photocatalytic activity comparing with the pure TiO{sub 2} nanotube arrays under the visible light irradiation.

  2. WO3 and W Thermal Atomic Layer Etching Using "Conversion-Fluorination" and "Oxidation-Conversion-Fluorination" Mechanisms.

    Science.gov (United States)

    Johnson, Nicholas R; George, Steven M

    2017-10-04

    The thermal atomic layer etching (ALE) of WO 3 and W was demonstrated with new "conversion-fluorination" and "oxidation-conversion-fluorination" etching mechanisms. Both of these mechanisms are based on sequential, self-limiting reactions. WO 3 ALE was achieved by a "conversion-fluorination" mechanism using an AB exposure sequence with boron trichloride (BCl 3 ) and hydrogen fluoride (HF). BCl 3 converts the WO 3 surface to a B 2 O 3 layer while forming volatile WO x Cl y products. Subsequently, HF spontaneously etches the B 2 O 3 layer producing volatile BF 3 and H 2 O products. In situ spectroscopic ellipsometry (SE) studies determined that the BCl 3 and HF reactions were self-limiting versus exposure. The WO 3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128 °C to 4.19 Å/cycle at 207 °C. W served as an etch stop because BCl 3 and HF could not etch the underlying W film. W ALE was performed using a three-step "oxidation-conversion-fluorination" mechanism. In this ABC exposure sequence, the W surface is first oxidized to a WO 3 layer using O 2 /O 3 . Subsequently, the WO 3 layer is etched with BCl 3 and HF. SE could simultaneously monitor the W and WO 3 thicknesses and conversion of W to WO 3 . SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each reaction in the ABC process. The etch rate for W ALE was ∼2.5 Å/cycle at 207 °C. An oxide thickness of ∼20 Å remained after W ALE, but could be removed by sequential BCl 3 and HF exposures without affecting the W layer. These new etching mechanisms will enable the thermal ALE of a variety of additional metal materials including those that have volatile metal fluorides.

  3. Influence of sputtering conditions on the optical and electrical properties of laser-annealed and wet-etched room temperature sputtered ZnO:Al thin films

    Energy Technology Data Exchange (ETDEWEB)

    Boukhicha, Rym, E-mail: rym.boukhicha@polytechnique.edu [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Charpentier, Coralie [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Total S and M — New Energies Division, R and D Division, Department of Solar Energies EN/BO/RD/SOL, Tour Michelet, 24 cours Michelet, La Défense 10, 92069 Paris La Défense Cedex (France); Prod' Homme, Patricia [Total S and M — New Energies Division, R and D Division, Department of Solar Energies EN/BO/RD/SOL, Tour Michelet, 24 cours Michelet, La Défense 10, 92069 Paris La Défense Cedex (France); Roca i Cabarrocas, Pere [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Lerat, Jean-François; Emeraud, Thierry [Photovoltaic Business Unit, Excico Group NV, Kempische Steenweg 305/2, B-3500 Hasselt (Belgium); Johnson, Erik [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France)

    2014-03-31

    We explore the influence of the sputtering deposition conditions on the outcome of an excimer laser anneal and chemical etching process with the goal of producing highly textured substrates for thin film silicon solar cells. Aluminum-doped zinc oxide (ZnO:Al) thin films were prepared on glass substrates by radio frequency magnetron sputtering from a ceramic target at room temperature. The effects of the process pressure (0.11–1.2 Pa) and oxygen flow (0–2 sccm) on the optical and electrical properties of ZnO:Al thin films have been studied both before and after an excimer laser annealing treatment followed by a dilute HCl chemical etch. The as-deposited films varied from completely opaque to yellowish. Thin film laser annealing dramatically improves the optical properties of the most opaque thin films. After laser annealing at the optimum fluence, the average transmittance in the visible wavelength range was around 80% for most films, and reasonable electrical performance was obtained for the films deposited at lower pressures and without oxygen flux (7 Ω/□ for films of 1 μm). After etching, all films displayed a dramatic improvement in haze, but only the low pressure, low oxygen films retained acceptable electrical properties (< 11 Ω/□). - Highlights: • Al:ZnO thin films were deposited at room temperature. • The ZnO:Al films were excimer laser annealed and then wet-etched. • The optical and electrical properties were studied in details.

  4. A dielectric barrier discharge (DBD) plasma reactor: an efficient tool to prepare novel RuO2 nanorods

    International Nuclear Information System (INIS)

    Ananth, Antony; Gandhi, Mani Sanjeeva; Mok, Young Sun

    2013-01-01

    One-dimensional (1D) nanostructured materials have attracted a great deal of interest owing to their potential applications in various industries. Due to the limitations and cost associated with conventional low-pressure plasma systems, atmospheric-pressure plasma techniques such as dielectric barrier discharges (DBDs) are investigated as an alternative approach for inducing specific chemical reactions. RuO 2 nanomaterials are widely used as supercapacitor electrodes, in field-emission devices and for catalytic applications. In such applications, size and shape dependent properties of nanomaterials play critical roles in improving the performance. In this paper, an attempt is made to prepare 1D RuO 2 nanostructured materials using a DBD plasma. It is reported here that the composition of feed gas is an important factor in determining the final morphology. For example, an Ar + H 2 plasma yields aggregated RuO 2 nanostructures, whereas ‘nanopillar’ and ‘nanorod’ morphologies are obtained when using Ar + O 2 and Ar, respectively. Possible mechanisms behind the morphological differences are elucidated on the basis of the temperature variations inside the plasma reactor and the chemistry of the gaseous reactive species. The application of a DBD plasma to the synthesis of RuO 2 nanorods is reported for the first time in this paper. (paper)

  5. Surface modification and stability of detonation nanodiamonds in microwave gas discharge plasma

    International Nuclear Information System (INIS)

    Stanishevsky, Andrei V.; Walock, Michael J.; Catledge, Shane A.

    2015-01-01

    Graphical abstract: - Highlights: • Single and binary gas plasma modification of nanodiamond powders studied. • Temperature-dependent effect of N 2 and N 2 /H 2 plasma reported for the first time. • Role of H 2 in H 2 /N 2 and H 2 /O 2 plasma modification of nanodiamond discussed. - Abstract: Detonation nanodiamonds (DND), with low hydrogen content, were exposed to microwave plasma generated in pure H 2 , N 2 , and O 2 gases and their mixtures, and investigated using X-ray diffraction (XRD), Fourier Transform Infrared (FTIR), Raman, and X-ray photoelectron spectroscopies. Considerable alteration of the DND surface was observed under the plasma conditions for all used gases, but the diamond structure of the DND particle core was preserved in most cases. The stabilizing effect of H 2 in H 2 /N 2 and H 2 /O 2 binary gas plasmas on the DND structure and the temperature-dependent formation of various CNH x surface groups in N 2 and H 2 /N 2 plasmas were observed and discussed for the first time. DND surface oxidation and etching were the main effects of O 2 plasma, whereas the N 2 plasma led to DND surfaces rich in amide groups below 1073 K and nitrile groups at higher temperatures. Noticeable graphitization of the DND core structure was detected only in N 2 plasma when the substrate temperature was above 1103 K.

  6. Particle formation and its control in dual frequency plasma etching reactors

    International Nuclear Information System (INIS)

    Kim, Munsu; Cheong, Hee-Woon; Whang, Ki-Woong

    2015-01-01

    The behavior of a particle cloud in plasma etching reactors at the moment when radio frequency (RF) power changes, that is, turning off and transition steps, was observed using the laser-light-scattering method. Two types of reactors, dual-frequency capacitively coupled plasma (CCP) and the hybrid CCP/inductively coupled plasma (ICP), were set up for experiments. In the hybrid CCP/ICP reactor (hereafter ICP reactor), the position and shape of the cloud were strongly dependent on the RF frequency. The particle cloud becomes larger and approaches the electrode as the RF frequency increases. By turning the lower frequency power off later with a small delay time, the particle cloud is made to move away from the electrode. Maintaining lower frequency RF power only was also helpful to reduce the particle cloud size during this transition step. In the ICP reactor, a sufficient bias power is necessary to make a particle trap appear. A similar particle cloud to that in the CCP reactor was observed around the sheath region of the lower electrode. The authors can also use the low-frequency effect to move the particle cloud away from the substrate holder if two or more bias powers are applied to the substrate holder. The dependence of the particle behavior on the RF frequencies suggests that choosing the proper frequency at the right moment during RF power changes can reduce particle contamination effectively

  7. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  8. Solid polymer electrolyte composite membrane comprising plasma etched porous support

    Science.gov (United States)

    Liu, Han; LaConti, Anthony B.

    2010-10-05

    A solid polymer electrolyte composite membrane and method of manufacturing the same. According to one embodiment, the composite membrane comprises a rigid, non-electrically-conducting support, the support preferably being a sheet of polyimide having a thickness of about 7.5 to 15 microns. The support has a plurality of cylindrical pores extending perpendicularly between opposing top and bottom surfaces of the support. The pores, which preferably have a diameter of about 0.1 to 5 microns, are made by plasma etching and preferably are arranged in a defined pattern, for example, with fewer pores located in areas of high membrane stress and more pores located in areas of low membrane stress. The pores are filled with a first solid polymer electrolyte, such as a perfluorosulfonic acid (PFSA) polymer. A second solid polymer electrolyte, which may be the same as or different than the first solid polymer electrolyte, may be deposited over the top and/or bottom of the first solid polymer electrolyte.

  9. The high surface energy of NiO {110} facets incorporated into TiO{sub 2} hollow microspheres by etching Ti plate for enhanced photocatalytic and photoelectrochemical activity

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jian; Cui, Hongzhi, E-mail: cuihongzhi1965@163.com; Song, Xiaojie; Wei, Na; Tian, Jian, E-mail: jiantian@sdust.edu.cn

    2017-02-28

    Highlights: • NiO/TiO{sub 2} hollow microspheres were fabricated by etching Ti plate. • The incorporated NiO nanoparticles exposed high surface energy {110} facets. • The p–n junction catalysts improved photoelectrochemical and photocatalytic activity. • Using this synthesis strategy, other mixed semiconducting metal oxide microspheres. - Abstract: We present a rational design for the controllable synthesis of NiO/TiO{sub 2} hollow microspheres (NTHMs) with Ti plate via a one-pot template-free synthesis strategy. Specifically, to enhance the formation of hollow microspheres, part of the titanium source is provided by the Ti plate. The hollow spherical NiO/TiO{sub 2} particles possess unique microstructural characteristics, namely, a higher specific surface area (∼65.82 m{sup 2} g{sup −1}), a larger mesoporous structure (∼7.79 nm), and hierarchical nanoarchitectures connected with mesopores within the shell (monodispersed size of ∼1 μm and shell thickness of ∼80 nm). In addition, as a cocatalyst for improved catalytic activity, the incorporated NiO nanoparticles with exposed high surface energy {110} facets displayed an outstanding performance. It has been proven that this facile nanostructure possesses remarkably high photoelectrochemical and photocatalytic activities. The main mechanism for enhancement of photocatalytic activity is attributed to the construction of p-n junctions with an inner electric field between TiO{sub 2} and NiO, which can dramatically enhance the separation efficiency of the photogenerated electron-hole pairs. This strategy could be applied to fabricate mixed metal oxide hollow microspheres toward the photoelectrochemical catalysis.

  10. Influence of gold nanoparticles on the 805 nm gain in Tm3+/Yb3+ codoped PbO-GeO2 pedestal waveguides

    Science.gov (United States)

    de Assumpção, T. A. A.; Camilo, M. E.; Alayo, M. I.; da Silva, D. M.; Kassab, L. R. P.

    2017-10-01

    The production and characterization of pedestal waveguides based on PbO-GeO2 amorphous thin films codoped with Tm3+/Yb3+, with and without gold nanoparticles (NPs), are reported. Pedestal structure was obtained by conventional photolithography and plasma etching. Tm3+/Yb3+ codoped PGO amorphous thin film was obtained by RF Magnetron Sputtering deposition and used as core layer in the pedestal optical waveguide. The minimum propagation losses in the waveguide were 3.6 dB/cm at 1068 nm. The internal gain at 805 nm was enhanced and increased to 8.67 dB due to the presence of gold NPs. These results demonstrate for the first time that Tm3+/Yb3+ codoped PbO-GeO2 waveguides are promising for first telecom window and integrated photonics, especially for applications on fiber network at short distances.

  11. Experimental investigation of the contact resistance of Graphene/MoS2 interface treated with O2 plasma

    Science.gov (United States)

    Lu, Qin; Liu, Yan; Han, Genquan; Fang, Cizhe; Shao, Yao; Zhang, Jincheng; Hao, Yue

    2018-02-01

    High contact resistance has been a major bottleneck for MoS2 to achieve high performances among two-dimensional material based optoelectronic and electronic devices. In this study, we investigate the contact resistances of different layered graphene film with MoS2 film with Ti/Au electrodes under different O2 plasma treatment time using the circular transmission line model (CTLM). Annealing process followed O2 plasma process to reduce the oxygen element introduced. Raman and X-ray photoelectric spectroscopy were used to analyze the quality of the materials. Finally, the current and voltage curve indicates good linear characteristics. Under the optimized condition of the O2 plasma treatment, a relatively low contact resistance (∼35.7 Ohm mm) without back gate voltage in single-layer graphene/MoS2 structure at room temperature was achieved compared with the existing reports. This method of introducing graphene as electrodes for MoS2 film demonstrates a remarkable ability to improve the contact resistance, without additional channel doping for two-dimensional materials based devices, which paves the way for MoS2 to be a more promising channel material in optoelectronic and electronic integration.

  12. OH radicals distribution in an Ar-H{sub 2}O atmospheric plasma jet

    Energy Technology Data Exchange (ETDEWEB)

    Li, L.; Leys, C. [Department of Applied Physics, Research Unit Plasma Technology, Ghent University, Sint-Pietersnieuwstraat 41, Ghent B-9000 (Belgium); Nikiforov, A. [Department of Applied Physics, Research Unit Plasma Technology, Ghent University, Sint-Pietersnieuwstraat 41, Ghent B-9000 (Belgium); Institute of Solution Chemistry of the Russian Academy of Sciences, Academicheskaya St., 1, Ivanono, 153045 (Russian Federation); Xiong, Q. [Department of Applied Physics, Research Unit Plasma Technology, Ghent University, Sint-Pietersnieuwstraat 41, Ghent B-9000 (Belgium); College of Electrical and Electronic Engineering, HuaZhong University of Science and Technology, WuHan, Hubei 430074 (China); Britun, N. [Chimie des Interactions Plasma-Surface (ChIPS), CIRMAP, Universite de Mons, 20 Place du Parc, B-7000 Mons (Belgium); Snyders, R. [Chimie des Interactions Plasma-Surface (ChIPS), CIRMAP, Universite de Mons, 20 Place du Parc, B-7000 Mons (Belgium); Materia Nova Research Centre, Parc Initialis, B-7000 Mons (Belgium); Lu, X. [College of Electrical and Electronic Engineering, HuaZhong University of Science and Technology, WuHan, Hubei 430074 (China)

    2013-09-15

    Recently, plasma jet systems found numerous applications in the field of biomedicine and treatment of temperature-sensitive materials. OH radicals are one of the main active species produced by these plasmas. Present study deals with the investigation of RF atmospheric pressure plasma jet in terms of OH radicals production by admixture of H{sub 2}O into argon used as a feed gas. Generation of OH radicals is studied by laser-induced fluorescence spectroscopy. The excitation dynamics of OH radicals induced by the laser photons is studied by time-resolved spectroscopy. It is shown that vibrational and rotational energy transfer processes, which are sensitive to the surrounding species, can lead to the complication in the OH radicals diagnostics at high pressure and have to be considered during experiments. The axial and radial 2D maps of absolute densities of hydroxyl radicals at different water contents are obtained. The highest density of 1.15 × 10{sup 20} m{sup −3} is measured in the plasma core for the case of 0.3% H{sub 2}O. In the x–y-plane, the OH density steeply decreases within a range of ±2 mm from its maximum value down to 10{sup 18} m{sup −3}. The effect of H{sub 2}O addition on the generation of OH radicals is investigated and discussed.

  13. Endpoint in plasma etch process using new modified w-multivariate charts and windowed regression

    Science.gov (United States)

    Zakour, Sihem Ben; Taleb, Hassen

    2017-09-01

    Endpoint detection is very important undertaking on the side of getting a good understanding and figuring out if a plasma etching process is done in the right way, especially if the etched area is very small (0.1%). It truly is a crucial part of supplying repeatable effects in every single wafer. When the film being etched has been completely cleared, the endpoint is reached. To ensure the desired device performance on the produced integrated circuit, the high optical emission spectroscopy (OES) sensor is employed. The huge number of gathered wavelengths (profiles) is then analyzed and pre-processed using a new proposed simple algorithm named Spectra peak selection (SPS) to select the important wavelengths, then we employ wavelet analysis (WA) to enhance the performance of detection by suppressing noise and redundant information. The selected and treated OES wavelengths are then used in modified multivariate control charts (MEWMA and Hotelling) for three statistics (mean, SD and CV) and windowed polynomial regression for mean. The employ of three aforementioned statistics is motivated by controlling mean shift, variance shift and their ratio (CV) if both mean and SD are not stable. The control charts show their performance in detecting endpoint especially W-mean Hotelling chart and the worst result is given by CV statistic. As the best detection of endpoint is given by the W-Hotelling mean statistic, this statistic will be used to construct a windowed wavelet Hotelling polynomial regression. This latter can only identify the window containing endpoint phenomenon.

  14. Water Plasma Functionalized CNTs/MnO2 Composites for Supercapacitors

    Directory of Open Access Journals (Sweden)

    Shahzad Hussain

    2013-01-01

    Full Text Available A water plasma treatment applied to vertically-aligned multiwall carbon nanotubes (CNTs synthesized by plasma enhanced chemical vapour deposition gives rise to surface functionalization and purification of the CNTs, along with an improvement of their electrochemical properties. Additional increase of their charge storage capability is achieved by anodic deposition of manganese dioxide lining the surface of plasma-treated nanotubes. The morphology (nanoflower, layer, or needle-like structure and oxidation state of manganese oxide depend on the voltage window applied during charge-discharge measurements and are found to be key points for improved efficiency of capacitor devices. MnO2/CNTs nanocomposites exhibit an increase in their specific capacitance from 678 Fg−1, for untreated CNTs, up to 750 Fg−1, for water plasma-treated CNTs.

  15. Predictions of ion energy distributions and radical fluxes in radio frequency biased inductively coupled plasma etching reactors

    Science.gov (United States)

    Hoekstra, Robert J.; Kushner, Mark J.

    1996-03-01

    Inductively coupled plasma (ICP) reactors are being developed for low gas pressure (radio frequency (rf) bias is applied to the substrate. One of the goals of these systems is to independently control the magnitude of the ion flux by the inductively coupled power deposition, and the acceleration of ions into the substrate by the rf bias. In high plasma density reactors the width of the sheath above the wafer may be sufficiently thin that ions are able to traverse it in approximately 1 rf cycle, even at 13.56 MHz. As a consequence, the ion energy distribution (IED) may have a shape typically associated with lower frequency operation in conventional reactive ion etching tools. In this paper, we present results from a computer model for the IED incident on the wafer in ICP etching reactors. We find that in the parameter space of interest, the shape of the IED depends both on the amplitude of the rf bias and on the ICP power. The former quantity determines the average energy of the IED. The latter quantity controls the width of the sheath, the transit time of ions across the sheath and hence the width of the IED. In general, high ICP powers (thinner sheaths) produce wider IEDs.

  16. The effect of axial ion parameters on the properties of glow discharge polymer in T2B/H2 plasma

    Science.gov (United States)

    Ai, Xing; He, Xiao-Shan; Huang, Jing-Lin; He, Zhi-Bing; Du, Kai; Chen, Guo

    2018-03-01

    Glow discharge polymer (GDP) films were fabricated using plasma-enhanced chemical vapor deposition. The main purpose of this work was to explore the correlations of plasma parameters with the surface morphology and chemical structure of GDP films. The intensities of main positive ions and ion energy as functions of axial distances in T2B/H2 plasma were diagnosed using energy-resolved mass spectrometry. The surface morphology and chemical structure were characterized as functions of axial distances using a scanning electron microscope and Fourier transform infrared spectroscopy, respectively. As the axial distance increases, both the intensities of positive ions and high energy ions decreases, and dissociation weakens while polymerization enhances. This leads to the weakening of the cross-linking structure of GDP films and the formation of dome defects on films. Additionally, high energy ions could introduce a strong etching effect to form etching pits. Therefore, an axial distance of about 20 mm was found to be the optimal plasma parameter to prepare the defect-free GDP films. These results could help one to find the optimal plasma parameters for GDP film deposition.

  17. Propagation characteristics of atmospheric-pressure He+O{sub 2} plasmas inside a simulated endoscope channel

    Energy Technology Data Exchange (ETDEWEB)

    Wang, S.; Chen, Z. Y.; Wang, X. H., E-mail: xhw@mail.xjtu.edu.cn; Li, D.; Yang, A. J.; Liu, D. X.; Rong, M. Z. [State Key Laboratory of Electrical Insulation and Power Equipment, Centre for Plasma Biomedicine, Xi' an Jiaotong University, Xi' an 710049 (China); Chen, H. L. [Frank Reidy Center for Bioelectrics, Old Dominion University, Norfolk, Virginia 23508 (United States); Kong, M. G. [State Key Laboratory of Electrical Insulation and Power Equipment, Centre for Plasma Biomedicine, Xi' an Jiaotong University, Xi' an 710049 (China); Frank Reidy Center for Bioelectrics, Old Dominion University, Norfolk, Virginia 23508 (United States); Department of Electrical and Computer Engineering, Old Dominion University, Norfolk, Virginia 23529 (United States)

    2015-11-28

    Cold atmospheric-pressure plasmas have potential to be used for endoscope sterilization. In this study, a long quartz tube was used as the simulated endoscope channel, and an array of electrodes was warped one by one along the tube. Plasmas were generated in the inner channel of the tube, and their propagation characteristics in He+O{sub 2} feedstock gases were studied as a function of the oxygen concentration. It is found that each of the plasmas originates at the edge of an instantaneous cathode, and then it propagates bidirectionally. Interestingly, a plasma head with bright spots is formed in the hollow instantaneous cathode and moves towards its center part, and a plasma tail expands through the electrode gap and then forms a swallow tail in the instantaneous anode. The plasmas are in good axisymmetry when [O{sub 2}] ≤ 0.3%, but not for [O{sub 2}] ≥ 1%, and even behave in a stochastic manner when [O{sub 2}] = 3%. The antibacterial agents are charged species and reactive oxygen species, so their wall fluxes represent the “plasma dosage” for the sterilization. Such fluxes mainly act on the inner wall in the hollow electrode rather than that in the electrode gap, and they get to the maximum efficiency when the oxygen concentration is around 0.3%. It is estimated that one can reduce the electrode gap and enlarge the electrode width to achieve more homogenous and efficient antibacterial effect, which have benefits for sterilization applications.

  18. Preparation of hydrogenated-TiO2/Ti double layered thin films by water vapor plasma treatment

    International Nuclear Information System (INIS)

    Pranevicius, L.L.; Milcius, D.; Tuckute, S.; Gedvilas, K.

    2012-01-01

    Highlights: ► We investigated reaction of water plasma with nanocrystalline TiO 2 films. ► Simultaneous oxidation and hydrogenation of Ti was observed during plasma treatment. ► Water plasma treatment forms hydrogenated nanocrystalline TiO 2 in the shallow surface. - Abstract: We have investigated the structural and compositional variations in 200–500 nm thick Ti films deposited by magnetron sputter-deposition technique and treated in water vapor plasma at different processing powers. It was found that the upper layer of treated film with the thickness of 110 nm was changed into the black hydrogenated-TiO 2 with around 16 nm sized nanocystals during 10 min for dissipated power 200 W at room temperature. Analysis of the experimental results is used to obtain insights into the effects of water layer adsorbed on hydrophilic oxidized titanium surfaces exposed to plasma radiation.

  19. Investigation of the flatband voltage (V(FB)) shift of Al2O3 on N2 plasma treated Si substrate.

    Science.gov (United States)

    Kim, Hyungchul; Lee, Jaesang; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2013-09-01

    The relationships between the physical and electrical characteristics of films treated with N2 plasma followed by forming gas annealing (FGA) were investigated. The Si substrates were treated with various radio frequency (RF) power levels under a N2 ambient. Al2O3 films were then deposited on Si substrates via remote plasma atomic-layer deposition. The plasma characteristics, such as the radical and ion density, were investigated using optical emission spectroscopy. Through X-ray photoelectron spectroscopy, the chemical-bonding configurations of the samples treated with N2 plasma and FGA were examined. The quantity of Si-N bonds increased as the RF power was increased, and Si--O--N bonds were generated after FGA. The flatband voltage (VFB) was shifted in the negative direction with increasing RF power, but the VFB values of the samples after FGA shifted in the positive direction due to the formation of Si--O--N bonds. N2 plasma treatment with various RF power levels slightly increased the leakage current due to the generation of defect sites.

  20. Reusability enhancement of combustion synthesized MgO/MgAl_2O_4 nanocatalyst in biodiesel production by glow discharge plasma treatment

    International Nuclear Information System (INIS)

    Rahmani Vahid, Behgam; Haghighi, Mohammad; Alaei, Shervin; Toghiani, Javad

    2017-01-01

    Graphical abstract: MgO/MgAl_2O_4 nanocatalyst synthesized by impregnation/combustion methods and treated by plasma. FESEM, XRD, EDX, BET-BJH, TG and FTIR analyses were used to investigate the physicochemical characteristics of the nanocatalysts. The nanocatalysts were used in biodiesel production to evaluate and compare their activity. The obtained results from reactor test showed almost similar conversion (higher than 95%) for both treated and untreated nanocatalysts. However, in reusability performance, the plasma treated sample indicated better stability. - Highlights: • Efficient dispersion of MgO on combustion synthesized MgAl_2O_4 spinel nanocatalyst. • Enhanced effect of plasma treatment on nanocatalyst synthesis and its structure. • Successful production of biodiesel using nanocatalyst that treated with plasma. • Increasing the nanocatalyst reusability in biodiesel production by plasma treatment. - Abstract: In this study, plasma technology was used to prepare the catalysts for biodiesel production. The base of MgO/MgAl_2O_4 particles was prepared by combustion synthesis method to attain suitable porosity for large molecules of triglyceride and then active phase of MgO was dispersed on the samples by impregnation method. The nanocatalysts were characterized by XRD, FESEM, EDX, BET-BJH, FTIR, TGA and Particle size distribution analyses. In order to evaluation the catalytic activity of the samples in biodiesel production, the transesterification reaction was performed under these conditions: reaction temperature = 110 °C, methanol-to-oil molar ratio = 12, catalyst concentration = 3 wt.% and reaction time = 3 h. XRD and FTIR results confirm successful synthesis of MgO/MgAl_2O_4. Meanwhile, XRD and EDX analyses indicated that MgO in modified sample by plasma has suitable size distribution. FESEM and BET-BJH analyses reveal proper morphology in both samples and showed higher surface area and pore size in plasma treated sample. TG analysis showed that

  1. Chemical etching and polishing of InP

    International Nuclear Information System (INIS)

    Kurth, E.; Reif, A.; Gottschalch, V.; Finster, J.; Butter, E.

    1988-01-01

    This paper describes possibilities of several chemical preparations for the selective cleaning of InP surfaces. The investigations of the surface states after the chemical treatment were carried out by means of XPS measurements. A pre-etching with (NH 4 ) 2 S 2 O 8 :H 2 SO 4 :H 2 O and a polishing with 1% bromine in methanol produce optically smooth (100)-and (111) P surfaces free of oxides. (author)

  2. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  3. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  4. TiO2 Deposition on AZ31 Magnesium Alloy Using Plasma Electrolytic Oxidation

    Directory of Open Access Journals (Sweden)

    Leon White

    2013-01-01

    Full Text Available Plasma electrolytic oxidation (PEO has been used in the past as a useful surface treatment technique to improve the anticorrosion properties of Mg alloys by forming protective layer. Coatings were prepared on AZ31 magnesium alloy in phosphate electrolyte with the addition of TiO2 nanoparticles using plasma electrolytic oxidation (PEO. This present work focuses on developing a TiO2 functional coating to create a novel electrophotocatalyst while observing the surface morphology, structure, composition, and corrosion resistance of the PEO coating. Microstructural characterization of the coating was investigated by X-ray diffraction (XRD and scanning electron microscopy (SEM followed by image analysis and energy dispersive spectroscopy (EDX. The corrosion resistance of the PEO treated samples was evaluated with electrochemical impedance spectroscopy (EIS and DC polarization tests in 3.5 wt.% NaCl. The XRD pattern shows that the components of the oxide film include Mg from the substrate as well as MgO and Mg2TiO4 due to the TiO2 nanoparticle addition. The results show that the PEO coating with TiO2 nanoparticles did improve the corrosion resistance when compared to the AZ31 substrate alloy.

  5. Electrical, optical and etching properties of Zn-Sn-O thin films deposited by combinatorial sputtering

    International Nuclear Information System (INIS)

    Kim, J. S.; Park, J. K.; Baik, Y. J.; Kim, W. M.; Jeong, J.; Seong, T. Y.

    2012-01-01

    Zn-Sn-O (ZTO) films are known to be able to form an amorphous phase, which provides a smooth surface morphology as well as etched side wall, when deposited by using the conventional sputtering technique and, therefore, to have a potential to be applied as transparent thin film transistors. In this study, ZTO thin films were prepared by using combined sputtering of ZnO and SnO 2 targets, and the dependences of their electrical and optical properties on the composition and the deposition parameters were examined. The Sn content in the films was varied in the range of 35 ∼ 85 at .%. The deposition was carried out at room temperature, 150 and 300 .deg. C, and the oxygen content in sputtering gas was varied from 0 to 1 vol.%. Sn-rich films had better electrical properties, but showed large oxygen deficiency when deposited at low oxygen partial pressures. ZTO films with Sn contents lower than 55 at.% had good optical transmission, but the electrical properties were poor due to very low carrier concentrations. A high Hall mobility of larger than 10 cm 2 /Vs could be obtained in the carrier density range 10 17 ∼ 10 20 cm -3 , and the etching rate was measurable for films with Sn content up to 70 at.% when using a dilute HCl solution, indicating a good possibility of utilizing ZTO films for device applications.

  6. Evaluation of silicon-chemiluminescence monitoring as a novel method for atomic fluorine determination and end point detection in plasma etch systems

    NARCIS (Netherlands)

    Zijlstra, P.A.; Beenakker, C.I.M.

    1981-01-01

    Optical methods for the detection of atomic fluorine in plasma etch systems are discussed and an experimental comparison is made between detection by optical emission and by a novel method based on the chemiluminescence from solid silicon in the presence of atomic fluorine. Although both methods

  7. Volatile organic compounds emission control in industrial pollution source using plasma technology coupled with F-TiO2/γ-Al2O3.

    Science.gov (United States)

    Zhu, Tao; Chen, Rui; Xia, Ni; Li, Xiaoyang; He, Xianxian; Zhao, Wenjuan; Carr, Tim

    2015-01-01

    Volatile organic compounds' (VOCs) effluents, which come from many industries, are triggering serious environmental problems. As an emerging technology, non-thermal plasma (NTP) technology is a potential technology for VOCs emission control. NTP coupled with F-TiO2/γ-Al2O3 is used for toluene removal from a gaseous influent at normal temperature and atmospheric pressure. NTP is generated by dielectric barrier discharge, and F-TiO2/γ-Al2O3 can be prepared by sol-gel method in the laboratory. In the experiment, the different packed materials were packed into the plasma reactor, including γ-Al2O3, TiO2/γ-Al2O3 and F-TiO2/γ-Al2O3. Through a series of characterization methods such as X-ray diffraction, scanning electronic microscopy and Brunner-Emmet-Teller measurements, the results show that the particle size distribution of F-TiO2 is relatively smaller than that of TiO2, and the pore distribution of F-TiO2 is more uniformly distributed than that of TiO2. The relationships among toluene removal efficiency, reactor input energy density, and the equivalent capacitances of air gap and dielectric barrier layer were investigated. The results show that the synergistic technology NTP with F-TiO2/γ-Al2O3 resulted in greater enhancement of toluene removal efficiency and energy efficiency. Especially, when packing with F-TiO2/γ-Al2O3 in NTP reactor, toluene removal efficiency reaches 99% and higher. Based on the data analysis of Fourier Transform Infrared Spectroscopy, the experimental results showed that NTP reactor packed with F-TiO2/γ-Al2O3 resulted in a better inhibition for by-products formation effectively in the gas exhaust.

  8. Improved performance of AlGaN/GaN HEMT by N2O plasma pre-treatment

    International Nuclear Information System (INIS)

    Mi Min-Han; Zhang Kai; Zhao Sheng-Lei; Wang Chong; Zhang Jin-Cheng; Ma Xiao-Hua; Hao Yue

    2015-01-01

    The influence of an N 2 O plasma pre-treatment technique on characteristics of AlGaN/GaN high electron mobility transistor (HEMT) prepared by using a plasma-enhanced chemical vapor deposition (PECVD) system is presented. After the plasma treatment, the peak transconductance (g m ) increases from 209 mS/mm to 293 mS/mm. Moreover, it is observed that the reverse gate leakage current is lowered by one order of magnitude and the drain current dispersion is improved in the plasma-treated device. From the analysis of frequency-dependent conductance, it can be seen that the trap state density (D T ) and time constant (τ T ) of the N 2 O-treated device are smaller than those of a non-treated device. The results indicate that the N 2 O plasma pre-pretreatment before the gate metal deposition could be a promising approach to enhancing the performance of the device. (paper)

  9. In-situ monitoring of etching of bovine serum albumin using low-temperature atmospheric plasma jet

    Science.gov (United States)

    Kousal, J.; Shelemin, A.; Kylián, O.; Slavínská, D.; Biederman, H.

    2017-01-01

    Bio-decontamination of surfaces by means of atmospheric pressure plasma is nowadays extensively studied as it represents promising alternative to commonly used sterilization/decontamination techniques. The non-equilibrium atmospheric pressure plasmas were already reported to be highly effective in removal of a wide range of biological residual from surfaces. Nevertheless the kinetics of removal of biological contamination from surfaces is still not well understood as the majority of performed studies were based on ex-situ evaluation of etching rates, which did not allow investigating details of plasma action on biomolecules. This study therefore presents a real-time, in-situ ellipsometric characterization of removal of bovine serum albumin (BSA) from surfaces by low-temperature atmospheric plasma jet operated in argon. Non-linear and at shorter distances between treated samples and nozzle of the plasma jet also non-monotonic dependence of the removal rate on the treatment duration was observed. According to additional measurements focused on the determination of chemical changes of treated BSA as well as temperature measurements, the observed behavior is most likely connected with two opposing effects: the formation of a thin layer on the top of BSA deposit enriched in inorganic compounds, whose presence causes a gradual decrease of removal efficiency, and slight heating of BSA that facilitates its degradation and volatilization induced by chemically active radicals produced by the plasma.

  10. Effects of O2 and H2O plasma immersion ion implantation on surface chemical composition and surface energy of poly vinyl chloride

    International Nuclear Information System (INIS)

    Zhang Wei; Chu, Paul K.; Ji Junhui; Zhang, Yihe; Jiang Zhimin

    2006-01-01

    Oxygen and water plasma immersion ion implantation (PIII) was used to modify poly vinyl chloride (PVC) to enhance oxygen-containing surface functional groups for more effective grafting. The modified surfaces were characterized by X-ray photoelectron spectroscopy (XPS), attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR), and contact angle measurements. Our experimental results show that both oxygen and water PIII can greatly improve the O to C ratios on the surface. The optimal plasma processing conditions differ for the two treatments. The hydrophilicity and surface energy of the plasma-implanted PVC are also improved significantly. Our results indicate that O 2 and H 2 O PIII increase both the polar and dispersion interactions and consequently the surface energy. It can be explained by the large amount of oxygen introduced to the surface and that many C-C bonds are transformed into more polar oxygen containing functional groups

  11. Microchannel-flowed-plasma modification of octadecyltrichlorosilane self-assembled-monolayers for liquid crystal alignment

    International Nuclear Information System (INIS)

    Zheng, W.; Chiang, C.-Y.; Underwood, I.

    2013-01-01

    We report that a chemical patterning technique based on local plasma modification of self-assembled monolayers has been utilized to fabricate surfaces for domain liquid crystal alignment. Highly hydrophobic octadecyltrichlorosilane monolayers deposited on glass substrates coated with Indium-Tin-Oxide were brought into contact with elastomeric stamps comprising trenches on a micro scale, and then exposed to an oxygen plasma. In the regions exposed to the plasma the monolayer was etched away leaving a patterned surface that exhibited surface energy differences between surface domains. The surfaces that bear the micropatterns have been shown to be capable of producing patterned alignment of nematic liquid crystal. - Highlights: • Chemical surface-patterning is used to fabricate liquid crystal alignment surface. • Highly hydrophobic octadecyltrichlorosilane monolayer is deposited on substrate. • O 2 plasma flow is used to etch the monolayer to form patterned surface. • The patterned surface exhibits surface energy differences between surface domains. • The surface borne the micropatterns is capable of domain liquid crystal alignment

  12. Spark plasma sintering and microwave electromagnetic properties of MnFe{sub 2}O{sub 4} ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Penchal Reddy, M., E-mail: drlpenchal@gmail.com [Center for Advanced Materials, Qatar University, Doha 2713 (Qatar); Mohamed, A.M.A. [Center for Advanced Materials, Qatar University, Doha 2713 (Qatar); Department of Metallurgical and Materials Engineering, Faculty of Petroleum and Mining Engineering, Suez University, Suez 4372 (Egypt); Venkata Ramana, M. [Department of Physics, National Taiwan Normal University, Taipei 11677, Taiwan (China); Zhou, X.B.; Huang, Q. [Division of Functional Materials and Nanodevices, Ningbo Institute of Materials Technology and Engineering, Ningbo 315201 (China)

    2015-12-01

    MnFe{sub 2}O{sub 4} ferrite powder was synthesized by a facile one-pot hydrothermal route and then consolidated into dense nanostructured compacts by the spark plasma sintering (SPS) technique. The effect of sintering temperature, on densification, morphology, magnetic and microwave absorption properties was examined. Spark plasma sintering resulted in uniform microstructure, as well as maximum relative density of 98%. The magnetic analysis indicated that the MnFe{sub 2}O{sub 4} ferrite nanoparticles showed ferrimagnetic behavior. Moreover, the dielectric loss and magnetic loss properties of MnFe{sub 2}O{sub 4} ferrite nanoparticles were both enhanced due to its better dipole polarization, interfacial polarization and shape anisotropy. It is believed that such spark plasma sintered ceramic material will be applied widely in microwave absorbing area. - Highlights: • Successful synthesis of dense MnFe{sub 2}O{sub 4} ceramics using spark plasma sintering. • Lower temperature and shorter sintering time, compared to conventional methods. • Optimal sintering condition was achieved. • The magnetic properties of the sintered samples are sensitive to the density and microstructure.

  13. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    International Nuclear Information System (INIS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-01-01

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co_3O_4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co_3O_4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  14. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do-Hyun, E-mail: nanotube@korea.ac.kr [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Minwoo; Ye, Bora [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Jang, Ho-Kyun; Kim, Gyu Tae [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Dong-Jin [New Functional Components Research Team, Korea Institute of Footware & Leather Technology, 152 Danggamseo-ro, Busanjin-gu, Busan 614-100 (Korea, Republic of); Kim, Eok-Soo [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Kim, Hong Dae, E-mail: hdkim@kitech.re.kr [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of)

    2017-04-30

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co{sub 3}O{sub 4}, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co{sub 3}O{sub 4} and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  15. Toward reliable morphology assessment of thermosets via physical etching: Vinyl ester resin as an example

    Directory of Open Access Journals (Sweden)

    J. Karger-Kocsis

    2013-05-01

    Full Text Available The morphology of peroxide-cured, styrene crosslinked, bisphenol A-based vinyl ester (VE resin was investigated by atomic force microscopy (AFM after ‘physical’ etching with different methods. Etching was achieved by laser ablation, atmospheric plasma treatment and argon ion bombardment. Parameters of the etching were varied to get AFM scans of high topography resolution. VE exhibited a nanoscaled nodular structure the formation of which was ascribed to complex intra- and intermolecular reactions during crosslinking. The microstructure resolved after all the above physical etching techniques was similar provided that optimized etching and suitable AFM scanning conditions were selected. Nevertheless, with respect to the ‘morphology visualization’ these methods follow the power ranking: argon bombardment > plasma treatment > laser ablation.

  16. Fabrication of porous silicon nanowires by MACE method in HF/H2O2/AgNO3 system at room temperature

    Science.gov (United States)

    2014-01-01

    In this paper, the moderately and lightly doped porous silicon nanowires (PSiNWs) were fabricated by the ‘one-pot procedure’ metal-assisted chemical etching (MACE) method in the HF/H2O2/AgNO3 system at room temperature. The effects of H2O2 concentration on the nanostructure of silicon nanowires (SiNWs) were investigated. The experimental results indicate that porous structure can be introduced by the addition of H2O2 and the pore structure could be controlled by adjusting the concentration of H2O2. The H2O2 species replaces Ag+ as the oxidant and the Ag nanoparticles work as catalyst during the etching. And the concentration of H2O2 influences the nucleation and motility of Ag particles, which leads to formation of different porous structure within the nanowires. A mechanism based on the lateral etching which is catalyzed by Ag particles under the motivation by H2O2 reduction is proposed to explain the PSiNWs formation. PMID:24910568

  17. Surface modification and stability of detonation nanodiamonds in microwave gas discharge plasma

    Energy Technology Data Exchange (ETDEWEB)

    Stanishevsky, Andrei V., E-mail: astan@uab.edu; Walock, Michael J.; Catledge, Shane A.

    2015-12-01

    Graphical abstract: - Highlights: • Single and binary gas plasma modification of nanodiamond powders studied. • Temperature-dependent effect of N{sub 2} and N{sub 2}/H{sub 2} plasma reported for the first time. • Role of H{sub 2} in H{sub 2}/N{sub 2} and H{sub 2}/O{sub 2} plasma modification of nanodiamond discussed. - Abstract: Detonation nanodiamonds (DND), with low hydrogen content, were exposed to microwave plasma generated in pure H{sub 2}, N{sub 2}, and O{sub 2} gases and their mixtures, and investigated using X-ray diffraction (XRD), Fourier Transform Infrared (FTIR), Raman, and X-ray photoelectron spectroscopies. Considerable alteration of the DND surface was observed under the plasma conditions for all used gases, but the diamond structure of the DND particle core was preserved in most cases. The stabilizing effect of H{sub 2} in H{sub 2}/N{sub 2} and H{sub 2}/O{sub 2} binary gas plasmas on the DND structure and the temperature-dependent formation of various CNH{sub x} surface groups in N{sub 2} and H{sub 2}/N{sub 2} plasmas were observed and discussed for the first time. DND surface oxidation and etching were the main effects of O{sub 2} plasma, whereas the N{sub 2} plasma led to DND surfaces rich in amide groups below 1073 K and nitrile groups at higher temperatures. Noticeable graphitization of the DND core structure was detected only in N{sub 2} plasma when the substrate temperature was above 1103 K.

  18. Room-temperature aqueous plasma electrolyzing Al2O3 nano-coating on carbon fiber

    Science.gov (United States)

    Zhang, Yuping; Meng, Yang; Shen, Yonghua; Chen, Weiwei; Cheng, Huanwu; Wang, Lu

    2017-10-01

    A novel room-temperature aqueous plasma electrolysis technique has been developed in order to prepared Al2O3 nano-coating on each fiber within a carbon fiber bundle. The microstructure and formation mechanism of the Al2O3 nano-coating were systematically investigated. The oxidation resistance and tensile strength of the Al2O3-coated carbon fiber was measured at elevated temperatures. It showed that the dense Al2O3 nano-coating was relatively uniformly deposited with 80-120 nm in thickness. The Al2O3 nano-coating effectively protected the carbon fiber, evidenced by the slower oxidation rate and significant increase of the burn-out temperature from 800 °C to 950 °C. Although the bare carbon fiber remained ∼25 wt.% after oxidation at 700 °C for 20 min, a full destruction was observed, evidenced by the ∼0 GPa of the tensile strength, compared to ∼1.3 GPa of the Al2O3-coated carbon fiber due to the effective protection from the Al2O3 nano-coating. The formation mechanism of the Al2O3 nano-coating on carbon fiber was schematically established mainly based on the physic-chemical effect in the cathodic plasma arc zone.

  19. Argon-plasma-controlled optical reset in the SiO2/Cu filamentary resistive memory stack

    Science.gov (United States)

    Kawashima, T.; Yew, K. S.; Zhou, Y.; Ang, D. S.; Zhang, H. Z.; Kyuno, K.

    2018-05-01

    We show that resistive switching in the SiO2/Cu stack can be modified by a brief exposure of the oxide to an Ar plasma. The set voltage of the SiO2/Cu stack is reduced by 33%, while the breakdown voltage of the SiO2/Si stack (control) is almost unchanged. Besides, the Ar plasma treatment suppresses the negative photoconductivity or optical resistance reset effect, where the electrically formed filamentary conductive path consisting of Cu-ion and oxygen-vacancy clusters is disrupted by the recombination of the oxygen vacancies with nearby light-excited oxygen ions. From the enhanced O-H peak in the Fourier-transform infrared spectrum of the plasma-treated oxide, it is proposed that the Ar plasma has created more oxygen vacancies in the surface region of the oxide. These vacancies in turn adsorb water molecules, which act as counter anions (OH-) promoting the migration of Cu cations into the oxide and forming a more complete Cu filament that is less responsive to light. The finding points to the prospect of a control over the optical resistance reset effect by a simple surface treatment step.

  20. Physics of plasma etching and plasma deposition

    NARCIS (Netherlands)

    Schram, D.C.; Hoog, de F.J.; Bisschops, T.J.; Kroesen, G.M.W.; Howorka, F.; Lindinger, W.; Maerk, T.D.

    1986-01-01

    The kinetics and mechanism of the title processes are discussed on the basis of a model in which the plasma-surface system is subdivided into 5 regions: (I) plasma prodn., (II) plasma flow plus radicals, (III) gas adsorbed layer, (IV) modified surface, and (V) undisturbed solid (or liq.) state.