WorldWideScience

Sample records for novo design synthesis

  1. Glucagon infusion increases rate of purine synthesis de novo in rat liver

    International Nuclear Information System (INIS)

    Itakura, Mitsuo; Maeda, Noriaki; Tsuchiya, Masami; Yamashita, Kamejiro

    1987-01-01

    Based on the parallel increases of glucagon, the second peak of hepatic cAMP, and the rate of purine synthesis de novo in the prereplicative period in regenerating rate liver after a 70% hepatectomy, it was hypothesized that glucagon is responsible for the increased rate of purine synthesis de novo. To test this hypothesis, the effect of glucagon or dibutyryl cAMP infusion on the rate of purine synthesis de novo in rat liver was studied. Glucagon infusion but not insulin or glucose infusion increased the rate of purine synthesis de novo, which was assayed by [ 14 C]glycine or [ 14 C]formate incorporation, by 2.7- to 4.3-fold. Glucagon infusion increased cAMP concentrations by 4.9-fold and 5-phosphoribosyl-1-pyrophosphate concentrations by 1.5-fold in liver but did not change the specific activity of amidophosphoribosyltransferase or purine ribonucleotide concentrations. Dibutyryl cAMP infusion also increased the rate of purine synthesis de novo by 2.2- to 4.0-fold. Because glucagon infusion increased the rate of purine synthesis de novo in the presence of unchanged purine ribonucleotide concentrations, it is concluded that glucagon after infusion or in animals after a 70% hepatectomy is playing an anabolic role to increase the rate of purine synthesis de novo by increasing cAMP and 5-phosphoribosyl-1-pyrophosphate concentrations

  2. A Pareto Algorithm for Efficient De Novo Design of Multi-functional Molecules.

    Science.gov (United States)

    Daeyaert, Frits; Deem, Micheal W

    2017-01-01

    We have introduced a Pareto sorting algorithm into Synopsis, a de novo design program that generates synthesizable molecules with desirable properties. We give a detailed description of the algorithm and illustrate its working in 2 different de novo design settings: the design of putative dual and selective FGFR and VEGFR inhibitors, and the successful design of organic structure determining agents (OSDAs) for the synthesis of zeolites. We show that the introduction of Pareto sorting not only enables the simultaneous optimization of multiple properties but also greatly improves the performance of the algorithm to generate molecules with hard-to-meet constraints. This in turn allows us to suggest approaches to address the problem of false positive hits in de novo structure based drug design by introducing structural and physicochemical constraints in the designed molecules, and by forcing essential interactions between these molecules and their target receptor. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. De novo synthesis of adenine nucleotides in different skeletal muscle fiber types

    International Nuclear Information System (INIS)

    Tullson, P.C.; John-Alder, H.B.; Hood, D.A.; Terjung, R.L.

    1988-01-01

    Management of adenine nucleotide catabolism differs among skeletal muscle fiber types. This study evaluated whether there are corresponding differences in the rates of de novo synthesis of adenine nucleotide among fiber type sections of skeletal muscle using an isolated perfused rat hindquarter preparation. Label incorporation into adenine nucleotides from the [1-14C]glycine precursor was determined and used to calculate synthesis rates based on the intracellular glycine specific radioactivity. Results show that intracellular glycine is closely related to the direct precursor pool. Rates of de novo synthesis were highest in fast-twitch red muscle (57.0 +/- 4.0, 58.2 +/- 4.4 nmol.h-1.g-1; deep red gastrocnemius and vastus lateralis), relatively high in slow-twitch red muscle (47.0 +/- 3.1; soleus), and low in fast-twitch white muscle (26.1 +/- 2.0 and 21.6 +/- 2.3; superficial white gastrocnemius and vastus lateralis). Rates for four mixed muscles were intermediate, ranging between 32.3 and 37.3. Specific de novo synthesis rates exhibited a strong correlation (r = 0.986) with muscle section citrate synthase activity. Turnover rates (de novo synthesis rate/adenine nucleotide pool size) were highest in high oxidative muscle (0.82-1.06%/h), lowest in low oxidative muscle (0.30-0.35%/h), and intermediate in mixed muscle (0.44-0.55%/h). Our results demonstrate that differences in adenine nucleotide management among fiber types extends to the process of de novo adenine nucleotide synthesis

  4. De Novo Glutamine Synthesis

    Science.gov (United States)

    He, Qiao; Shi, Xinchong; Zhang, Linqi; Yi, Chang; Zhang, Xuezhen

    2016-01-01

    Purpose: The aim of this study was to investigate the role of de novo glutamine (Gln) synthesis in the proliferation of C6 glioma cells and its detection with 13N-ammonia. Methods: Chronic Gln-deprived C6 glioma (0.06C6) cells were established. The proliferation rates of C6 and 0.06C6 cells were measured under the conditions of Gln deprivation along with or without the addition of ammonia or glutamine synthetase (GS) inhibitor. 13N-ammonia uptake was assessed in C6 cells by gamma counting and in rats with C6 and 0.06C6 xenografts by micro–positron emission tomography (PET) scanning. The expression of GS in C6 cells and xenografts was assessed by Western blotting and immunohistochemistry, respectively. Results: The Gln-deprived C6 cells showed decreased proliferation ability but had a significant increase in GS expression. Furthermore, we found that low concentration of ammonia was sufficient to maintain the proliferation of Gln-deprived C6 cells, and 13N-ammonia uptake in C6 cells showed Gln-dependent decrease, whereas inhibition of GS markedly reduced the proliferation of C6 cells as well as the uptake of 13N-ammoina. Additionally, microPET/computed tomography exhibited that subcutaneous 0.06C6 xenografts had higher 13N-ammonia uptake and GS expression in contrast to C6 xenografts. Conclusion: De novo Gln synthesis through ammonia–glutamate reaction plays an important role in the proliferation of C6 cells. 13N-ammonia can be a potential metabolic PET tracer for Gln-dependent tumors. PMID:27118759

  5. De novo design, synthesis, and in vitro activity of LFA-1 antagonists based on a bicyclic[5.5]hydantoin scaffold.

    Science.gov (United States)

    Potin, Dominique; Launay, Michele; Nicolai, Eric; Fabreguette, Maud; Malabre, Patrice; Caussade, François; Besse, Dominique; Skala, Stacey; Stetsko, Dawn K; Todderud, Gordon; Beno, Brett R; Cheney, Daniel L; Chang, Chiehying J; Sheriff, Steven; Hollenbaugh, Diane L; Barrish, Joel C; Iwanowicz, Edwin J; Suchard, Suzanne J; Dhar, T G Murali

    2005-02-15

    LFA-1 (leukocyte function-associated antigen-1), is a member of the beta(2)-integrin family and is expressed on all leukocytes. The LFA-1/ICAM interaction promotes tight adhesion between activated leukocytes and the endothelium, as well as between T cells and antigen-presenting cells. Evidence from both animal models and clinical trials provides support for LFA-1 as a target in several different inflammatory diseases. This paper describes the de novo design, synthesis and in vitro activity of LFA-1 antagonists based on a bicyclic[5.5]hydantoin scaffold.

  6. A de novo designed monomeric, compact three helix bundle protein on a carbohydrate template

    DEFF Research Database (Denmark)

    Malik, Leila; Nygård, Jesper; Christensen, Niels Johan

    2015-01-01

    De novo design and chemical synthesis of proteins and of other artificial structures, which mimic them, is a central strategy for understanding protein folding and for accessing proteins with novel functions. We have previously described carbohydrates as templates for the assembly of artificial...... the template could facilitate protein folding. Here we report the design and synthesis of 3-helix bundle carboproteins on deoxy-hexopyranosides. The carboproteins were analyzed by CD, AUC, SAXS, and NMR, which revealed the formation of the first compact, and folded monomeric carboprotein distinctly different...

  7. De novo synthesis of purine nucleotides in different fiber types of rat skeletal muscle

    International Nuclear Information System (INIS)

    Tullson, P.C.; John-Alder, H.; Hood, D.A.; Terjung, R.L.

    1986-01-01

    The contribution of de novo purine nucleotide synthesis to nucleotide metabolism in skeletal muscles is not known. The authors have determined rates of de novo synthesis in soleus (slow-twitch red), red gastrocnemius (fast-twitch red), and white gastrocnemius (fast-twitch white) using the perfused rat hindquarter. 14 C glycine incorporation into ATP was linear after 1 and 2 hours of perfusion with 0.2 mM added glycine. The intracellular (I) and extracellular (E) specific activity of 14 C glycine was determined by HPLC of phenylisothiocyanate derivatives of neutralized PCA extracts. The rates of de novo synthesis when expressed relative to muscle ATP content show slow and fast-twitch red muscles to be similar and about twice as great as fast-twitch white muscles. This could represent a greater turnover of the adenine nucleotide pool in more oxidative red muscle types

  8. Massively parallel de novo protein design for targeted therapeutics

    KAUST Repository

    Chevalier, Aaron

    2017-09-26

    De novo protein design holds promise for creating small stable proteins with shapes customized to bind therapeutic targets. We describe a massively parallel approach for designing, manufacturing and screening mini-protein binders, integrating large-scale computational design, oligonucleotide synthesis, yeast display screening and next-generation sequencing. We designed and tested 22,660 mini-proteins of 37-43 residues that target influenza haemagglutinin and botulinum neurotoxin B, along with 6,286 control sequences to probe contributions to folding and binding, and identified 2,618 high-affinity binders. Comparison of the binding and non-binding design sets, which are two orders of magnitude larger than any previously investigated, enabled the evaluation and improvement of the computational model. Biophysical characterization of a subset of the binder designs showed that they are extremely stable and, unlike antibodies, do not lose activity after exposure to high temperatures. The designs elicit little or no immune response and provide potent prophylactic and therapeutic protection against influenza, even after extensive repeated dosing.

  9. Massively parallel de novo protein design for targeted therapeutics

    KAUST Repository

    Chevalier, Aaron; Silva, Daniel-Adriano; Rocklin, Gabriel J.; Hicks, Derrick R.; Vergara, Renan; Murapa, Patience; Bernard, Steffen M.; Zhang, Lu; Lam, Kwok-Ho; Yao, Guorui; Bahl, Christopher D.; Miyashita, Shin-Ichiro; Goreshnik, Inna; Fuller, James T.; Koday, Merika T.; Jenkins, Cody M.; Colvin, Tom; Carter, Lauren; Bohn, Alan; Bryan, Cassie M.; Ferná ndez-Velasco, D. Alejandro; Stewart, Lance; Dong, Min; Huang, Xuhui; Jin, Rongsheng; Wilson, Ian A.; Fuller, Deborah H.; Baker, David

    2017-01-01

    De novo protein design holds promise for creating small stable proteins with shapes customized to bind therapeutic targets. We describe a massively parallel approach for designing, manufacturing and screening mini-protein binders, integrating large-scale computational design, oligonucleotide synthesis, yeast display screening and next-generation sequencing. We designed and tested 22,660 mini-proteins of 37-43 residues that target influenza haemagglutinin and botulinum neurotoxin B, along with 6,286 control sequences to probe contributions to folding and binding, and identified 2,618 high-affinity binders. Comparison of the binding and non-binding design sets, which are two orders of magnitude larger than any previously investigated, enabled the evaluation and improvement of the computational model. Biophysical characterization of a subset of the binder designs showed that they are extremely stable and, unlike antibodies, do not lose activity after exposure to high temperatures. The designs elicit little or no immune response and provide potent prophylactic and therapeutic protection against influenza, even after extensive repeated dosing.

  10. Massively parallel de novo protein design for targeted therapeutics

    Science.gov (United States)

    Chevalier, Aaron; Silva, Daniel-Adriano; Rocklin, Gabriel J.; Hicks, Derrick R.; Vergara, Renan; Murapa, Patience; Bernard, Steffen M.; Zhang, Lu; Lam, Kwok-Ho; Yao, Guorui; Bahl, Christopher D.; Miyashita, Shin-Ichiro; Goreshnik, Inna; Fuller, James T.; Koday, Merika T.; Jenkins, Cody M.; Colvin, Tom; Carter, Lauren; Bohn, Alan; Bryan, Cassie M.; Fernández-Velasco, D. Alejandro; Stewart, Lance; Dong, Min; Huang, Xuhui; Jin, Rongsheng; Wilson, Ian A.; Fuller, Deborah H.; Baker, David

    2018-01-01

    De novo protein design holds promise for creating small stable proteins with shapes customized to bind therapeutic targets. We describe a massively parallel approach for designing, manufacturing and screening mini-protein binders, integrating large-scale computational design, oligonucleotide synthesis, yeast display screening and next-generation sequencing. We designed and tested 22,660 mini-proteins of 37–43 residues that target influenza haemagglutinin and botulinum neurotoxin B, along with 6,286 control sequences to probe contributions to folding and binding, and identified 2,618 high-affinity binders. Comparison of the binding and non-binding design sets, which are two orders of magnitude larger than any previously investigated, enabled the evaluation and improvement of the computational model. Biophysical characterization of a subset of the binder designs showed that they are extremely stable and, unlike antibodies, do not lose activity after exposure to high temperatures. The designs elicit little or no immune response and provide potent prophylactic and therapeutic protection against influenza, even after extensive repeated dosing. PMID:28953867

  11. de novo computational enzyme design.

    Science.gov (United States)

    Zanghellini, Alexandre

    2014-10-01

    Recent advances in systems and synthetic biology as well as metabolic engineering are poised to transform industrial biotechnology by allowing us to design cell factories for the sustainable production of valuable fuels and chemicals. To deliver on their promises, such cell factories, as much as their brick-and-mortar counterparts, will require appropriate catalysts, especially for classes of reactions that are not known to be catalyzed by enzymes in natural organisms. A recently developed methodology, de novo computational enzyme design can be used to create enzymes catalyzing novel reactions. Here we review the different classes of chemical reactions for which active protein catalysts have been designed as well as the results of detailed biochemical and structural characterization studies. We also discuss how combining de novo computational enzyme design with more traditional protein engineering techniques can alleviate the shortcomings of state-of-the-art computational design techniques and create novel enzymes with catalytic proficiencies on par with natural enzymes. Copyright © 2014 Elsevier Ltd. All rights reserved.

  12. Demonstration of de novo synthesis of enzymes by density labelling with stable isotopes

    International Nuclear Information System (INIS)

    Huebner, G.; Hirschberg, K.

    1977-01-01

    The technique of in vivo density labelling of proteins with H 2 18 O and 2 H 2 O has been used to investigate hormonal regulation and developmental expression of enzymes in plant cells. Buoyant density data obtained from isopycnic equilibrium centrifugation demonstrated that the cytokinine-induced nitrate reductase activity and the gibberellic acid-induced phosphatase activity in isolated embryos of Agrostemma githago are activities of enzymes synthesized de novo. The increase in alanine-specific aminopeptidase in germinating A. githago seeds is not due to de novo synthesis but to the release of preformed enzyme. On the basis of this result it is possible to apply the enzyme aminopeptidase as an internal density standard in equilibrium centrifugation. Density labelling experiments on proteins in pea cotyledons have been used to study the change in the activity of acid phosphatase, alanine-specific aminopeptidase, and peroxidase during germination. The activities of these enzymes increase in cotyledons of Pisum sativum. Density labelling by 18 O and 2 H demonstrates de novo synthesis of these three enzymes. The differential time course of enzyme induction shows the advantage of using H 2 18 O as labelling substance in cases when the enzyme was synthesized immediately at the beginning of germination. At this stage of development the amino-acid pool available for synthesis is formed principally by means of hydrolysis of storage proteins. The incorporation of 2 H into the new proteins takes place in a measurable amount at a stage of growth in which the amino acids are also synthesized de novo. The enzyme acid phosphatase of pea cotyledons was chosen to demonstrate the possibility of using the density labelling technique to detect protein turnover. (author)

  13. Trans-10, cis-12 conjugated linoleic acid decreases de novo lipid synthesis in human adipocytes

    DEFF Research Database (Denmark)

    Obsen, Thomas; Faergeman, Nils J; Chung, Soonkyu

    2012-01-01

    7-12 h, respectively. The mRNA levels of liver X receptor (LXR)α and sterol regulatory element binding protein (SREBP)-1c, transcription factors that regulate SCD-1, were decreased by 10,12 CLA within 5 h. These data suggest that the isomer-specific decrease in de novo lipid synthesis by 10,12 CLA......]-oleic or [(14)C]-linoleic acids. When using [(14)C]-acetic acid and [(14)C]-pyruvic acid as substrates, 30 μM 10,12 CLA, but not 9,11 CLA, decreased de novo synthesis of triglyceride, free FA, diacylglycerol, cholesterol esters, cardiolipin, phospholipids and ceramides within 3-24 h. Treatment with 30 μM 10...... is due, in part, to the rapid repression of lipogenic transcription factors that regulate MUFA synthesis, suggesting an anti-obesity mechanism unique to this trans FA....

  14. Generative Recurrent Networks for De Novo Drug Design.

    Science.gov (United States)

    Gupta, Anvita; Müller, Alex T; Huisman, Berend J H; Fuchs, Jens A; Schneider, Petra; Schneider, Gisbert

    2018-01-01

    Generative artificial intelligence models present a fresh approach to chemogenomics and de novo drug design, as they provide researchers with the ability to narrow down their search of the chemical space and focus on regions of interest. We present a method for molecular de novo design that utilizes generative recurrent neural networks (RNN) containing long short-term memory (LSTM) cells. This computational model captured the syntax of molecular representation in terms of SMILES strings with close to perfect accuracy. The learned pattern probabilities can be used for de novo SMILES generation. This molecular design concept eliminates the need for virtual compound library enumeration. By employing transfer learning, we fine-tuned the RNN's predictions for specific molecular targets. This approach enables virtual compound design without requiring secondary or external activity prediction, which could introduce error or unwanted bias. The results obtained advocate this generative RNN-LSTM system for high-impact use cases, such as low-data drug discovery, fragment based molecular design, and hit-to-lead optimization for diverse drug targets. © 2017 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA.

  15. Organ-Specific Alterations in Fatty Acid De Novo Synthesis and Desaturation in a Rat Model of Programmed Obesity

    Directory of Open Access Journals (Sweden)

    Desai Mina

    2011-05-01

    Full Text Available Abstract Background Small for gestational age (SGA leads to increased risk of adult obesity and metabolic syndrome. Offspring exposed to 50% maternal food restriction in utero are born smaller than Controls (FR, catch-up in growth by the end of the nursing period, and become obese adults. The objective of the study was to determine stearoyl-CoA desaturase activity (SCD1 and rates of de novo fatty acid synthesis in young FR and Control offspring tissues at the end of the nursing period, as possible contributors to catch-up growth. Methods From gestational day 10 to term, dams fed ad libitum (Control or were 50% food-restricted to produce small FR pups. Control dams nursed all pups. At postnatal day 1 (p1 and p21, offspring body tissues were analyzed by GC/MS, and desaturation indices of palmitoleate/palmitate and oleate/stearate were calculated. SCD1 gene expression was determined by real-time PCR on adipose and liver. Offspring were enriched with deuterium that was given to dams in drinking water during lactation and de novo synthesis of offspring body tissues was determined at p21. Primary adipocyte cell cultures were established at p21 and exposed to U13C-glucose. Results FR offspring exhibited higher desaturation index in p1 and p21 adipose tissue, but decreased desaturation index in liver at p21. SCD1 gene expression at p21 was correspondingly increased in adipose and decreased in liver. FR subcutaneous fat demonstrated increased de novo synthesis at p21. Primary cell cultures exhibited increased de novo synthesis in FR. Conclusions Adipose tissue is the first site to exhibit increased de novo synthesis and desaturase activity in FR. Therefore, abnormal lipogenesis is already present prior to onset of obesity during the period of catch-up growth. These abnormalities may contribute to future obesity development.

  16. The induction of the oxidative burst in Elodea densa by sulfhydryl reagent does not depend on de novo protein synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Amicucci, Enrica [Milan, Univ. (Italy). Dipt. di Fisiologia e Biochimica delle Piante

    1997-12-31

    In Elodea densa Planchon leaves, N-ethylmaleimide (NEM) and other sulfhydryl-binding reagents induce a marked and temporary increase of respiration that is insensitive to cyanide, hydroxamate and propylgallate and completely inhibited by diphenylene iodonium (DPI) and by quinacrine. In this paper the author investigates whether the mechanism that causes the oxidative burst depends on the activation of preexisting oxidative systems or on the activation of de novo protein synthesis. The inhibitors used were cycloheximide (CHI) which inhibits protein synthesis in plant cells by depressing the incorporation of aminoacids into proteins and cordycepin, an effective inhibitor of mRNA synthesis. The data support the idea that the mechanism investigated depends on the activation of a long lived protein(s) and not on de novo protein synthesis.

  17. Effects of exogenous fatty acids and inhibition of de novo fatty acid synthesis on disaturated phosphatidylcholine production by fetal lung cells and adult type II cells.

    Science.gov (United States)

    Maniscalco, W M; Finkelstein, J N; Parkhurst, A B

    1989-05-01

    De novo fatty acid synthesis may be an important source of saturated fatty acids for fetal lung disaturated phosphatidylcholine (DSPC) production. To investigate the roles of de novo fatty acid synthesis and exogenous fatty acids, we incubated dispersed fetal lung cells and freshly isolated adult type II cells with exogenous palmitate and oleate and measured DSPC synthesis. Unlike adult type II cells, fetal lung cells did not increase DSPC synthesis when exogenous palmitate was available; adult type II cells increased DSPC synthesis by 70% in the presence of palmitate. Exogenous oleate decreased DSPC synthesis by 48% in fetal cells but not in adult type II cells. Incubation of fetal lung cells with TOFA [2-furancarboxylate, 5-(tetradecyloxy)-sodium], a metabolic inhibitor of fatty acid synthesis, decreased fatty acid synthesis by 65%. There was a simultaneous 56% inhibition of DSPC production, but no effect on protein, DNA, or glyceride-glycerol production, measured by precursor incorporation. The inhibition of DSPC synthesis associated with TOFA was partially prevented by exogenous palmitate but not oleate. Fetal cells prepared from explants that had been cultured in dexamethasone also had TOFA-associated inhibition of DSPC synthesis that was similar to non-dexamethasone-exposed cells. These studies suggest that under baseline conditions of low fatty acid availability, such as in the fetus, de novo fatty acid synthesis in fetal cells, but not in adult type II cells, provides sufficient saturated fatty acids to support maximal DSPC production. Inhibition of de novo fatty acid synthesis resulting in decreased DSPC production in fetal lung cells in conditions of low fatty acid availability suggests that fatty acid synthesis may be central to maintain DSPC synthesis in the fetus.

  18. Inhibition of central de novo ceramide synthesis restores insulin signaling in hypothalamus and enhances β-cell function of obese Zucker rats

    Directory of Open Access Journals (Sweden)

    Mélanie Campana

    2018-02-01

    Full Text Available Objectives: Hypothalamic lipotoxicity has been shown to induce central insulin resistance and dysregulation of glucose homeostasis; nevertheless, elucidation of the regulatory mechanisms remains incomplete. Here, we aimed to determine the role of de novo ceramide synthesis in hypothalamus on the onset of central insulin resistance and the dysregulation of glucose homeostasis induced by obesity. Methods: Hypothalamic GT1-7 neuronal cells were treated with palmitate. De novo ceramide synthesis was inhibited either by pharmacological (myriocin or molecular (si-Serine Palmitoyl Transferase 2, siSPT2 approaches. Obese Zucker rats (OZR were intracerebroventricularly infused with myriocin to inhibit de novo ceramide synthesis. Insulin resistance was determined by quantification of Akt phosphorylation. Ceramide levels were quantified either by a radioactive kinase assay or by mass spectrometry analysis. Glucose homeostasis were evaluated in myriocin-treated OZR. Basal and glucose-stimulated parasympathetic tonus was recorded in OZR. Insulin secretion from islets and β-cell mass was also determined. Results: We show that palmitate impaired insulin signaling and increased ceramide levels in hypothalamic neuronal GT1-7 cells. In addition, the use of deuterated palmitic acid demonstrated that palmitate activated several enzymes of the de novo ceramide synthesis pathway in hypothalamic cells. Importantly, myriocin and siSPT2 treatment restored insulin signaling in palmitate-treated GT1-7 cells. Protein kinase C (PKC inhibitor or a dominant-negative PKCζ also counteracted palmitate-induced insulin resistance. Interestingly, attenuating the increase in levels of hypothalamic ceramides with intracerebroventricular infusion of myriocin in OZR improved their hypothalamic insulin-sensitivity. Importantly, central myriocin treatment partially restored glucose tolerance in OZR. This latter effect is related to the restoration of glucose-stimulated insulin

  19. JTP-103237, a monoacylglycerol acyltransferase inhibitor, prevents fatty liver and suppresses both triglyceride synthesis and de novo lipogenesis

    Directory of Open Access Journals (Sweden)

    Chihiro Okuma

    2015-07-01

    Conclusion: In the present study, JTP-103237 prevented carbohydrate-induced fatty liver and suppressed both TG synthesis and de novo lipogenesis, suggesting MGAT inhibitor may prevent carbohydrate-induced metabolic disorders, including NAFLD, obesity and diabetes.

  20. Acquisition, consolidation, reconsolidation, and extinction of eyelid conditioning responses require de novo protein synthesis.

    Science.gov (United States)

    Inda, Mari Carmen; Delgado-García, José María; Carrión, Angel Manuel

    2005-02-23

    Memory, as measured by changes in an animal's behavior some time after learning, is a reflection of many processes. Here, using a trace paradigm, in mice we show that de novo protein synthesis is required for acquisition, consolidation, reconsolidation, and extinction of classically conditioned eyelid responses. Two critical periods of protein synthesis have been found: the first, during training, the blocking of which impaired acquisition; and the second, lasting the first 4 h after training, the blocking of which impaired consolidation. The process of reconsolidation was sensitive to protein synthesis inhibition if anisomycin was injected before or just after the reactivation session. Furthermore, extinction was also dependent on protein synthesis, following the same temporal course as that followed during acquisition and consolidation. This last fact reinforces the idea that extinction is an active learning process rather than a passive event of forgetting. Together, these findings demonstrate that all of the different stages of memory formation involved in the classical conditioning of eyelid responses are dependent on protein synthesis.

  1. Differential effects of pertussis toxin on insulin-stimulated phosphatidylcholine hydrolysis and glycerolipid synthesis de novo. Studies in BC3H-1 myocytes and rat adipocytes

    International Nuclear Information System (INIS)

    Hoffman, J.M.; Standaert, M.L.; Nair, G.P.; Farese, R.V.

    1991-01-01

    Insulin-induced increases in diacylglycerol (DAG) have been suggested to result from stimulation of de novo phosphatidic acid (PA) synthesis and phosphatidylcholine (PC) hydrolysis. Presently, the authors found that insulin decreased PC levels of BC3H-1 myocytes and rat adipocytes by approximately 10-25% within 30 s. These decreases were rapidly reversed in both cell types, apparently because of increased PC synthesis de novo. In BC3H-1 myocytes, pertussis toxin inhibited PC resynthesis and insulin effects on the pathway of de novo PA-DAG-PC synthesis, as evidenced by changes in [ 3 H]glycerol incorporation, but did not inhibit insulin-stimulated PC hydrolysis. Pertussis toxin also blocked the later, but not the initial, increase in DAG production in the myocytes. Phorbol esters activated PC hydrolysis in both myocytes and adipocytes, but insulin-induced stimulation of PC hydrolysis was not dependent upon activation of PKC, since this hydrolysis was not inhibited by 500 μM sangivamycin, an effective PKC inhibitor. The results indicate that insulin increases DAG by pertussis toxin sensitive and insensitive (PC hydrolysis) mechanisms, which are mechanistically separate, but functionally interdependent and integrated. PC hydrolysis may contribute importantly to initial increases in DAG, but later sustained increases are apparently largely dependent on insulin-induced stimulation of the pathway of de novo phospholipid synthesis

  2. Differential effects of pertussis toxin on insulin-stimulated phosphatidylcholine hydrolysis and glycerolipid synthesis de novo. Studies in BC3H-1 myocytes and rat adipocytes

    Energy Technology Data Exchange (ETDEWEB)

    Hoffman, J.M.; Standaert, M.L.; Nair, G.P.; Farese, R.V. (Univ. of South Florida, Tampa (USA))

    1991-04-02

    Insulin-induced increases in diacylglycerol (DAG) have been suggested to result from stimulation of de novo phosphatidic acid (PA) synthesis and phosphatidylcholine (PC) hydrolysis. Presently, the authors found that insulin decreased PC levels of BC3H-1 myocytes and rat adipocytes by approximately 10-25% within 30 s. These decreases were rapidly reversed in both cell types, apparently because of increased PC synthesis de novo. In BC3H-1 myocytes, pertussis toxin inhibited PC resynthesis and insulin effects on the pathway of de novo PA-DAG-PC synthesis, as evidenced by changes in ({sup 3}H)glycerol incorporation, but did not inhibit insulin-stimulated PC hydrolysis. Pertussis toxin also blocked the later, but not the initial, increase in DAG production in the myocytes. Phorbol esters activated PC hydrolysis in both myocytes and adipocytes, but insulin-induced stimulation of PC hydrolysis was not dependent upon activation of PKC, since this hydrolysis was not inhibited by 500 {mu}M sangivamycin, an effective PKC inhibitor. The results indicate that insulin increases DAG by pertussis toxin sensitive and insensitive (PC hydrolysis) mechanisms, which are mechanistically separate, but functionally interdependent and integrated. PC hydrolysis may contribute importantly to initial increases in DAG, but later sustained increases are apparently largely dependent on insulin-induced stimulation of the pathway of de novo phospholipid synthesis.

  3. Predictive modelling-based design and experiments for synthesis and spinning of bioinspired silk fibres

    Science.gov (United States)

    Gronau, Greta; Jacobsen, Matthew M.; Huang, Wenwen; Rizzo, Daniel J.; Li, David; Staii, Cristian; Pugno, Nicola M.; Wong, Joyce Y.; Kaplan, David L.; Buehler, Markus J.

    2016-01-01

    Scalable computational modelling tools are required to guide the rational design of complex hierarchical materials with predictable functions. Here, we utilize mesoscopic modelling, integrated with genetic block copolymer synthesis and bioinspired spinning process, to demonstrate de novo materials design that incorporates chemistry, processing and material characterization. We find that intermediate hydrophobic/hydrophilic block ratios observed in natural spider silks and longer chain lengths lead to outstanding silk fibre formation. This design by nature is based on the optimal combination of protein solubility, self-assembled aggregate size and polymer network topology. The original homogeneous network structure becomes heterogeneous after spinning, enhancing the anisotropic network connectivity along the shear flow direction. Extending beyond the classical polymer theory, with insights from the percolation network model, we illustrate the direct proportionality between network conductance and fibre Young's modulus. This integrated approach provides a general path towards de novo functional network materials with enhanced mechanical properties and beyond (optical, electrical or thermal) as we have experimentally verified. PMID:26017575

  4. Predictive modelling-based design and experiments for synthesis and spinning of bioinspired silk fibres.

    Science.gov (United States)

    Lin, Shangchao; Ryu, Seunghwa; Tokareva, Olena; Gronau, Greta; Jacobsen, Matthew M; Huang, Wenwen; Rizzo, Daniel J; Li, David; Staii, Cristian; Pugno, Nicola M; Wong, Joyce Y; Kaplan, David L; Buehler, Markus J

    2015-05-28

    Scalable computational modelling tools are required to guide the rational design of complex hierarchical materials with predictable functions. Here, we utilize mesoscopic modelling, integrated with genetic block copolymer synthesis and bioinspired spinning process, to demonstrate de novo materials design that incorporates chemistry, processing and material characterization. We find that intermediate hydrophobic/hydrophilic block ratios observed in natural spider silks and longer chain lengths lead to outstanding silk fibre formation. This design by nature is based on the optimal combination of protein solubility, self-assembled aggregate size and polymer network topology. The original homogeneous network structure becomes heterogeneous after spinning, enhancing the anisotropic network connectivity along the shear flow direction. Extending beyond the classical polymer theory, with insights from the percolation network model, we illustrate the direct proportionality between network conductance and fibre Young's modulus. This integrated approach provides a general path towards de novo functional network materials with enhanced mechanical properties and beyond (optical, electrical or thermal) as we have experimentally verified.

  5. Purine biosynthesis de novo by lymphocytes in gout

    International Nuclear Information System (INIS)

    Kamoun, P.; Chanard, J.; Brami, M.; Funck-Brentano, J.L.

    1978-01-01

    A method of measurement in vitro of purine biosynthesis de novo in human circulating blood lymphocytes is proposed. The rate of early reactions of purine biosynthesis de novo was determined by the incorporation of [ 14 C]formate into N-formyl glycinamide ribonucleotide when the subsequent reactions of the metabolic pathway were completely inhibited by the antibiotic azaserine. Synthesis of 14 C-labelled N-formyl glycinamide ribonucleotide by lymphocytes was measured in healthy control subjects and patients with primary gout or hyperuricaemia secondary to renal failure, with or without allopurinol therapy. The average synthesis was higher in gouty patients without therapy than in control subjects, but the values contained overlap the normal range. In secondary hyperuricaemia the synthesis was at same value as in control subjects. These results are in agreement with the inconstant acceleration of purine biosynthesis de novo in gouty patients as seen by others with measurement of [ 14 C]glycine incorporation into urinary uric acid. (author)

  6. Olefin cross metathesis based de novo synthesis of a partially protected L-amicetose and a fully protected L-cinerulose derivative

    Directory of Open Access Journals (Sweden)

    Bernd Schmidt

    2014-05-01

    Full Text Available Cross metathesis of a lactate derived allylic alcohol and acrolein is the entry point to a de novo synthesis of 4-benzoate protected L-amicetose and a cinerulose derivative protected at C5 and C1.

  7. Protein design and engineering of a de novo pathway for microbial production of 1,3-propanediol from glucose.

    Science.gov (United States)

    Chen, Zhen; Geng, Feng; Zeng, An-Ping

    2015-02-01

    Protein engineering to expand the substrate spectrum of native enzymes opens new possibilities for bioproduction of valuable chemicals from non-natural pathways. No natural microorganism can directly use sugars to produce 1,3-propanediol (PDO). Here, we present a de novo route for the biosynthesis of PDO from sugar, which may overcome the mentioned limitations by expanding the homoserine synthesis pathway. The accomplishment of pathway from homoserine to PDO is achieved by protein engineering of glutamate dehydrogenase (GDH) and pyruvate decarboxylase to sequentially convert homoserine to 4-hydroxy-2-ketobutyrate and 3-hydroxypropionaldehyde. The latter is finally converted to PDO by using a native alcohol dehydrogenase. In this work, we report on experimental accomplishment of this non-natural pathway, especially by protein engineering of GDH for the key step of converting homoserine to 4-hydroxy-2-ketobutyrate. These results show the feasibility and significance of protein engineering for de novo pathway design and overproduction of desired industrial products. Copyright © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Herpes simplex virus 1 induces de novo phospholipid synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Sutter, Esther [Electron Microscopy, Institute of Veterinary Anatomy, University of Zuerich (Switzerland); Oliveira, Anna Paula de; Tobler, Kurt [Electron microscopy, Institute of Virology, University of Zuerich (Switzerland); Schraner, Elisabeth M. [Electron Microscopy, Institute of Veterinary Anatomy, University of Zuerich (Switzerland); Sonda, Sabrina [Institute of Parasitology, University of Zuerich (Switzerland); Kaech, Andres [Center for Microscopy and Image Analysis, University of Zuerich (Switzerland); Lucas, Miriam S. [Electron Microscopy ETH Zuerich (EMEZ), Swiss Federal Institute of Technology, Zuerich (Switzerland); Ackermann, Mathias [Electron microscopy, Institute of Virology, University of Zuerich (Switzerland); Wild, Peter, E-mail: pewild@access.uzh.ch [Electron Microscopy, Institute of Veterinary Anatomy, University of Zuerich (Switzerland)

    2012-08-01

    Herpes simplex virus type 1 capsids bud at nuclear membranes and Golgi membranes acquiring an envelope composed of phospholipids. Hence, we measured incorporation of phospholipid precursors into these membranes, and quantified changes in size of cellular compartments by morphometric analysis. Incorporation of [{sup 3}H]-choline into both nuclear and cytoplasmic membranes was significantly enhanced upon infection. [{sup 3}H]-choline was also part of isolated virions even grown in the presence of brefeldin A. Nuclei expanded early in infection. The Golgi complex and vacuoles increased substantially whereas the endoplasmic reticulum enlarged only temporarily. The data suggest that HSV-1 stimulates phospholipid synthesis, and that de novo synthesized phospholipids are inserted into nuclear and cytoplasmic membranes to i) maintain membrane integrity in the course of nuclear and cellular expansion, ii) to supply membrane constituents for envelopment of capsids by budding at nuclear membranes and Golgi membranes, and iii) to provide membranes for formation of transport vacuoles.

  9. Direct Visualization of De novo Lipogenesis in Single Living Cells

    Science.gov (United States)

    Li, Junjie; Cheng, Ji-Xin

    2014-10-01

    Increased de novo lipogenesis is being increasingly recognized as a hallmark of cancer. Despite recent advances in fluorescence microscopy, autoradiography and mass spectrometry, direct observation of de novo lipogenesis in living systems remains to be challenging. Here, by coupling stimulated Raman scattering (SRS) microscopy with isotope labeled glucose, we were able to trace the dynamic metabolism of glucose in single living cells with high spatial-temporal resolution. As the first direct visualization, we observed that glucose was largely utilized for lipid synthesis in pancreatic cancer cells, which occurs at a much lower rate in immortalized normal pancreatic epithelial cells. By inhibition of glycolysis and fatty acid synthase (FAS), the key enzyme for fatty acid synthesis, we confirmed the deuterium labeled lipids in cancer cells were from de novo lipid synthesis. Interestingly, we also found that prostate cancer cells exhibit relatively lower level of de novo lipogenesis, but higher fatty acid uptake compared to pancreatic cancer cells. Together, our results demonstrate a valuable tool to study dynamic lipid metabolism in cancer and other disorders.

  10. Adopting De Novo Programming Approach on IC Design Service Firms Resources Integration

    Directory of Open Access Journals (Sweden)

    James K. C. Chen

    2014-01-01

    Full Text Available The semiconductor industry has very important position in computer industry, ICT field, and new electronic technology developing. The IC design service is one of key factor of semiconductor industry development. There are more than 365 IC design service firms have been established around Hsinchu Science Park in Taiwan. Building an efficient planning model for IC design service firm resources integrating is very interest issue. This study aims to construct a planning model for IC design service firm implementation resources integration. This study uses the De Novo programming as an approach of criteria alternative to achieve optimal resource allocation on IC design firm. Results show the IC design service firm should conduct open innovation concept and utilizes design outsourcing obtains cost down and enhance IC design service business performance. This plan model of De Novo programming is not only for IC design service firm and also can apply to the other industrial implementation strategic alliance/integrating resource. This plan model is a universal model for the others industries field.

  11. Melatonin Improves the Photosynthetic Apparatus in Pea Leaves Stressed by Paraquat via Chlorophyll Breakdown Regulation and Its Accelerated de novo Synthesis

    Directory of Open Access Journals (Sweden)

    Katarzyna Szafrańska

    2017-05-01

    Full Text Available The positive effect of melatonin on the function of the photosynthetic apparatus is known, but little is known about the specific mechanisms of melatonin's action in plants. The influence of melatonin on chlorophyll metabolism of 24-day-old Pisum sativum L. seedlings during paraquat (PQ-induced oxidative stress was investigated in this study. Seeds were hydro-primed with water (H, 50 and 200 μM melatonin/water solutions (H-MEL50, H-MEL200, while non-primed seeds were used as controls (C. Increases in chlorophyllase activity (key enzyme in chlorophyll degradation and 5-aminolevulinic acid contents (the first compound in the porphyrin synthesis pathway were observed in H-MEL50 and H-MEL200 leaf disks. This suggests that melatonin may accelerate damaged chlorophyll breakdown and its de novo synthesis during the first hours of PQ treatment. Elevated level of pheophytin in control leaf disks following 24 h of PQ incubation probably was associated with an enhanced rate of chlorophyll degradation through formation of pheophytin as a chlorophyll derivative. This validates the hypothesis that chlorophyllide, considered for many years, as a first intermediate of chlorophyll breakdown is not. This is indicated by the almost unchanged chlorophyll to chlorophyllide ratio after 24 h of PQ treatment. However, prolonged effects of PQ-induced stress (48 h revealed extensive discolouration of control and water-treated leaf disks, while melatonin treatment alleviated PQ-induced photobleaching. Also the ratio of chlorophyll to chlorophyllide and porphyrin contents were significantly higher in plants treated with melatonin, which may indicate that this indoleamine both retards chlorophyll breakdown and stimulates its de novo synthesis during extended stress. We concluded that melatonin added into the seeds enhances the ability of pea seedlings to accelerate chlorophyll breakdown and its de novo synthesis before stress appeared and for several hours after, while

  12. Antimicrobial peptide capsids of de novo design.

    Science.gov (United States)

    De Santis, Emiliana; Alkassem, Hasan; Lamarre, Baptiste; Faruqui, Nilofar; Bella, Angelo; Noble, James E; Micale, Nicola; Ray, Santanu; Burns, Jonathan R; Yon, Alexander R; Hoogenboom, Bart W; Ryadnov, Maxim G

    2017-12-22

    The spread of bacterial resistance to antibiotics poses the need for antimicrobial discovery. With traditional search paradigms being exhausted, approaches that are altogether different from antibiotics may offer promising and creative solutions. Here, we introduce a de novo peptide topology that-by emulating the virus architecture-assembles into discrete antimicrobial capsids. Using the combination of high-resolution and real-time imaging, we demonstrate that these artificial capsids assemble as 20-nm hollow shells that attack bacterial membranes and upon landing on phospholipid bilayers instantaneously (seconds) convert into rapidly expanding pores causing membrane lysis (minutes). The designed capsids show broad antimicrobial activities, thus executing one primary function-they destroy bacteria on contact.

  13. De-novo NAD+ synthesis regulates SIRT1-FOXO1 apoptotic pathway in response to NQO1 substrates in lung cancer cells.

    Science.gov (United States)

    Liu, Huiying; Xing, Rong; Cheng, Xuefang; Li, Qingran; Liu, Fang; Ye, Hui; Zhao, Min; Wang, Hong; Wang, Guangji; Hao, Haiping

    2016-09-20

    Tryptophan metabolism is essential in diverse kinds of tumors via regulating tumor immunology. However, the direct role of tryptophan metabolism and its signaling pathway in cancer cells remain largely elusive. Here, we establish a mechanistic link from L-type amino acid transporter 1 (LAT1) mediated transport of tryptophan and the subsequent de-novo NAD+ synthesis to SIRT1-FOXO1 regulated apoptotic signaling in A549 cells in response to NQO1 activation. In response to NQO1 activation, SIRT1 is repressed leading to the increased cellular accumulation of acetylated FOXO1 that transcriptionally activates apoptotic signaling. Decreased uptake of tryptophan due to the downregulation of LAT1 coordinates with PARP-1 hyperactivation to induce rapid depletion of NAD+ pool. Particularly, the LAT1-NAD+-SIRT1 signaling is activated in tumor tissues of patients with non-small cell lung cancer. Because NQO1 activation is characterized with oxidative challenge induced DNA damage, these results suggest that LAT1 and de-novo NAD+ synthesis in NSCLC cells may play essential roles in sensing excessive oxidative stress.

  14. The Interpretation of Cholesterol Balance Derived Synthesis Data and Surrogate Noncholesterol Plasma Markers for Cholesterol Synthesis under Lipid Lowering Therapies

    Directory of Open Access Journals (Sweden)

    Frans Stellaard

    2017-01-01

    Full Text Available The cholesterol balance procedure allows the calculation of cholesterol synthesis based on the assumption that loss of endogenous cholesterol via fecal excretion and bile acid synthesis is compensated by de novo synthesis. Under ezetimibe therapy hepatic cholesterol is diminished which can be compensated by hepatic de novo synthesis and hepatic extraction of plasma cholesterol. The plasma lathosterol concentration corrected for total cholesterol concentration (R_Lath as a marker of de novo cholesterol synthesis is increased during ezetimibe treatment but unchanged under treatment with ezetimibe and simvastatin. Cholesterol balance derived synthesis data increase during both therapies. We hypothesize the following. (1 The cholesterol balance data must be applied to the hepatobiliary cholesterol pool. (2 The calculated cholesterol synthesis value is the sum of hepatic de novo synthesis and the net plasma—liver cholesterol exchange rate. (3 The reduced rate of biliary cholesterol absorption is the major trigger for the regulation of hepatic cholesterol metabolism under ezetimibe treatment. Supportive experimental and literature data are presented that describe changes of cholesterol fluxes under ezetimibe, statin, and combined treatments in omnivores and vegans, link plasma R_Lath to liver function, and define hepatic de novo synthesis as target for regulation of synthesis. An ezetimibe dependent direct hepatic drug effect cannot be excluded.

  15. De novo design, synthesis and characterisation of MP3, a new catalytic four-helix bundle hemeprotein.

    Science.gov (United States)

    Faiella, Marina; Maglio, Ornella; Nastri, Flavia; Lombardi, Angela; Lista, Liliana; Hagen, Wilfred R; Pavone, Vincenzo

    2012-12-07

    A new artificial metalloenzyme, MP3 (MiniPeroxidase 3), designed by combining the excellent structural properties of four-helix bundle protein scaffolds with the activity of natural peroxidases, was synthesised and characterised. This new hemeprotein model was developed by covalently linking the deuteroporphyrin to two peptide chains of different compositions to obtain an asymmetric helix-loop-helix/heme/helix-loop-helix sandwich arrangement, characterised by 1) a His residue on one chain that acts as an axial ligand to the iron ion; 2) a vacant distal site that is able to accommodate exogenous ligands or substrates; and 3) an Arg residue in the distal site that should assist in hydrogen peroxide activation to give an HRP-like catalytic process. MP3 was synthesised and characterised as its iron complex. CD measurements revealed the high helix-forming propensity of the peptide, confirming the appropriateness of the model procedure; UV/Vis, MCD and EPR experiments gave insights into the coordination geometry and the spin state of the metal. Kinetic experiments showed that Fe(III)-MP3 possesses peroxidase-like activity comparable to R38A-hHRP, highlighting the possibility of mimicking the functional features of natural enzymes. The synergistic application of de novo design methods, synthetic procedures, and spectroscopic characterisation, described herein, demonstrates a method by which to implement and optimise catalytic activity for an enzyme mimetic. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Rendering Systems Visible for Design: Synthesis Maps as Constructivist Design Narratives

    Directory of Open Access Journals (Sweden)

    Peter Jones

    Full Text Available Synthesis maps integrate research evidence, system expertise, and design proposals into visual narratives. These narratives support communication and decision-making among stakeholders. Synthesis maps evolved from earlier visualization tools in systemics and design. They help stakeholders to understand design options for complex sociotechnical systems. Other visual approaches map complexity for effective collaboration across perspectives and knowledge domains. These help stakeholder groups to work in higher-order design contexts for sociotechnical or human-ecological systems. This article describes a constructivist pedagogy for collaborative learning in small teams of mixed-discipline designers. Synthesis mapping enables these teams to learn systems methods for design research in complex problem domains. Synthesis maps integrate knowledge from research cycles and iterative sensemaking to define a coherent design narrative. While synthesis maps may include formal system modeling techniques, they do not require them. Synthesis maps tangibly render research observations and design choices. As a hybrid system design method, synthesis maps are a contribution to the design genre of visual systems thinking.

  17. Programming peptidomimetic syntheses by translating genetic codes designed de novo.

    Science.gov (United States)

    Forster, Anthony C; Tan, Zhongping; Nalam, Madhavi N L; Lin, Hening; Qu, Hui; Cornish, Virginia W; Blacklow, Stephen C

    2003-05-27

    Although the universal genetic code exhibits only minor variations in nature, Francis Crick proposed in 1955 that "the adaptor hypothesis allows one to construct, in theory, codes of bewildering variety." The existing code has been expanded to enable incorporation of a variety of unnatural amino acids at one or two nonadjacent sites within a protein by using nonsense or frameshift suppressor aminoacyl-tRNAs (aa-tRNAs) as adaptors. However, the suppressor strategy is inherently limited by compatibility with only a small subset of codons, by the ways such codons can be combined, and by variation in the efficiency of incorporation. Here, by preventing competing reactions with aa-tRNA synthetases, aa-tRNAs, and release factors during translation and by using nonsuppressor aa-tRNA substrates, we realize a potentially generalizable approach for template-encoded polymer synthesis that unmasks the substantially broader versatility of the core translation apparatus as a catalyst. We show that several adjacent, arbitrarily chosen sense codons can be completely reassigned to various unnatural amino acids according to de novo genetic codes by translating mRNAs into specific peptide analog polymers (peptidomimetics). Unnatural aa-tRNA substrates do not uniformly function as well as natural substrates, revealing important recognition elements for the translation apparatus. Genetic programming of peptidomimetic synthesis should facilitate mechanistic studies of translation and may ultimately enable the directed evolution of small molecules with desirable catalytic or pharmacological properties.

  18. Insulin, concanavalin A, EGF, IFG-I and vanadate activate de novo phosphatidic acid and diacylglycerol synthesis, C-kinase, and glucose transport in BC3H-1 myocytes

    International Nuclear Information System (INIS)

    Cooper, D.R.; Hernandez, H.; Konda, T.S.; Standaert, M.S.; Pollet, R.J.; Farese, R.V.

    1987-01-01

    The authors have reported that insulin stimulates de novo synthesis of phosphatidic acid (PA) which is metabolized directly to diacylglycerol (DG) in BS3H-1 myocytes; this is accompanied by increases in C-kinase activity in membrane and cytosolic extracts. This pathway may be involved in stimulating glucose transport and other metabolic processes. In this study, the authors have compared the effects of concanavalin A, EGF, IGF-I and sodium orthovanadate to insulin on PA/DG synthesis, C-kinase activity and glucose transport. All were found to be effective in stimulating glucose transport. Additionally, all activators rapidly increased the incorporation of [ 3 H]glycerol into DG and total glycerolipids, although none were as effective as insulin, which increased [ 3 H]DG 400% in 1 minute. Increased incorporation into phospholipids and triacylglycerols and to a lesser extent monoacylglycerol was also noted. They examined effects of concanavalin A and EGF on C-kinase activity and found that both agonists, like insulin, increase C-kinase activity in cytosolic and/or membrane fractions. Their findings raise the possibility that activation of receptors having associated tyrosine kinase activity may provoke some cellular responses through de novo PA/GD synthesis and C-kinase activation

  19. The significance of different diacylgycerol synthesis pathways on plant oil composition and bioengineering

    Directory of Open Access Journals (Sweden)

    Philip David Bates

    2012-07-01

    Full Text Available The unique properties of vegetable oils from different plants utilized for food, industrial feedstocks, and fuel is dependent on the fatty acid (FA composition of triacylglycerol (TAG. Plants can use two main pathways to produce diacylglycerol (DAG, the immediate precursor molecule to TAG synthesis: 1 De novo DAG synthesis, and 2 conversion of the membrane lipid phosphatidylcholine (PC to DAG. The FA esterified to PC are also the substrate for FA modification (e.g. desaturation, hydroxylation, etc., such that the FA composition of PC-derived DAG can be substantially different than that of de novo DAG. Since DAG provides two of the three FA in TAG, the relative flux of TAG synthesis from de novo DAG or PC-derived DAG can greatly affect the final oil FA composition. Here we review how the fluxes through these two alternate pathways of DAG/TAG synthesis are determined and present evidence that suggests which pathway is utilized in different plants. Additionally, we present examples of how the endogenous DAG synthesis pathway in a transgenic host plant can produce bottlenecks for engineering of plant oil FA composition, and discuss alternative strategies to overcome these bottlenecks to produce crop plants with designer vegetable oil compositions.

  20. De Novo Design of Bioactive Small Molecules by Artificial Intelligence.

    Science.gov (United States)

    Merk, Daniel; Friedrich, Lukas; Grisoni, Francesca; Schneider, Gisbert

    2018-01-01

    Generative artificial intelligence offers a fresh view on molecular design. We present the first-time prospective application of a deep learning model for designing new druglike compounds with desired activities. For this purpose, we trained a recurrent neural network to capture the constitution of a large set of known bioactive compounds represented as SMILES strings. By transfer learning, this general model was fine-tuned on recognizing retinoid X and peroxisome proliferator-activated receptor agonists. We synthesized five top-ranking compounds designed by the generative model. Four of the compounds revealed nanomolar to low-micromolar receptor modulatory activity in cell-based assays. Apparently, the computational model intrinsically captured relevant chemical and biological knowledge without the need for explicit rules. The results of this study advocate generative artificial intelligence for prospective de novo molecular design, and demonstrate the potential of these methods for future medicinal chemistry. © 2018 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA.

  1. De novo synthesis of milk triglycerides in humans

    Science.gov (United States)

    Mammary gland (MG) de novo lipogenesis contributes significantly to milk fat in animals but little is known in humans. Objective: To test the hypothesis that the incorporation of 13C carbons from [U-13C]glucose into fatty acids (FA) and glycerol in triglycerides (TG) will be greater: 1) in milk tha...

  2. Concept of combinatorial de novo design of drug-like molecules by particle swarm optimization.

    Science.gov (United States)

    Hartenfeller, Markus; Proschak, Ewgenij; Schüller, Andreas; Schneider, Gisbert

    2008-07-01

    We present a fast stochastic optimization algorithm for fragment-based molecular de novo design (COLIBREE, Combinatorial Library Breeding). The search strategy is based on a discrete version of particle swarm optimization. Molecules are represented by a scaffold, which remains constant during optimization, and variable linkers and side chains. Different linkers represent virtual chemical reactions. Side-chain building blocks were obtained from pseudo-retrosynthetic dissection of large compound databases. Here, ligand-based design was performed using chemically advanced template search (CATS) topological pharmacophore similarity to reference ligands as fitness function. A weighting scheme was included for particle swarm optimization-based molecular design, which permits the use of many reference ligands and allows for positive and negative design to be performed simultaneously. In a case study, the approach was applied to the de novo design of potential peroxisome proliferator-activated receptor subtype-selective agonists. The results demonstrate the ability of the technique to cope with large combinatorial chemistry spaces and its applicability to focused library design. The technique was able to perform exploitation of a known scheme and at the same time explorative search for novel ligands within the framework of a given molecular core structure. It thereby represents a practical solution for compound screening in the early hit and lead finding phase of a drug discovery project.

  3. De-novo design of antimicrobial peptides for plant protection.

    Directory of Open Access Journals (Sweden)

    Benjamin Zeitler

    Full Text Available This work describes the de-novo design of peptides that inhibit a broad range of plant pathogens. Four structurally different groups of peptides were developed that differ in size and position of their charged and hydrophobic clusters and were assayed for their ability to inhibit bacterial growth and fungal spore germination. Several peptides are highly active at concentrations between 0,1 and 1 µg/ml against plant pathogenic bacteria, such as Pseudomonas syringae, Pectobacterium carotovorum, and Xanthomonas vesicatoria. Importantly, no hemolytic activity could be detected for these peptides at concentrations up to 200 µg/ml. Moreover, the peptides are also active after spraying on the plant surface demonstrating a possible way of application. In sum, our designed peptides represent new antimicrobial agents and with the increasing demand for antimicrobial compounds for production of "healthy" food, these peptides might serve as templates for novel antibacterial and antifungal agents.

  4. Dependency on de novo protein synthesis and proteomic changes during metamorphosis of the marine bryozoan Bugula neritina

    KAUST Repository

    Wong, Yue Him

    2010-05-24

    Background: Metamorphosis in the bryozoan Bugula neritina (Linne) includes an initial phase of rapid morphological rearrangement followed by a gradual phase of morphogenesis. We hypothesized that the first phase may be independent of de novo synthesis of proteins and, instead, involves post-translational modifications of existing proteins, providing a simple mechanism to quickly initiate metamorphosis. To test our hypothesis, we challenged B. neritina larvae with transcription and translation inhibitors. Furthermore, we employed 2D gel electrophoresis to characterize changes in the phosphoproteome and proteome during early metamorphosis. Differentially expressed proteins were identified by liquid chromatography tandem mass spectrometry and their gene expression patterns were profiled using semi-quantitative real time PCR.Results: When larvae were incubated with transcription and translation inhibitors, metamorphosis initiated through the first phase but did not complete. We found a significant down-regulation of 60 protein spots and the percentage of phosphoprotein spots decreased from 15% in the larval stage to12% during early metamorphosis. Two proteins--the mitochondrial processing peptidase beta subunit and severin--were abundantly expressed and phosphorylated in the larval stage, but down-regulated during metamorphosis. MPPbeta and severin were also down-regulated on the gene expression level.Conclusions: The initial morphogenetic changes that led to attachment of B. neritina did not depend on de novo protein synthesis, but the subsequent gradual morphogenesis did. This is the first time that the mitochondrial processing peptidase beta subunit or severin have been shown to be down-regulated on both gene and protein expression levels during the metamorphosis of B. neritina. Future studies employing immunohistochemistry to reveal the expression locality of these two proteins during metamorphosis should provide further evidence of the involvement of these two

  5. De Novo Synthesis and Degradation of Lx and V Cycle Pigments during Shade and Sun Acclimation in Avocado Leaves1

    Science.gov (United States)

    Förster, Britta; Osmond, C. Barry; Pogson, Barry J.

    2009-01-01

    The photoprotective role of the universal violaxanthin cycle that interconverts violaxanthin (V), antheraxanthin (A), and zeaxanthin (Z) is well established, but functions of the analogous conversions of lutein-5,6-epoxide (Lx) and lutein (L) in the selectively occurring Lx cycle are still unclear. We investigated carotenoid pools in Lx-rich leaves of avocado (Persea americana) during sun or shade acclimation at different developmental stages. During sun exposure of mature shade leaves, an unusual decrease in L preceded the deepoxidation of Lx to L and of V to A+Z. In addition to deepoxidation, de novo synthesis increased the L and A+Z pools. Epoxidation of L was exceptionally slow, requiring about 40 d in the shade to restore the Lx pool, and residual A+Z usually persisted overnight. In young shade leaves, the Lx cycle was reversed initially, with Lx accumulating in the sun and declining in the shade. De novo synthesis of xanthophylls did not affect α- and β-carotene pools on the first day, but during long-term acclimation α-carotene pools changed noticeably. Nonetheless, the total change in α- and β-branch carotenoid pools was equal. We discuss the implications for regulation of metabolic flux through the α- and β-branches of carotenoid biosynthesis and potential roles for L in photoprotection and Lx in energy transfer to photosystem II and explore physiological roles of both xanthophyll cycles as determinants of photosystem II efficiency. PMID:19060099

  6. Synthesis of phosphatidylcholine in rats with oleic acid-induced pulmonary edema and effect of exogenous pulmonary surfactant on its De Novo synthesis.

    Science.gov (United States)

    Gao, Xiwen; Qian, Peiyu; Cen, Dong; Hong, Weijun; Peng, Qing; Xue, Min

    2018-01-01

    In mammals, oleic acid (OA) induces pulmonary edema (PE), which can initiate acute lung injury (ALI) and lead to acute respiratory distress syndrome (ARDS). Pulmonary surfactant (PS) plays a key role in a broad range of treatments for ARDS. The aim of the present investigation was to assess changes in the synthesis of phosphatidylcholine (PC) from choline and determine the effect of exogenous PS on its de novo synthesis in rats with OA-induced PE. Experimental rats were randomized into three groups, including a control group, OA-induced PE group, and OA-induced group treated with exogenous PS (OA-PS). Twenty-four rats were sacrificed 4 h after induction of the OA model, and tissue was examined by light and electron microscopy to assess the severity of ALI using an established scoring system at the end of the experiment. After 15 μCi 3H-choline chloride was injected intravenously, eight rats in each group were sacrificed at 4, 8, and 16 h. The radioactivity of 3H incorporated into total phospholipid (TPL) and desaturated phosphatidylcholine (DSPC) was measured in bronchoalveolar lavage fluid (BALF) and lung tissue (LT) using a liquid scintillation counter and was expressed as counts per minute (CPM). Results showed that TPL, DSPC, and the ratio of DSPC/total protein (TP) in lung tissue decreased 4 h after challenge with OA, but the levels recovered after 8 and 16 h. At 8 h after injection, 3H-TPL and 3H-DSPC radioactivity in the lungs reached its peak. Importantly, 3H-DSPC CPM were significantly lower in the PS treatment group (LT: Control: 62327 ± 9108; OA-PE: 97315 ± 10083; OA-PS: 45127 ± 10034, P exogenous PS treatments may adversely affect endogenous de novo synthetic and secretory phospholipid pathways via feedback inhibition. This novel finding reveals the specific involvement of exogenous PS in endogenous synthetic and secretory phospholipid pathways during the treatment of ARDS. This information improves our understanding of how PS treatment is

  7. Proteomic Profiling of De Novo Protein Synthesis in Starvation-Induced Autophagy Using Bioorthogonal Noncanonical Amino Acid Tagging.

    Science.gov (United States)

    Zhang, J; Wang, J; Lee, Y-M; Lim, T-K; Lin, Q; Shen, H-M

    2017-01-01

    Autophagy is an intracellular degradation process activated by stress factors such as nutrient starvation to maintain cellular homeostasis. There is emerging evidence demonstrating that de novo protein synthesis is involved in the autophagic process. However, up-to-date characterizing of these de novo proteins is technically difficult. In this chapter, we describe a novel method to identify newly synthesized proteins during starvation-mediated autophagy by bioorthogonal noncanonical amino acid tagging (BONCAT), in conjunction with isobaric tagging for relative and absolute quantification (iTRAQ)-based quantitative proteomics. l-azidohomoalanine (AHA) is an analog of methionine, and it can be readily incorporated into the newly synthesized proteins. The AHA-containing proteins can be enriched with avidin beads after a "click" reaction between alkyne-bearing biotin and the azide moiety of AHA. The enriched proteins are then subjected to iTRAQ™ labeling for protein identification and quantification using liquid chromatography-tandem mass spectrometry (LC-MS/MS). By using this technique, we have successfully profiled more than 700 proteins that are synthesized during starvation-induced autophagy. We believe that this approach is effective in identification of newly synthesized proteins in the process of autophagy and provides useful insights to the molecular mechanisms and biological functions of autophagy. © 2017 Elsevier Inc. All rights reserved.

  8. The De Novo Synthesis of Horsepox Virus: Implications for Biosecurity and Recommendations for Preventing the Reemergence of Smallpox.

    Science.gov (United States)

    Koblentz, Gregory D

    In March 2017, the American biotech company Tonix announced that a Canadian scientist had synthesized horsepox virus as part of a project to develop a safer vaccine against smallpox. The first de novo synthesis of an orthopoxvirus, a closely related group of viruses that includes horsepox and the variola virus that causes smallpox, crosses an important Rubicon in the field of biosecurity. The synthesis of horsepox virus takes the world one step closer to the reemergence of smallpox as a threat to global health security. That threat has been held at bay for the past 40 years by the extreme difficulty of obtaining variola virus and the availability of effective medical countermeasures. The techniques demonstrated by the synthesis of horsepox have the potential to erase both of these barriers. The primary risk posed by this research is that it will open the door to the routine and widespread synthesis of other orthopoxviruses, such as vaccinia, for use in research, public health, and medicine. The normalization and globalization of orthopoxvirus synthesis for these beneficial applications will create a cadre of laboratories and scientists that will also have the capability and expertise to create infectious variola virus from synthetic DNA. Unless the safeguards against the synthesis of variola virus are strengthened, the capability to reintroduce smallpox into the human population will be globally distributed and either loosely or completely unregulated, providing the foundation for a disgruntled or radicalized scientist, sophisticated terrorist group, unscrupulous company, or rogue state to recreate one of humanity's most feared microbial enemies. The reemergence of smallpox-because of a laboratory accident or an intentional release-would be a global health disaster. International organizations, national governments, the DNA synthesis industry, and the synthetic biology community all have a role to play in devising new approaches to preventing the reemergence of

  9. De novo peptide design and experimental validation of histone methyltransferase inhibitors.

    Directory of Open Access Journals (Sweden)

    James Smadbeck

    Full Text Available Histones are small proteins critical to the efficient packaging of DNA in the nucleus. DNA–protein complexes, known as nucleosomes, are formed when the DNA winds itself around the surface of the histones. The methylation of histone residues by enhancer of zeste homolog 2 (EZH2 maintains gene repression over successive cell generations. Overexpression of EZH2 can silence important tumor suppressor genes leading to increased invasiveness of many types of cancers. This makes the inhibition of EZH2 an important target in the development of cancer therapeutics. We employed a three-stage computational de novo peptide design method to design inhibitory peptides of EZH2. The method consists of a sequence selection stage and two validation stages for fold specificity and approximate binding affinity. The sequence selection stage consists of an integer linear optimization model that was solved to produce a rank-ordered list of amino acid sequences with increased stability in the bound peptide-EZH2 structure. These sequences were validated through the calculation of the fold specificity and approximate binding affinity of the designed peptides. Here we report the discovery of novel EZH2 inhibitory peptides using the de novo peptide design method. The computationally discovered peptides were experimentally validated in vitro using dose titrations and mechanism of action enzymatic assays. The peptide with the highest in vitro response, SQ037, was validated in nucleo using quantitative mass spectrometry-based proteomics. This peptide had an IC50 of 13.5 mM, demonstrated greater potency as an inhibitor when compared to the native and K27A mutant control peptides, and demonstrated competitive inhibition versus the peptide substrate. Additionally, this peptide demonstrated high specificity to the EZH2 target in comparison to other histone methyltransferases. The validated peptides are the first computationally designed peptides that directly inhibit EZH2

  10. De novo peptide design and experimental validation of histone methyltransferase inhibitors.

    Directory of Open Access Journals (Sweden)

    James Smadbeck

    Full Text Available Histones are small proteins critical to the efficient packaging of DNA in the nucleus. DNA-protein complexes, known as nucleosomes, are formed when the DNA winds itself around the surface of the histones. The methylation of histone residues by enhancer of zeste homolog 2 (EZH2 maintains gene repression over successive cell generations. Overexpression of EZH2 can silence important tumor suppressor genes leading to increased invasiveness of many types of cancers. This makes the inhibition of EZH2 an important target in the development of cancer therapeutics. We employed a three-stage computational de novo peptide design method to design inhibitory peptides of EZH2. The method consists of a sequence selection stage and two validation stages for fold specificity and approximate binding affinity. The sequence selection stage consists of an integer linear optimization model that was solved to produce a rank-ordered list of amino acid sequences with increased stability in the bound peptide-EZH2 structure. These sequences were validated through the calculation of the fold specificity and approximate binding affinity of the designed peptides. Here we report the discovery of novel EZH2 inhibitory peptides using the de novo peptide design method. The computationally discovered peptides were experimentally validated in vitro using dose titrations and mechanism of action enzymatic assays. The peptide with the highest in vitro response, SQ037, was validated in nucleo using quantitative mass spectrometry-based proteomics. This peptide had an IC50 of 13.5 [Formula: see text]M, demonstrated greater potency as an inhibitor when compared to the native and K27A mutant control peptides, and demonstrated competitive inhibition versus the peptide substrate. Additionally, this peptide demonstrated high specificity to the EZH2 target in comparison to other histone methyltransferases. The validated peptides are the first computationally designed peptides that directly

  11. De novo centriole formation in human cells is error-prone and does not require SAS-6 self-assembly.

    Science.gov (United States)

    Wang, Won-Jing; Acehan, Devrim; Kao, Chien-Han; Jane, Wann-Neng; Uryu, Kunihiro; Tsou, Meng-Fu Bryan

    2015-11-26

    Vertebrate centrioles normally propagate through duplication, but in the absence of preexisting centrioles, de novo synthesis can occur. Consistently, centriole formation is thought to strictly rely on self-assembly, involving self-oligomerization of the centriolar protein SAS-6. Here, through reconstitution of de novo synthesis in human cells, we surprisingly found that normal looking centrioles capable of duplication and ciliation can arise in the absence of SAS-6 self-oligomerization. Moreover, whereas canonically duplicated centrioles always form correctly, de novo centrioles are prone to structural errors, even in the presence of SAS-6 self-oligomerization. These results indicate that centriole biogenesis does not strictly depend on SAS-6 self-assembly, and may require preexisting centrioles to ensure structural accuracy, fundamentally deviating from the current paradigm.

  12. The Folding of de Novo Designed Protein DS119 via Molecular Dynamics Simulations

    Directory of Open Access Journals (Sweden)

    Moye Wang

    2016-04-01

    Full Text Available As they are not subjected to natural selection process, de novo designed proteins usually fold in a manner different from natural proteins. Recently, a de novo designed mini-protein DS119, with a βαβ motif and 36 amino acids, has folded unusually slowly in experiments, and transient dimers have been detected in the folding process. Here, by means of all-atom replica exchange molecular dynamics (REMD simulations, several comparably stable intermediate states were observed on the folding free-energy landscape of DS119. Conventional molecular dynamics (CMD simulations showed that when two unfolded DS119 proteins bound together, most binding sites of dimeric aggregates were located at the N-terminal segment, especially residues 5–10, which were supposed to form β-sheet with its own C-terminal segment. Furthermore, a large percentage of individual proteins in the dimeric aggregates adopted conformations similar to those in the intermediate states observed in REMD simulations. These results indicate that, during the folding process, DS119 can easily become trapped in intermediate states. Then, with diffusion, a transient dimer would be formed and stabilized with the binding interface located at N-terminals. This means that it could not quickly fold to the native structure. The complicated folding manner of DS119 implies the important influence of natural selection on protein-folding kinetics, and more improvement should be achieved in rational protein design.

  13. UniNovo: a universal tool for de novo peptide sequencing.

    Science.gov (United States)

    Jeong, Kyowon; Kim, Sangtae; Pevzner, Pavel A

    2013-08-15

    Mass spectrometry (MS) instruments and experimental protocols are rapidly advancing, but de novo peptide sequencing algorithms to analyze tandem mass (MS/MS) spectra are lagging behind. Although existing de novo sequencing tools perform well on certain types of spectra [e.g. Collision Induced Dissociation (CID) spectra of tryptic peptides], their performance often deteriorates on other types of spectra, such as Electron Transfer Dissociation (ETD), Higher-energy Collisional Dissociation (HCD) spectra or spectra of non-tryptic digests. Thus, rather than developing a new algorithm for each type of spectra, we develop a universal de novo sequencing algorithm called UniNovo that works well for all types of spectra or even for spectral pairs (e.g. CID/ETD spectral pairs). UniNovo uses an improved scoring function that captures the dependences between different ion types, where such dependencies are learned automatically using a modified offset frequency function. The performance of UniNovo is compared with PepNovo+, PEAKS and pNovo using various types of spectra. The results show that the performance of UniNovo is superior to other tools for ETD spectra and superior or comparable with others for CID and HCD spectra. UniNovo also estimates the probability that each reported reconstruction is correct, using simple statistics that are readily obtained from a small training dataset. We demonstrate that the estimation is accurate for all tested types of spectra (including CID, HCD, ETD, CID/ETD and HCD/ETD spectra of trypsin, LysC or AspN digested peptides). UniNovo is implemented in JAVA and tested on Windows, Ubuntu and OS X machines. UniNovo is available at http://proteomics.ucsd.edu/Software/UniNovo.html along with the manual.

  14. De Novo Glutamine Synthesis: Importance for the Proliferation of Glioma Cells and Potentials for Its Detection With 13N-Ammonia.

    Science.gov (United States)

    He, Qiao; Shi, Xinchong; Zhang, Linqi; Yi, Chang; Zhang, Xuezhen; Zhang, Xiangsong

    2016-01-01

    The aim of this study was to investigate the role of de novo glutamine (Gln) synthesis in the proliferation of C6 glioma cells and its detection with (13)N-ammonia. Chronic Gln-deprived C6 glioma (0.06C6) cells were established. The proliferation rates of C6 and 0.06C6 cells were measured under the conditions of Gln deprivation along with or without the addition of ammonia or glutamine synthetase (GS) inhibitor. (13)N-ammonia uptake was assessed in C6 cells by gamma counting and in rats with C6 and 0.06C6 xenografts by micro-positron emission tomography (PET) scanning. The expression of GS in C6 cells and xenografts was assessed by Western blotting and immunohistochemistry, respectively. The Gln-deprived C6 cells showed decreased proliferation ability but had a significant increase in GS expression. Furthermore, we found that low concentration of ammonia was sufficient to maintain the proliferation of Gln-deprived C6 cells, and (13)N-ammonia uptake in C6 cells showed Gln-dependent decrease, whereas inhibition of GS markedly reduced the proliferation of C6 cells as well as the uptake of (13)N-ammoina. Additionally, microPET/computed tomography exhibited that subcutaneous 0.06C6 xenografts had higher (13)N-ammonia uptake and GS expression in contrast to C6 xenografts. De novo Gln synthesis through ammonia-glutamate reaction plays an important role in the proliferation of C6 cells. (13)N-ammonia can be a potential metabolic PET tracer for Gln-dependent tumors. © The Author(s) 2016.

  15. Application of Generative Autoencoder in De Novo Molecular Design.

    Science.gov (United States)

    Blaschke, Thomas; Olivecrona, Marcus; Engkvist, Ola; Bajorath, Jürgen; Chen, Hongming

    2018-01-01

    A major challenge in computational chemistry is the generation of novel molecular structures with desirable pharmacological and physiochemical properties. In this work, we investigate the potential use of autoencoder, a deep learning methodology, for de novo molecular design. Various generative autoencoders were used to map molecule structures into a continuous latent space and vice versa and their performance as structure generator was assessed. Our results show that the latent space preserves chemical similarity principle and thus can be used for the generation of analogue structures. Furthermore, the latent space created by autoencoders were searched systematically to generate novel compounds with predicted activity against dopamine receptor type 2 and compounds similar to known active compounds not included in the trainings set were identified. © 2018 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA.

  16. iScreen: world's first cloud-computing web server for virtual screening and de novo drug design based on TCM database@Taiwan.

    Science.gov (United States)

    Tsai, Tsung-Ying; Chang, Kai-Wei; Chen, Calvin Yu-Chian

    2011-06-01

    The rapidly advancing researches on traditional Chinese medicine (TCM) have greatly intrigued pharmaceutical industries worldwide. To take initiative in the next generation of drug development, we constructed a cloud-computing system for TCM intelligent screening system (iScreen) based on TCM Database@Taiwan. iScreen is compacted web server for TCM docking and followed by customized de novo drug design. We further implemented a protein preparation tool that both extract protein of interest from a raw input file and estimate the size of ligand bind site. In addition, iScreen is designed in user-friendly graphic interface for users who have less experience with the command line systems. For customized docking, multiple docking services, including standard, in-water, pH environment, and flexible docking modes are implemented. Users can download first 200 TCM compounds of best docking results. For TCM de novo drug design, iScreen provides multiple molecular descriptors for a user's interest. iScreen is the world's first web server that employs world's largest TCM database for virtual screening and de novo drug design. We believe our web server can lead TCM research to a new era of drug development. The TCM docking and screening server is available at http://iScreen.cmu.edu.tw/.

  17. iScreen: world's first cloud-computing web server for virtual screening and de novo drug design based on TCM database@Taiwan

    Science.gov (United States)

    Tsai, Tsung-Ying; Chang, Kai-Wei; Chen, Calvin Yu-Chian

    2011-06-01

    The rapidly advancing researches on traditional Chinese medicine (TCM) have greatly intrigued pharmaceutical industries worldwide. To take initiative in the next generation of drug development, we constructed a cloud-computing system for TCM intelligent screening system (iScreen) based on TCM Database@Taiwan. iScreen is compacted web server for TCM docking and followed by customized de novo drug design. We further implemented a protein preparation tool that both extract protein of interest from a raw input file and estimate the size of ligand bind site. In addition, iScreen is designed in user-friendly graphic interface for users who have less experience with the command line systems. For customized docking, multiple docking services, including standard, in-water, pH environment, and flexible docking modes are implemented. Users can download first 200 TCM compounds of best docking results. For TCM de novo drug design, iScreen provides multiple molecular descriptors for a user's interest. iScreen is the world's first web server that employs world's largest TCM database for virtual screening and de novo drug design. We believe our web server can lead TCM research to a new era of drug development. The TCM docking and screening server is available at http://iScreen.cmu.edu.tw/.

  18. De novo synthesis and decomposition of veratryl alcohol by a lignin-degrading basidiomycete

    Energy Technology Data Exchange (ETDEWEB)

    Lundquist, K; Kirk, T K

    1978-01-01

    In studies of the metabolism of lignin-related aromatics by the ligninolytic basidiomycete Phanerochaete chrysosporium (strain ME-446), a compound was consistently found (TLC) in chloroform extracts of cultures. The substance, identified as veratryl alcohol (1), was first suspected to be a non-metabilizable degradation product of the aromatics studied, which included various guaiacyl- and veratryl-type compounds. Veratryl alcohol itself, in fact, was included in the first experiments. Further investigation with cultures containing /sup 14/C-glucose as growth substrate revealed that 1 is synthesized de novo from glucose. Although the culture medium contained in addition to glucose 0.01 M phthalate or aconitate (buffers), and 0.6 mM L-asparagine (nutrient nitrogen), glucose was the sole source of veratryl alcohol carbon. Introduction of the purified biosynthetic /sup 14/C-veratryl alcohol into fresh cultures resulted in 40% decomposition to /sup 14/CO/sub 2/ in 20 days, showing that the fungus not only makes the compound, it also degrades it. Russell et al. found veratryl alcohol and veratraldehyde in cultures of a ligninolytic fungus (Polystictus versicolor), but considered them to be degradation products of the lignin-related aromatics or wood meal present in the cultures. Reports of synthesis or decomposition of veratryl alcohol by microorganisms were not found.

  19. Resveratrol induces growth inhibition and apoptosis in metastatic breast cancer cells via de novo ceramide signaling.

    Science.gov (United States)

    Scarlatti, Francesca; Sala, Giusy; Somenzi, Giulia; Signorelli, Paola; Sacchi, Nicoletta; Ghidoni, Riccardo

    2003-12-01

    Resveratrol (3,4',5-trans-trihydroxystilbene), a phytoalexin present in grapes and red wine, is emerging as a natural compound with potential anticancer properties. Here we show that resveratrol can induce growth inhibition and apoptosis in MDA-MB-231, a highly invasive and metastatic breast cancer cell line, in concomitance with a dramatic endogenous increase of growth inhibitory/proapoptotic ceramide. We found that accumulation of ceramide derives from both de novo ceramide synthesis and sphingomyelin hydrolysis. More specifically we demonstrated that ceramide accumulation induced by resveratrol can be traced to the activation of serine palmitoyltransferase (SPT), the key enzyme of de novo ceramide biosynthetic pathway, and neutral sphingomyelinase (nSMase), a main enzyme involved in the sphingomyelin/ceramide pathway. However, by using specific inhibitors of SPT, myriocin and L-cycloserine, and nSMase, gluthatione and manumycin, we found that only the SPT inhibitors could counteract the biological effects induced by resveratrol. Thus, resveratrol seems to exert its growth inhibitory/apoptotic effect on the metastatic breast cancer cell line MDA-MB-231 by activating the de novo ceramide synthesis pathway.

  20. Phosphoribosyl diphosphate synthetase-independent NAD de novo synthesis in Escherichia coli: a new phenotype of phosphate regulon mutants

    DEFF Research Database (Denmark)

    Hove-Jensen, Bjarne

    1996-01-01

    Phosphoribosyl diphosphate-lacking (Δprs) mutant strains of Escherichia coli require NAD, guanosine, uridine, histidine, and tryptophan for growth. NAD is required by phosphoribosyl diphosphate-lacking mutants because of lack of one of the substrates for the quinolinate phosphoribosyltransferase...... reaction, an enzyme of the NAD de novo pathway. Several NAD-independent mutants of a host from which prs had been deleted were isolated; all of them were shown to have lesions in the pstSCAB-phoU operon, in which mutations lead to derepression of the Pho regulon. In addition NAD-independent growth...... was dependent on a functional quinolinate phosphoribosyltransferase. The prs suppressor mutations led to the synthesis of a new phosphoryl compound that may act as a precursor for a new NAD biosynthetic pathway. This compound may be synthesized by the product of an unknown phosphate starvation-inducible gene...

  1. Differential requirement of de novo Arc protein synthesis in the insular cortex and the amygdala for safe and aversive taste long-term memory formation.

    Science.gov (United States)

    Guzmán-Ramos, Kioko; Venkataraman, Archana; Morin, Jean-Pascal; Osorio-Gómez, Daniel; Bermúdez-Rattoni, Federico

    2018-04-16

    Several immediate early genes products are known to be involved in the facilitation of structural and functional modifications at distinct synapses activated through experience. The IEG-encoded protein Arc (activity regulated cytoskeletal-associated protein) has been widely implicated in long-term memory formation and stabilization. In this study, we sought to evaluate a possible role for de novo Arc protein synthesis in the insular cortex (IC) and in the amygdala (AMY) during long-term taste memory formation. We found that acute inhibition of Arc protein synthesis through the infusion of antisense oligonucleotides administered in the IC before a novel taste presentation, affected consolidation of a safe taste memory trace (ST) but spared consolidation of conditioned taste aversion (CTA). Conversely, blocking Arc synthesis within the AMY impaired CTA consolidation but had no effect on ST long-term memory formation. Our results suggest that Arc-dependent plasticity during taste learning is required within distinct structures of the medial temporal lobe, depending on the emotional valence of the memory trace. Copyright © 2018 Elsevier B.V. All rights reserved.

  2. Customizable de novo design strategies for DOCK: Application to HIVgp41 and other therapeutic targets.

    Science.gov (United States)

    Allen, William J; Fochtman, Brian C; Balius, Trent E; Rizzo, Robert C

    2017-11-15

    De novo design can be used to explore vast areas of chemical space in computational lead discovery. As a complement to virtual screening, from-scratch construction of molecules is not limited to compounds in pre-existing vendor catalogs. Here, we present an iterative fragment growth method, integrated into the program DOCK, in which new molecules are built using rules for allowable connections based on known molecules. The method leverages DOCK's advanced scoring and pruning approaches and users can define very specific criteria in terms of properties or features to customize growth toward a particular region of chemical space. The code was validated using three increasingly difficult classes of calculations: (1) Rebuilding known X-ray ligands taken from 663 complexes using only their component parts (focused libraries), (2) construction of new ligands in 57 drug target sites using a library derived from ∼13M drug-like compounds (generic libraries), and (3) application to a challenging protein-protein interface on the viral drug target HIVgp41. The computational testing confirms that the de novo DOCK routines are robust and working as envisioned, and the compelling results highlight the potential utility for designing new molecules against a wide variety of important protein targets. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.

  3. Computer-assisted spectral design and synthesis

    Science.gov (United States)

    Vadakkumpadan, Fijoy; Wang, Qiqi; Sun, Yinlong

    2005-01-01

    In this paper, we propose a computer-assisted approach for spectral design and synthesis. This approach starts with some initial spectrum, modifies it interactively, evaluates the change, and decides the optimal spectrum. Given a requested change as function of wavelength, we model the change function using a Gaussian function. When there is the metameric constraint, from the Gaussian function of request change, we propose a method to generate the change function such that the result spectrum has the same color as the initial spectrum. We have tested the proposed method with different initial spectra and change functions, and implemented an interactive graphics environment for spectral design and synthesis. The proposed approach and graphics implementation for spectral design and synthesis can be helpful for a number of applications such as lighting of building interiors, textile coloration, and pigment development of automobile paints, and spectral computer graphics.

  4. De novo design and synthesis of ultra-short peptidomimetic antibiotics having dual antimicrobial and anti-inflammatory activities.

    Science.gov (United States)

    Murugan, Ravichandran N; Jacob, Binu; Ahn, Mija; Hwang, Eunha; Sohn, Hoik; Park, Hyo-Nam; Lee, Eunjung; Seo, Ji-Hyung; Cheong, Chaejoon; Nam, Ky-Youb; Hyun, Jae-Kyung; Jeong, Ki-Woong; Kim, Yangmee; Shin, Song Yub; Bang, Jeong Kyu

    2013-01-01

    Much attention has been focused on the design and synthesis of potent, cationic antimicrobial peptides (AMPs) that possess both antimicrobial and anti-inflammatory activities. However, their development into therapeutic agents has been limited mainly due to their large size (12 to 50 residues in length) and poor protease stability. In an attempt to overcome the issues described above, a set of ultra-short, His-derived antimicrobial peptides (HDAMPs) has been developed for the first time. Through systematic tuning of pendant hydrophobic alkyl tails at the N(π)- and N(τ)-positions on His, and the positive charge of Arg, much higher prokaryotic selectivity was achieved, compared to human AMP LL-37. Additionally, the most potent HDAMPs showed promising dual antimicrobial and anti-inflammatory activities, as well as anti-methicillin-resistant Staphylococcus aureus (MRSA) activity and proteolytic resistance. Our results from transmission electron microscopy, membrane depolarization, confocal laser-scanning microscopy, and calcein-dye leakage experiments propose that HDAMP-1 kills microbial cells via dissipation of the membrane potential by forming pore/ion channels on bacterial cell membranes. The combination of the ultra-short size, high-prokaryotic selectivity, potent anti-MRSA activity, anti-inflammatory activity, and proteolytic resistance of the designed HDAMP-1, -3, -5, and -6 makes these molecules promising candidates for future antimicrobial therapeutics.

  5. Novel de novo BRCA2 mutation in a patient with a family history of breast cancer

    DEFF Research Database (Denmark)

    Hansen, Thomas V O; Bisgaard, Marie Luise; Jønson, Lars

    2008-01-01

    whole blood. The paternity was determined by single nucleotide polymorphism (SNP) microarray analysis. Parental origin of the de novo mutation was determined by establishing mutation-SNP haplotypes by variant specific PCR, while de novo and mosaic status was investigated by sequencing of DNA from......BACKGROUND: BRCA2 germ-line mutations predispose to breast and ovarian cancer. Mutations are widespread and unclassified splice variants are frequently encountered. We describe the parental origin and functional characterization of a novel de novo BRCA2 splice site mutation found in a patient...... and synthesis of a truncated BRCA2 protein. The aberrant splicing was verified by RT-PCR analysis on RNA isolated from whole blood of the affected patient. The mutation was not found in any of the patient's parents or in the mother's carcinoma, showing it is a de novo mutation. Variant specific PCR indicates...

  6. Arsenic trioxide (AT) is a novel human neutrophil pro-apoptotic agent: effects of catalase on AT-induced apoptosis, degradation of cytoskeletal proteins and de novo protein synthesis.

    Science.gov (United States)

    Binet, François; Cavalli, Hélène; Moisan, Eliane; Girard, Denis

    2006-02-01

    The anti-cancer drug arsenic trioxide (AT) induces apoptosis in a variety of transformed or proliferating cells. However, little is known regarding its ability to induce apoptosis in terminally differentiated cells, such as neutrophils. Because neutropenia has been reported in some cancer patients after AT treatment, we hypothesised that AT could induce neutrophil apoptosis, an issue that has never been investigated. Herein, we found that AT-induced neutrophil apoptosis and gelsolin degradation via caspases. AT did not increase neutrophil superoxide production and did not induce mitochondrial generation of reactive oxygen species. AT-induced apoptosis in PLB-985 and X-linked chronic granulomatous disease (CGD) cells (PLB-985 cells deficient in gp91(phox) mimicking CGD) at the same potency. Addition of catalase, an inhibitor of H2O2, reversed AT-induced apoptosis and degradation of the cytoskeletal proteins gelsolin, alpha-tubulin and lamin B1. Unexpectedly, AT-induced de novo protein synthesis, which was reversed by catalase. Cycloheximide partially reversed AT-induced apoptosis. We conclude that AT induces neutrophil apoptosis by a caspase-dependent mechanism and via de novo protein synthesis. H2O2 is of major importance in AT-induced neutrophil apoptosis but its production does not originate from nicotinamide adenine dinucleotide phosphate dehydrogenase activation and mitochondria. Cytoskeletal structures other than microtubules can now be considered as novel targets of AT.

  7. Building block synthesis using the polymerase chain assembly method.

    Science.gov (United States)

    Marchand, Julie A; Peccoud, Jean

    2012-01-01

    De novo gene synthesis allows the creation of custom DNA molecules without the typical constraints of traditional cloning assembly: scars, restriction site incompatibility, and the quest to find all the desired parts to name a few. Moreover, with the help of computer-assisted design, the perfect DNA molecule can be created along with its matching sequence ready to download. The challenge is to build the physical DNA molecules that have been designed with the software. Although there are several DNA assembly methods, this section presents and describes a method using the polymerase chain assembly (PCA).

  8. Design, Synthesis, and Applications of Carbon Nanohoops

    Science.gov (United States)

    2016-05-23

    rings via one electron reduction reactions was feasible. Therefore, the synthesis and spectroscopic investigations of these ring systems by reducing...Release; Distribution Unlimited UU UU UU UU 23-05-2016 15-Feb-2012 14-Feb-2016 Final Report: Design, Synthesis , and Applications of Carbon Nanohoops The...Office P.O. Box 12211 Research Triangle Park, NC 27709-2211 Caron Nanohoops, paracyclophanes, carbon nanotubes, organic synthesis REPORT

  9. Cardiomyocyte Triglyceride Accumulation and Reduced Ventricular Function in Mice with Obesity Reflect Increased Long Chain Fatty Acid Uptake and De Novo Fatty Acid Synthesis

    Directory of Open Access Journals (Sweden)

    Fengxia Ge

    2012-01-01

    Full Text Available A nonarteriosclerotic cardiomyopathy is increasingly seen in obese patients. Seeking a rodent model, we studied cardiac histology, function, cardiomyocyte fatty acid uptake, and transporter gene expression in male C57BL/6J control mice and three obesity groups: similar mice fed a high-fat diet (HFD and db/db and ob/ob mice. At sacrifice, all obesity groups had increased body and heart weights and fatty livers. By echocardiography, ejection fraction (EF and fractional shortening (FS of left ventricular diameter during systole were significantly reduced. The Vmax for saturable fatty acid uptake was increased and significantly correlated with cardiac triglycerides and insulin concentrations. Vmax also correlated with expression of genes for the cardiac fatty acid transporters Cd36 and Slc27a1. Genes for de novo fatty acid synthesis (Fasn, Scd1 were also upregulated. Ten oxidative phosphorylation pathway genes were downregulated, suggesting that a decrease in cardiomyocyte ATP synthesis might explain the decreased contractile function in obese hearts.

  10. MRUniNovo: an efficient tool for de novo peptide sequencing utilizing the hadoop distributed computing framework.

    Science.gov (United States)

    Li, Chuang; Chen, Tao; He, Qiang; Zhu, Yunping; Li, Kenli

    2017-03-15

    Tandem mass spectrometry-based de novo peptide sequencing is a complex and time-consuming process. The current algorithms for de novo peptide sequencing cannot rapidly and thoroughly process large mass spectrometry datasets. In this paper, we propose MRUniNovo, a novel tool for parallel de novo peptide sequencing. MRUniNovo parallelizes UniNovo based on the Hadoop compute platform. Our experimental results demonstrate that MRUniNovo significantly reduces the computation time of de novo peptide sequencing without sacrificing the correctness and accuracy of the results, and thus can process very large datasets that UniNovo cannot. MRUniNovo is an open source software tool implemented in java. The source code and the parameter settings are available at http://bioinfo.hupo.org.cn/MRUniNovo/index.php. s131020002@hnu.edu.cn ; taochen1019@163.com. Supplementary data are available at Bioinformatics online. © The Author 2016. Published by Oxford University Press. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com

  11. De novo design of an RNA tile that self-assembles into a homo-octameric nanoprism

    Science.gov (United States)

    Yu, Jinwen; Liu, Zhiyu; Jiang, Wen; Wang, Guansong; Mao, Chengde

    2015-01-01

    Rational, de novo design of RNA nanostructures can potentially integrate a wide array of structural and functional diversities. Such nanostructures have great promises in biomedical applications. Despite impressive progress in this field, all RNA building blocks (or tiles) reported so far are not geometrically well defined. They are generally flexible and can only assemble into a mixture of complexes with different sizes. To achieve defined structures, multiple tiles with different sequences are needed. In this study, we design an RNA tile that can homo-oligomerize into a uniform RNA nanostructure. The designed RNA nanostructure is characterized by gel electrophoresis, atomic force microscopy and cryogenic electron microscopy imaging. We believe that development along this line would help RNA nanotechnology to reach the structural control that is currently associated with DNA nanotechnology.

  12. NovoPen Echo® insulin delivery device

    Directory of Open Access Journals (Sweden)

    Hyllested-Winge J

    2016-01-01

    Full Text Available Jacob Hyllested-Winge,1 Thomas Sparre,2 Line Kynemund Pedersen2 1Novo Nordisk Pharma Ltd, Tokyo, Japan; 2Novo Nordisk A/S, Søborg, Denmark Abstract: The introduction of insulin pen devices has provided easier, well-tolerated, and more convenient treatment regimens for patients with diabetes mellitus. When compared with vial and syringe regimens, insulin pens offer a greater clinical efficacy, improved quality of life, and increased dosing accuracy, particularly at low doses. The portable and discreet nature of pen devices reduces the burden on the patient, facilitates adherence, and subsequently contributes to the improvement in glycemic control. NovoPen Echo® is one of the latest members of the NovoPen® family that has been specifically designed for the pediatric population and is the first to combine half-unit increment (=0.5 U of insulin dosing with a simple memory function. The half-unit increment dosing amendments and accurate injection of 0.5 U of insulin are particularly beneficial for children (and insulin-sensitive adults/elders, who often require small insulin doses. The memory function can be used to record the time and amount of the last dose, reducing the fear of double dosing or missing a dose. The memory function also provides parents with extra confidence and security that their child is taking insulin at the correct doses and times. NovoPen Echo is a lightweight, durable insulin delivery pen; it is available in two different colors, which may help to distinguish between different types of insulin, providing more confidence for both users and caregivers. Studies have demonstrated a high level of patient satisfaction, with 80% of users preferring NovoPen Echo to other pediatric insulin pens. Keywords: NovoPen Echo®, memory function, half-unit increment dosing, adherence, children, adolescents 

  13. Finite element design for the HPHT synthesis of diamond

    Science.gov (United States)

    Li, Rui; Ding, Mingming; Shi, Tongfei

    2018-06-01

    The finite element method is used to simulate the steady-state temperature field in diamond synthesis cell. The 2D and 3D models of the China-type cubic press with large deformation of the synthesis cell was established successfully, which has been verified by situ measurements of synthesis cell. The assembly design, component design and process design for the HPHT synthesis of diamond based on the finite element simulation were presented one by one. The temperature field in a high-pressure synthetic cavity for diamond production is optimized by adjusting the cavity assembly. A series of analysis about the influence of the pressure media parameters on the temperature field are examined through adjusting the model parameters. Furthermore, the formation mechanism of wasteland was studied in detail. It indicates that the wasteland is inevitably exists in the synthesis sample, the distribution of growth region of the diamond with hex-octahedral is move to the center of the synthesis sample from near the heater as the power increasing, and the growth conditions of high quality diamond is locating at the center of the synthesis sample. These works can offer suggestion and advice to the development and optimization of a diamond production process.

  14. Management, nutrition, and lactation performance are related to bulk tank milk de novo fatty acid concentration on northeastern US dairy farms.

    Science.gov (United States)

    Woolpert, M E; Dann, H M; Cotanch, K W; Melilli, C; Chase, L E; Grant, R J; Barbano, D M

    2016-10-01

    This study investigated the relationship of management practices, dietary characteristics, milk composition, and lactation performance with de novo fatty acid (FA) concentration in bulk tank milk from commercial dairy farms with Holstein, Jersey, and mixed-breed cows. It was hypothesized that farms with higher de novo milk FA concentrations would more commonly use management and nutrition practices known to optimize ruminal conditions that enhance de novo synthesis of milk FA. Farms (n=44) located in Vermont and northeastern New York were selected based on a history of high de novo (HDN; 26.18±0.94g/100g of FA; mean ± standard deviation) or low de novo (LDN; 24.19±1.22g/100g of FA) FA in bulk tank milk. Management practices were assessed during one visit to each farm in March or April, 2014. Total mixed ration samples were collected and analyzed for chemical composition using near infrared spectroscopy. We found no differences in days in milk at the farm level. Yield of milk fat, true protein, and de novo FA per cow per day were higher for HDN versus LDN farms. The HDN farms had lower freestall stocking density (cows/stall) than LDN farms. Additionally, tiestall feeding frequency was higher for HDN than LDN farms. No differences between HDN and LDN farms were detected for dietary dry matter, crude protein, neutral detergent fiber, starch, or percentage of forage in the diet. However, dietary ether extract was lower for HDN than LDN farms. This research indicates that overcrowded freestalls, reduced feeding frequency, and greater dietary ether extract content are associated with lower de novo FA synthesis and reduced milk fat and true protein yields on commercial dairy farms. Copyright © 2016 American Dairy Science Association. Published by Elsevier Inc. All rights reserved.

  15. Extreme-Scale De Novo Genome Assembly

    Energy Technology Data Exchange (ETDEWEB)

    Georganas, Evangelos [Intel Corporation, Santa Clara, CA (United States); Hofmeyr, Steven [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Joint Genome Inst.; Egan, Rob [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Computational Research Division; Buluc, Aydin [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Joint Genome Inst.; Oliker, Leonid [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Joint Genome Inst.; Rokhsar, Daniel [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Computational Research Division; Yelick, Katherine [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Joint Genome Inst.

    2017-09-26

    De novo whole genome assembly reconstructs genomic sequence from short, overlapping, and potentially erroneous DNA segments and is one of the most important computations in modern genomics. This work presents HipMER, a high-quality end-to-end de novo assembler designed for extreme scale analysis, via efficient parallelization of the Meraculous code. Genome assembly software has many components, each of which stresses different components of a computer system. This chapter explains the computational challenges involved in each step of the HipMer pipeline, the key distributed data structures, and communication costs in detail. We present performance results of assembling the human genome and the large hexaploid wheat genome on large supercomputers up to tens of thousands of cores.

  16. A simple and accurate two-step long DNA sequences synthesis strategy to improve heterologous gene expression in pichia.

    Directory of Open Access Journals (Sweden)

    Jiang-Ke Yang

    Full Text Available In vitro gene chemical synthesis is a powerful tool to improve the expression of gene in heterologous system. In this study, a two-step gene synthesis strategy that combines an assembly PCR and an overlap extension PCR (AOE was developed. In this strategy, the chemically synthesized oligonucleotides were assembled into several 200-500 bp fragments with 20-25 bp overlap at each end by assembly PCR, and then an overlap extension PCR was conducted to assemble all these fragments into a full length DNA sequence. Using this method, we de novo designed and optimized the codon of Rhizopus oryzae lipase gene ROL (810 bp and Aspergillus niger phytase gene phyA (1404 bp. Compared with the original ROL gene and phyA gene, the codon-optimized genes expressed at a significantly higher level in yeasts after methanol induction. We believe this AOE method to be of special interest as it is simple, accurate and has no limitation with respect to the size of the gene to be synthesized. Combined with de novo design, this method allows the rapid synthesis of a gene optimized for expression in the system of choice and production of sufficient biological material for molecular characterization and biotechnological application.

  17. Method for innovative synthesis-design of chemical process flowsheets

    DEFF Research Database (Denmark)

    Kumar Tula, Anjan; Gani, Rafiqul

    Chemical process synthesis-design involve the identification of the processing route to reach a desired product from a specified set of raw materials, design of the operations involved in the processing route, the calculations of utility requirements, the calculations of waste and emission...... to the surrounding and many more. Different methods (knowledge-based [1], mathematical programming [2], hybrid, etc.) have been proposed and are also currently employed to solve these synthesis-design problems. D’ Anterroches [3] proposed a group contribution based approach to solve the synthesis-design problem...... of chemical processes, where, chemical process flowsheets could be synthesized in the same way as atoms or groups of atoms are synthesized to form molecules in computer aided molecular design (CAMD) techniques [4]. That, from a library of building blocks (functional process-groups) and a set of rules to join...

  18. Effect of carbohydrate intake on de novo lipogenesis in human adipose tissue

    International Nuclear Information System (INIS)

    Chascione, C.; Elwyn, D.H.; Davila, M.; Gil, K.M.; Askanazi, J.; Kinney, J.M.

    1987-01-01

    Rates of synthesis, from [ 14 C]glucose, of fatty acids (de novo lipogenesis) and glycerol (triglyceride synthesis) were measured in biopsies of adipose tissue from nutritionally depleted patients given low- or high-carbohydrate intravenous nutrition. Simultaneously, energy expenditure and whole-body lipogenesis were measured by indirect calorimetry. Rates of whole-body lipogenesis were zero on the low-carbohydrate diet and averaged 1.6 g·kg -1 ·day -1 on the high-carbohydrate diet. In vitro rates of triglyceride synthesis increased 3-fold going from the low to the high intake; rates of fatty acid synthesis increased ∼80-fold. In vitro, lipogenesis accounted for <0.1% of triglyceride synthesis on the low intake and 4% on the high intake. On the high-carbohydrate intake, in vitro rates of triglyceride synthesis accounted for 61% of the rates of unidirectional triglyceride synthesis measured by indirect calorimetry. In vitro rates of lipogenesis accounted for 7% of whole-body lipogenesis. Discrepancies between in vitro rates of fatty acid synthesis from glucose, compared with acetate and citrate, as reported by others, suggest that in depleted patients on hypercaloric high-carbohydrate diets, adipose tissue may account for up to 40% of whole-body lipogenesis

  19. Modular Engineering Concept at Novo Nordisk Engineering

    DEFF Research Database (Denmark)

    Moelgaard, Gert; Miller, Thomas Dedenroth

    1997-01-01

    This report describes the concept of a new engineering method at Novo Nordisk Engineering: Modular Engineering (ME). Three tools are designed to support project phases with different levels of detailing and abstraction. ME supports a standard, cross-functional breakdown of projects that facilitates...

  20. The synthesis method for design of electron flow sources

    Science.gov (United States)

    Alexahin, Yu I.; Molodozhenzev, A. Yu

    1997-01-01

    The synthesis method to design a relativistic magnetically - focused beam source is described in this paper. It allows to find a shape of electrodes necessary to produce laminar space charge flows. Electron guns with shielded cathodes designed with this method were analyzed using the EGUN code. The obtained results have shown the coincidence of the synthesis and analysis calculations [1]. This method of electron gun calculation may be applied for immersed electron flows - of interest for the EBIS electron gun design.

  1. DeNovoGUI: an open source graphical user interface for de novo sequencing of tandem mass spectra.

    Science.gov (United States)

    Muth, Thilo; Weilnböck, Lisa; Rapp, Erdmann; Huber, Christian G; Martens, Lennart; Vaudel, Marc; Barsnes, Harald

    2014-02-07

    De novo sequencing is a popular technique in proteomics for identifying peptides from tandem mass spectra without having to rely on a protein sequence database. Despite the strong potential of de novo sequencing algorithms, their adoption threshold remains quite high. We here present a user-friendly and lightweight graphical user interface called DeNovoGUI for running parallelized versions of the freely available de novo sequencing software PepNovo+, greatly simplifying the use of de novo sequencing in proteomics. Our platform-independent software is freely available under the permissible Apache2 open source license. Source code, binaries, and additional documentation are available at http://denovogui.googlecode.com .

  2. Property Based Process and Product Synthesis and Design

    DEFF Research Database (Denmark)

    Eden, Mario Richard

    2003-01-01

    in terms of the constitutive (synthesis/design) variables instead of the process variables, thus providing the synthesis/design targets. The second reverse problem (reverse property prediction) solves the constitutive equations to identify unit operations, operating conditions and/or products by matching......This thesis describes the development of a general framework for solving process and product design problems. Targeting the desired performance of the system in a systematic manner relieves the iterative nature of conventional design techniques. Furthermore, conventional component based methods...... are not capable of handling problems, where the process or product objectives are driven by functionalities or properties rather than chemical constituency. The framework is meant to complement existing composition based methods by being able to handle property driven problems. By investigating the different...

  3. PRO_LIGAND: an approach to de novo molecular design. 2. Design of novel molecules from molecular field analysis (MFA) models and pharmacophores.

    Science.gov (United States)

    Waszkowycz, B; Clark, D E; Frenkel, D; Li, J; Murray, C W; Robson, B; Westhead, D R

    1994-11-11

    A computational approach for molecular design, PRO_LIGAND, has been developed within the PROMETHEUS molecular design and simulation system in order to provide a unified framework for the de novo generation of diverse molecules which are either similar or complementary to a specified target. In this instance, the target is a pharmacophore derived from a series of active structures either by a novel interpretation of molecular field analysis data or by a pharmacophore-mapping procedure based on clique detection. After a brief introduction to PRO_LIGAND, a detailed description is given of the two pharmacophore generation procedures and their abilities are demonstrated by the elucidation of pharmacophores for steroid binding and ACE inhibition, respectively. As a further indication of its efficacy in aiding the rational drug design process, PRO_LIGAND is then employed to build novel organic molecules to satisfy the physicochemical constraints implied by the pharmacophores.

  4. Constraining designs for synthesis and timing analysis a practical guide to synopsys design constraints (SDC)

    CERN Document Server

    Gangadharan, Sridhar

    2013-01-01

    This book serves as a hands-on guide to timing constraints in integrated circuit design.  Readers will learn to maximize performance of their IC designs, by specifying timing requirements correctly.  Coverage includes key aspects of the design flow impacted by timing constraints, including synthesis, static timing analysis and placement and routing.  Concepts needed for specifying timing requirements are explained in detail and then applied to specific stages in the design flow, all within the context of Synopsys Design Constraints (SDC), the industry-leading format for specifying constraints.  ·         Provides a hands-on guide to synthesis and timing analysis, using Synopsys Design Constraints (SDC), the industry-leading format for specifying constraints; ·         Includes key topics of interest to a synthesis, static timing analysis or  place and route engineer; ·         Explains which constraints command to use for ease of maintenance and reuse, given several options pos...

  5. Design of Nanomaterial Synthesis by Aerosol Processes

    Science.gov (United States)

    Buesser, Beat; Pratsinis, Sotiris E.

    2013-01-01

    Aerosol synthesis of materials is a vibrant field of particle technology and chemical reaction engineering. Examples include the manufacture of carbon blacks, fumed SiO2, pigmentary TiO2, ZnO vulcanizing catalysts, filamentary Ni, and optical fibers, materials that impact transportation, construction, pharmaceuticals, energy, and communications. Parallel to this, development of novel, scalable aerosol processes has enabled synthesis of new functional nanomaterials (e.g., catalysts, biomaterials, electroceramics) and devices (e.g., gas sensors). This review provides an access point for engineers to the multiscale design of aerosol reactors for the synthesis of nanomaterials using continuum, mesoscale, molecular dynamics, and quantum mechanics models spanning 10 and 15 orders of magnitude in length and time, respectively. Key design features are the rapid chemistry; the high particle concentrations but low volume fractions; the attainment of a self-preserving particle size distribution by coagulation; the ratio of the characteristic times of coagulation and sintering, which controls the extent of particle aggregation; and the narrowing of the aggregate primary particle size distribution by sintering. PMID:22468598

  6. Apoprotein Structure and Metal Binding Characterization of a de Novo Designed Peptide, α3DIV, that Sequesters Toxic Heavy Metals.

    Science.gov (United States)

    Plegaria, Jefferson S; Dzul, Stephen P; Zuiderweg, Erik R P; Stemmler, Timothy L; Pecoraro, Vincent L

    2015-05-12

    De novo protein design is a biologically relevant approach that provides a novel process in elucidating protein folding and modeling the metal centers of metalloproteins in a completely unrelated or simplified fold. An integral step in de novo protein design is the establishment of a well-folded scaffold with one conformation, which is a fundamental characteristic of many native proteins. Here, we report the NMR solution structure of apo α3DIV at pH 7.0, a de novo designed three-helix bundle peptide containing a triscysteine motif (Cys18, Cys28, and Cys67) that binds toxic heavy metals. The structure comprises 1067 NOE restraints derived from multinuclear multidimensional NOESY, as well as 138 dihedral angles (ψ, φ, and χ1). The backbone and heavy atoms of the 20 lowest energy structures have a root mean square deviation from the mean structure of 0.79 (0.16) Å and 1.31 (0.15) Å, respectively. When compared to the parent structure α3D, the substitution of Leu residues to Cys enhanced the α-helical content of α3DIV while maintaining the same overall topology and fold. In addition, solution studies on the metalated species illustrated metal-induced stability. An increase in the melting temperatures was observed for Hg(II), Pb(II), or Cd(II) bound α3DIV by 18-24 °C compared to its apo counterpart. Further, the extended X-ray absorption fine structure analysis on Hg(II)-α3DIV produced an average Hg(II)-S bond length at 2.36 Å, indicating a trigonal T-shaped coordination environment. Overall, the structure of apo α3DIV reveals an asymmetric distorted triscysteine metal binding site, which offers a model for native metalloregulatory proteins with thiol-rich ligands that function in regulating toxic heavy metals, such as ArsR, CadC, MerR, and PbrR.

  7. General aviation design synthesis utilizing interactive computer graphics

    Science.gov (United States)

    Galloway, T. L.; Smith, M. R.

    1976-01-01

    Interactive computer graphics is a fast growing area of computer application, due to such factors as substantial cost reductions in hardware, general availability of software, and expanded data communication networks. In addition to allowing faster and more meaningful input/output, computer graphics permits the use of data in graphic form to carry out parametric studies for configuration selection and for assessing the impact of advanced technologies on general aviation designs. The incorporation of interactive computer graphics into a NASA developed general aviation synthesis program is described, and the potential uses of the synthesis program in preliminary design are demonstrated.

  8. Modular optimization of heterologous pathways for de novo synthesis of (2S-naringenin in Escherichia coli.

    Directory of Open Access Journals (Sweden)

    Junjun Wu

    Full Text Available Due to increasing concerns about food safety and environmental issues, bio-based production of flavonoids from safe, inexpensive, and renewable substrates is increasingly attracting attention. Here, the complete biosynthetic pathway, consisting of 3-deoxy-D-arabinoheptulosonate 7-phosphate synthase (DAHPS, chorismate mutase/prephenate dehydrogenase (CM/PDH, tyrosine ammonia lyase (TAL, 4-coumarate:CoA ligase (4CL, chalcone synthase (CHS, chalcone isomerase (CHI, malonate synthetase, and malonate carrier protein, was constructed using pre-made modules to overproduce (2S-naringenin from D-glucose. Modular pathway engineering strategies were applied to the production of the flavonoid precursor (2S-naringenin from L-tyrosine to investigate the metabolic space for efficient conversion. Modular expression was combinatorially tuned by modifying plasmid gene copy numbers and promoter strengths to identify an optimally balanced pathway. Furthermore, a new modular pathway from D-glucose to L-tyrosine was assembled and re-optimized with the identified optimal modules to enable de novo synthesis of (2S-naringenin. Once this metabolic balance was achieved, the optimum strain was capable of producing 100.64 mg/L (2S-naringenin directly from D-glucose, which is the highest production titer from D-glucose in Escherichia coli. The fermentation system described here paves the way for the development of an economical process for microbial production of flavonoids.

  9. Airline Maintenance Manpower Optimization from the De Novo Perspective

    Science.gov (United States)

    Liou, James J. H.; Tzeng, Gwo-Hshiung

    Human resource management (HRM) is an important issue for today’s competitive airline marketing. In this paper, we discuss a multi-objective model designed from the De Novo perspective to help airlines optimize their maintenance manpower portfolio. The effectiveness of the model and solution algorithm is demonstrated in an empirical study of the optimization of the human resources needed for airline line maintenance. Both De Novo and traditional multiple objective programming (MOP) methods are analyzed. A comparison of the results with those of traditional MOP indicates that the proposed model and solution algorithm does provide better performance and an improved human resource portfolio.

  10. Statins increase hepatic cholesterol synthesis and stimulate fecal cholesterol elimination in mice

    NARCIS (Netherlands)

    Schonewille, Marleen; de Boer, Jan Freark; Mele, Laura; Wolters, Henk; Bloks, Vincent W.; Wolters, Justina C.; Kuivenhoven, Jan A.; Tietge, Uwe J. F.; Brufau, Gemma; Groen, Albert K.

    Statins are competitive inhibitors of HMG-CoA reductase, the rate-limiting enzyme of cholesterol synthesis. Statins reduce plasma cholesterol levels, but whether this is actually caused by inhibition of de novo cholesterol synthesis has not been clearly established. Using three different statins, we

  11. Statins increase hepatic cholesterol synthesis and stimulate fecal cholesterol elimination in mice

    NARCIS (Netherlands)

    Schonewille, Marleen; de Boer, Jan Freark; Mele, Laura; Wolters, Henk; Bloks, Vincent W.; Wolters, Justina C.; Kuivenhoven, Jan A.; Tietge, Uwe J. F.; Brufau, Gemma; Groen, Albert K.

    2016-01-01

    Statins are competitive inhibitors of HMG-CoA reductase, the rate-limiting enzyme of cholesterol synthesis. Statins reduce plasma cholesterol levels, but whether this is actually caused by inhibition of de novo cholesterol synthesis has not been clearly established. Using three different statins, we

  12. Uridine monophosphate synthetase enables eukaryotic de novo NAD+ biosynthesis from quinolinic acid.

    Science.gov (United States)

    McReynolds, Melanie R; Wang, Wenqing; Holleran, Lauren M; Hanna-Rose, Wendy

    2017-07-07

    NAD + biosynthesis is an attractive and promising therapeutic target for influencing health span and obesity-related phenotypes as well as tumor growth. Full and effective use of this target for therapeutic benefit requires a complete understanding of NAD + biosynthetic pathways. Here, we report a previously unrecognized role for a conserved phosphoribosyltransferase in NAD + biosynthesis. Because a required quinolinic acid phosphoribosyltransferase (QPRTase) is not encoded in its genome, Caenorhabditis elegans are reported to lack a de novo NAD + biosynthetic pathway. However, all the genes of the kynurenine pathway required for quinolinic acid (QA) production from tryptophan are present. Thus, we investigated the presence of de novo NAD + biosynthesis in this organism. By combining isotope-tracing and genetic experiments, we have demonstrated the presence of an intact de novo biosynthesis pathway for NAD + from tryptophan via QA, highlighting the functional conservation of this important biosynthetic activity. Supplementation with kynurenine pathway intermediates also boosted NAD + levels and partially reversed NAD + -dependent phenotypes caused by mutation of pnc-1 , which encodes a nicotinamidase required for NAD + salvage biosynthesis, demonstrating contribution of de novo synthesis to NAD + homeostasis. By investigating candidate phosphoribosyltransferase genes in the genome, we determined that the conserved uridine monophosphate phosphoribosyltransferase (UMPS), which acts in pyrimidine biosynthesis, is required for NAD + biosynthesis in place of the missing QPRTase. We suggest that similar underground metabolic activity of UMPS may function in other organisms. This mechanism for NAD + biosynthesis creates novel possibilities for manipulating NAD + biosynthetic pathways, which is key for the future of therapeutics. © 2017 by The American Society for Biochemistry and Molecular Biology, Inc.

  13. Synthesis and Design of Processing Networks

    DEFF Research Database (Denmark)

    Quaglia, Alberto; Sarup, Bent; Sin, Gürkan

    2012-01-01

    In this contribution, we propose an integrated business and engineering framework for synthesis and design of processing networks under uncertainty. In our framework, an adapted formulation of the transhipment problem is integrated with a superstructure, leading to a Stochastic Mixed Integer Non...... under market and technical uncertainty....

  14. Pesquisa de novos elementos Pesquisa de novos elementos

    Directory of Open Access Journals (Sweden)

    Gil Mário de Macedo Grassi

    1978-11-01

    Full Text Available The present study deals with the discovery of new elements synthesized by man. The introduction discusses in general the theories about nuclear transmutation, which is the method employed in these syntheses. The study shows the importance of the Periodical Table since it is through this table that one can reach a prevision of new elements and its, properties. The discoveries of the transuranic elements, together wich the data of their first preparations are also tabulated The stability of these elements is also discussed, and future speculations are showedNeste trabalho estuda-se, teoricamente, a descoberta de novos elementos sintetizados pelo homem Na introdução apresentamos um apanhado geral sobre as teorias a respeito da transmutação nuclear, que é o método utilizado nestas sínteses. Em seguida, mostramos a importância da Tabela Periódica, pois é através dela que se chega à previsão dos novos elementos e de suas propriedades. As descobertas dos transurânicos, Já realizadas com êxito, juntamente com os dados de suas primeiras preparações são tabelados. A estabilidade destes novos elementos também é discutida, e apresentadas futuras especulações.

  15. Cooperative catalysis designing efficient catalysts for synthesis

    CERN Document Server

    Peters, René

    2015-01-01

    Written by experts in the field, this is a much-needed overview of the rapidly emerging field of cooperative catalysis. The authors focus on the design and development of novel high-performance catalysts for applications in organic synthesis (particularly asymmetric synthesis), covering a broad range of topics, from the latest progress in Lewis acid / Br?nsted base catalysis to e.g. metal-assisted organocatalysis, cooperative metal/enzyme catalysis, and cooperative catalysis in polymerization reactions and on solid surfaces. The chapters are classified according to the type of cooperating acti

  16. Web Access to Digitised Content of the Exhibition Novo Mesto 1848-1918 at the Dolenjska Museum, Novo Mesto

    Directory of Open Access Journals (Sweden)

    Majda Pungerčar

    2013-09-01

    Full Text Available EXTENDED ABSTRACTFor the first time, the Dolenjska museum Novo mesto provided access to digitised museum resources when they took the decision to enrich the exhibition Novo mesto 1848-1918 by adding digital content. The following goals were identified: the digital content was created at the time of exhibition planning and design, it met the needs of different age groups of visitors, and during the exhibition the content was accessible via touch screen. As such, it also served for educational purposes (content-oriented lectures or problem solving team work. In the course of exhibition digital content was accessible on the museum website http://www.novomesto1848-1918.si. The digital content was divided into the following sections: the web photo gallery, the quiz and the game. The photo gallery was designed in the same way as the exhibition and the print catalogue and extended by the photos of contemporary Novo mesto and accompanied by the music from the orchestron machine. The following themes were outlined: the Austrian Empire, the Krka and Novo mesto, the town and its symbols, images of the town and people, administration and economy, social life and Novo mesto today followed by digitised archive materials and sources from that period such as the Commemorative book of the Uniformed Town Guard, the National Reading Room Guest Book, the Kazina guest book, the album of postcards and the Diploma of Honoured Citizen Josip Gerdešič. The Web application was also a tool for a simple and on line selection of digitised material and the creation of new digital content which proved to be much more convenient for lecturing than Power Point presentations. The quiz consisted of 40 questions relating to the exhibition theme and the catalogue. Each question offered a set of three answers only one of them being correct and illustrated by photography. The application auto selected ten questions and valued the answers immediately. The quiz could be accessed

  17. Effect of Reaction Time on PCDD and PCDF Formation by De novo Synthetic Reactions under Oxygen Deficient and Rich Atmosphere

    Czech Academy of Sciences Publication Activity Database

    Grabic, R.; Pekárek, Vladimír; Ullrich, Jan; Punčochář, Miroslav; Fišerová, Eva; Karban, Jindřich; Šebestová, M.

    2002-01-01

    Roč. 49, č. 7 (2002), s. 691-696 ISSN 0045-6535 R&D Projects: GA ČR GA104/97/S002; GA AV ČR IAA4072901 Keywords : activated carbon * Copper chloride * de novo synthesis Subject RIV: CC - Organic Chemistry Impact factor: 1.461, year: 2002

  18. De Novo Synthesis of Phosphorylated Triblock Copolymers with Pathogen Virulence-Suppressing Properties That Prevent Infection-Related Mortality

    Energy Technology Data Exchange (ETDEWEB)

    Mao, Jun; Zaborin, Alexander; Poroyko, Valeriy; Goldfeld, David; Lynd, Nathaniel A. [McKetta; Chen, Wei [Institute; Tirrell, Matthew V. [Institute; Zaborina, Olga; Alverdy, John C.

    2017-07-31

    Phosphate is a key and universal "cue" in response to which bacteria either enhance their virulence when local phosphate is scarce or downregulate it when phosphate is adundant. Phosphate becomes depleted in the mammalian gut following physiologic stress and serves as a major trigger for colonizing bacteria to express virulence. This process cannot be reversed with oral supplementation of inorganic phosphate because it is nearly completely absorbed in the proximal small intestine. In the present study, we describe the de novo synthesis of phosphorylated polyethylene glycol compounds with three defined ABA (hydrophilic/-phobic/-philic) structures, ABA-PEG10k-Pi10, ABA-PEG16k-Pi14, and ABA-PEG20k-Pi20, and linear polymer PEG20k-Pi20 absent of the hydrophobic block. The 10k, 16k, and 20k demonstrate the molecular weights of the poly(ethylene glycol) block, and Pi10, Pi14, and Pi20 represent the repeating units of phosphate. Polymers were tested for their efficacy against Pseudomonas aeruginosa virulence in vitro and in vivo by assessing the expression of the phosphate sensing protein PstS, the production of key virulence factor pyocyanin, and Caenorhabditis elegans killing assays. Results indicate that all phosphorylated polymers suppressed phosphate sensing, virulence expression, and lethality in P. aeruginosa. Among all of the phosphorylated polymers, ABA-PEG20kPi20 displayed the greatest degree of protection against P. aeruginosa. To define the role of the hydrophobic core in ABA-PEG20k-Pi20 in the above response, we synthesized PEG20k-Pi20 in which the hydrophobic core is absent. Results indicate that the hypdrophobic core of ABA-PEG20k-Pi20 is a key structure in its protective effect against P. aeruginosa, in part due to its ability to coat the surface of bacteria. Taken together, the synthesis of novel polymers with defined structures and levels of phosphorylation may elucidate their antivirulence action against clinically important and lethal pathogens such as

  19. Analysis of pyrimidine synthesis "de novo" intermediates in urine and dried urine filter- paper strips with HPLC-electrospray tandem mass spectrometry

    NARCIS (Netherlands)

    van Kuilenburg, André B. P.; van Lenthe, Henk; Löffler, Monika; van Gennip, Albert H.

    2004-01-01

    BACKGROUND: The concentrations of the pyrimidine "de novo" metabolites and their degradation products in urine are useful indicators for the diagnosis of an inborn error of the pyrimidine de novo pathway or a urea-cycle defect. Until now, no procedure was available that allowed the analysis of all

  20. Low Power Design with High-Level Power Estimation and Power-Aware Synthesis

    CERN Document Server

    Ahuja, Sumit; Shukla, Sandeep Kumar

    2012-01-01

    Low-power ASIC/FPGA based designs are important due to the need for extended battery life, reduced form factor, and lower packaging and cooling costs for electronic devices. These products require fast turnaround time because of the increasing demand for handheld electronic devices such as cell-phones, PDAs and high performance machines for data centers. To achieve short time to market, design flows must facilitate a much shortened time-to-product requirement. High-level modeling, architectural exploration and direct synthesis of design from high level description enable this design process. This book presents novel research techniques, algorithms,methodologies and experimental results for high level power estimation and power aware high-level synthesis. Readers will learn to apply such techniques to enable design flows resulting in shorter time to market and successful low power ASIC/FPGA design. Integrates power estimation and reduction for high level synthesis, with low-power, high-level design; Shows spec...

  1. Towards accurate de novo assembly for genomes with repeats

    NARCIS (Netherlands)

    Bucur, Doina

    2017-01-01

    De novo genome assemblers designed for short k-mer length or using short raw reads are unlikely to recover complex features of the underlying genome, such as repeats hundreds of bases long. We implement a stochastic machine-learning method which obtains accurate assemblies with repeats and

  2. Engineering and introduction of de novo disulphide bridges in ...

    Indian Academy of Sciences (India)

    The engineeringof de novo disulphide bridges has been explored as a means to increase the thermal stability of enzymes in the rationalmethod of protein engineering. In this study, Disulphide by Design software, homology modelling and moleculardynamics simulations were used to select appropriate amino acid pairs for ...

  3. Extra-adrenal glucocorticoid synthesis: immune regulation and aspects on local organ homeostasis.

    Science.gov (United States)

    Talabér, Gergely; Jondal, Mikael; Okret, Sam

    2013-11-05

    Systemic glucocorticoids (GCs) mainly originate from de novo synthesis in the adrenal cortex under the control of the hypothalamus-pituitary-adrenal (HPA)-axis. However, research during the last 1-2 decades has revealed that additional organs express the necessary enzymes and have the capacity for de novo synthesis of biologically active GCs. This includes the thymus, intestine, skin and the brain. Recent research has also revealed that locally synthesized GCs most likely act in a paracrine or autocrine manner and have significant physiological roles in local homeostasis, cell development and immune cell activation. In this review, we summarize the nature, regulation and known physiological roles of extra-adrenal GC synthesis. We specifically focus on the thymus in which GC production (by both developing thymocytes and epithelial cells) has a role in the maintenance of proper immunological function. Copyright © 2013 Elsevier Ireland Ltd. All rights reserved.

  4. De Novo Construction of Redox Active Proteins.

    Science.gov (United States)

    Moser, C C; Sheehan, M M; Ennist, N M; Kodali, G; Bialas, C; Englander, M T; Discher, B M; Dutton, P L

    2016-01-01

    Relatively simple principles can be used to plan and construct de novo proteins that bind redox cofactors and participate in a range of electron-transfer reactions analogous to those seen in natural oxidoreductase proteins. These designed redox proteins are called maquettes. Hydrophobic/hydrophilic binary patterning of heptad repeats of amino acids linked together in a single-chain self-assemble into 4-alpha-helix bundles. These bundles form a robust and adaptable frame for uncovering the default properties of protein embedded cofactors independent of the complexities introduced by generations of natural selection and allow us to better understand what factors can be exploited by man or nature to manipulate the physical chemical properties of these cofactors. Anchoring of redox cofactors such as hemes, light active tetrapyrroles, FeS clusters, and flavins by His and Cys residues allow cofactors to be placed at positions in which electron-tunneling rates between cofactors within or between proteins can be predicted in advance. The modularity of heptad repeat designs facilitates the construction of electron-transfer chains and novel combinations of redox cofactors and new redox cofactor assisted functions. Developing de novo designs that can support cofactor incorporation upon expression in a cell is needed to support a synthetic biology advance that integrates with natural bioenergetic pathways. © 2016 Elsevier Inc. All rights reserved.

  5. Computer-Aided Sustainable Process Synthesis-Design and Analysis

    DEFF Research Database (Denmark)

    Kumar Tula, Anjan

    -groups is that, the performance of the entire process can be evaluated from the contributions of the individual process-groups towards the selected flowsheet property (for example, energy consumed). The developed flowsheet property models include energy consumption, carbon footprint, product recovery, product......Process synthesis involves the investigation of chemical reactions needed to produce the desired product, selection of the separation techniques needed for downstream processing, as well as taking decisions on sequencing the involved separation operations. For an effective, efficient and flexible...... focuses on the development and application of a computer-aided framework for sustainable synthesis-design and analysis of process flowsheets by generating feasible alternatives covering the entire search space and includes analysis tools for sustainability, LCA and economics. The synthesis method is based...

  6. de novo'' aneurysms following endovascular procedures

    International Nuclear Information System (INIS)

    Briganti, F.; Cirillo, S.; Caranci, F.; Esposito, F.; Maiuri, F.

    2002-01-01

    Two personal cases of ''de novo'' aneurysms of the anterior communicating artery (ACoA) occurring 9 and 4 years, respectively, after endovascular carotid occlusion are described. A review of the 30 reported cases (including our own two) of ''de novo'' aneurysms after occlusion of the major cerebral vessels has shown some features, including a rather long time interval after the endovascular procedure of up to 20-25 years (average 9.6 years), a preferential ACoA (36.3%) and internal carotid artery-posterior communicating artery (ICA-PCoA) (33.3%) location of the ''de novo'' aneurysms, and a 10% rate of multiple aneurysms. These data are compared with those of the group of reported spontaneous ''de novo'' aneurysms after SAH or previous aneurysm clipping. We agree that the frequency of ''de novo'' aneurysms after major-vessel occlusion (two among ten procedures in our series, or 20%) is higher than commonly reported (0 to 11%). For this reason, we suggest that patients who have been submitted to endovascular major-vessel occlusion be followed up for up to 20-25 years after the procedure, using non-invasive imaging studies such as MR angiography and high-resolution CT angiography. On the other hand, periodic digital angiography has a questionable risk-benefit ratio; it may be used when a ''de novo'' aneurysm is detected or suspected on non-invasive studies. The progressive enlargement of the ACoA after carotid occlusion, as described in our case 1, must be considered a radiological finding of risk for ''de novo'' aneurysm formation. (orig.)

  7. Designed synthesis of tunable amorphous carbon nanotubes (a ...

    Indian Academy of Sciences (India)

    Administrator

    Page 1. Electronic Supplementary Material. Graphical abstract. Designed synthesis of tunable amorphous carbon nanotubes (a-CNTs) by a novel route and their oxidation resistance properties by Longlong. Xu et al (pp 1397–1402).

  8. Expanding the pleuromutilin class of antibiotics by de novo chemical synthesis

    Science.gov (United States)

    Lotesta, Stephen D.; Liu, Junjia; Yates, Emma V.; Krieger, Inna; Sacchettini, James C.; Freundlich, Joel S.; Sorensen, Erik J.

    2011-01-01

    New pleuromutilin-like compounds were synthesized in approximately 11 steps from 3-allylcyclopent-2-enone by a strategy featuring sequential carbonyl addition reactions. Several analogs possessing the C14 tiamulin ester side chain displayed activity in a Mycobacterium tuberculosis mc27000 assay. The results described herein provide a basis for further efforts to expand the structural and stereochemical diversity of the pleuromutilin class of bacterial protein synthesis inhibitors through advances in chemical synthesis. PMID:21874155

  9. Achieving More Sustainable Designs through a Process Synthesis-Intensification Framework

    DEFF Research Database (Denmark)

    Babi, Deenesh Kavi; Woodley, John; Gani, Rafiqul

    2014-01-01

    More sustainable process designs refer to design alternatives that correspond to lowervalues of a set of targeted performance criteria. In this paper, a multi-level frameworkfor process synthesis-intensification that leads to more sustainable process designs ispresented. At the highest level of a...

  10. Convergent and sequential synthesis designs: implications for conducting and reporting systematic reviews of qualitative and quantitative evidence.

    Science.gov (United States)

    Hong, Quan Nha; Pluye, Pierre; Bujold, Mathieu; Wassef, Maggy

    2017-03-23

    Systematic reviews of qualitative and quantitative evidence can provide a rich understanding of complex phenomena. This type of review is increasingly popular, has been used to provide a landscape of existing knowledge, and addresses the types of questions not usually covered in reviews relying solely on either quantitative or qualitative evidence. Although several typologies of synthesis designs have been developed, none have been tested on a large sample of reviews. The aim of this review of reviews was to identify and develop a typology of synthesis designs and methods that have been used and to propose strategies for synthesizing qualitative and quantitative evidence. A review of systematic reviews combining qualitative and quantitative evidence was performed. Six databases were searched from inception to December 2014. Reviews were included if they were systematic reviews combining qualitative and quantitative evidence. The included reviews were analyzed according to three concepts of synthesis processes: (a) synthesis methods, (b) sequence of data synthesis, and (c) integration of data and synthesis results. A total of 459 reviews were included. The analysis of this literature highlighted a lack of transparency in reporting how evidence was synthesized and a lack of consistency in the terminology used. Two main types of synthesis designs were identified: convergent and sequential synthesis designs. Within the convergent synthesis design, three subtypes were found: (a) data-based convergent synthesis design, where qualitative and quantitative evidence is analyzed together using the same synthesis method, (b) results-based convergent synthesis design, where qualitative and quantitative evidence is analyzed separately using different synthesis methods and results of both syntheses are integrated during a final synthesis, and (c) parallel-results convergent synthesis design consisting of independent syntheses of qualitative and quantitative evidence and an

  11. Effects of inhibitors of DNA synthesis and protein synthesis on the rate of DNA synthesis after exposure of mammalian cells to ultraviolet light

    International Nuclear Information System (INIS)

    Griffiths, T.D.; Dahle, D.B.; Meechan, P.J.; Carpenter, J.G.

    1981-01-01

    Chinese hamster V-79 cells were treated with metabolic inhibitors of DNA or protein synthesis for various intervals of time after exposure of 3.0 or 5.0 J m -2 . After removal of the metabolic block(s) the rate of DNA synthesis was followed by measuring the incorporation of [ 14 C]thymidine into acid-insoluble material. A 2.5 or 5.0h incubation with cycloheximide or hydroxyurea was effective in delaying the onset of the recovery in the rate of DNA synthesis that normally becomes evident several hours after exposure to ultraviolet light. By using concentrations of cycloheximide or hydroxyurea that inhibit DNA synthesis by a similar amount (70%), but protein synthesis by vastly different amounts (95% for cycloheximide; 0% for hydroxyurea), it was apparent that the delay in recovery caused by the treatment of the cells with cycloheximide could be accounted for entirely by its inhibitory effect on DNA synthesis. This suggests that the recovery in DNA synthetic rates following exposure of V-79 cells to ultraviolet light does not appear to require de novo protein synthesis, and therefore does not appear to require the involvement of an inducible DNA repair process. (Auth.)

  12. Study on Strategic Planning of Road and Bridge Infrastructure Development in City Planning: Taking Porto-novo City of Benin Republic as Example

    Directory of Open Access Journals (Sweden)

    Boko-haya Dossa Didier

    2018-01-01

    Full Text Available Concern about the townlet infrastructure construction in developing country is one of the crucial part of county town planning and development. By taking the overall planning and design in a case study of Porto-novo city at Republic of Benin, this paper analyzes the characteristics and opportunities of Porto-novo city and puts forward corresponding infrastructure construction strategy. In the end, the paper comes up with specific plan of planning and design under the background of Porto-novo's planning of development strategy.

  13. De novo malignancy after pancreas transplantation in Japan.

    Science.gov (United States)

    Tomimaru, Y; Ito, T; Marubashi, S; Kawamoto, K; Tomokuni, A; Asaoka, T; Wada, H; Eguchi, H; Mori, M; Doki, Y; Nagano, H

    2015-04-01

    Long-term immunosuppression is associated with an increased risk of cancer. Especially, the immunosuppression in pancreas transplantation is more intensive than that in other organ transplantation because of its strong immunogenicity. Therefore, it suggests that the risk of post-transplant de novo malignancy might increase in pancreas transplantation. However, there have been few studies of de novo malignancy after pancreas transplantation. The aim of this study was to analyze the incidence of de novo malignancy after pancreas transplantation in Japan. Post-transplant patients with de novo malignancy were surveyed and characterized in Japan. Among 107 cases receiving pancreas transplantation in Japan between 2001 and 2010, de novo malignancy developed in 9 cases (8.4%): post-transplant lymphoproliferative disorders in 6 cases, colon cancer in 1 case, renal cancer in 1 case, and brain tumor in 1 case. We clarified the incidence of de novo malignancy after pancreas transplantation in Japan. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. Synthesis of new Schiff bases as materials for the design of ...

    African Journals Online (AJOL)

    Synthesis of new Schiff bases as materials for the design of photovoltaics cells. ... We describe the synthesis of new organic Schiff bases chromophores 5 containing a rhodanine-3- acetic as electron accepteur moiety. Imines 3 were obtained by a condensation reaction from a lead molecule, the aminothiazolinethione 1 with ...

  15. Cell-Free, De Nova Synthesis of Poliovirus

    Science.gov (United States)

    Molla, Akhteruzzaman; Paul, Aniko V.; Wimmer, Eckard

    1991-12-01

    Cell-free translation of poliovirus RNA in an extract of uninfected human (HeLa) cells yielded viral proteins through proteolysis of the polyprotein. In the extract, newly synthesized proteins catalyzed poliovirus-specific RNA synthesis, and formed infectious poliovirus de novo. Newly formed virions were neutralized by type-specific antiserum, and infection of human cells with them was prevented by poliovirus receptor-specific antibodies. Poliovirus synthesis was increased nearly 70-fold when nucleoside triphosphates were added, but it was abolished in the presence of inhibitors of translation or viral genome replication. The ability to conduct cell-free synthesis of poliovirus will aid in the study of picornavirus proliferation and in the search for the control of picornaviral disease.

  16. Procafd: Computer Aided Tool for Synthesis-Design & Analysis of Chemical Process Flowsheets

    DEFF Research Database (Denmark)

    Kumar Tula, Anjan; Eden, Mario R.; Gani, Rafiqul

    2015-01-01

    and emission to the surrounding and many more. In terms of approaches to solve the synthesis-design problem three major lines of attack have emerged: (a) the knowledge based approach [1] which relies on engineering knowledge & problem insights, (b) the optimization approach [2] which relies on the use...... of mathematical programming techniques, (c) hybrid approach which combine two or more approaches. D’Anterroches [3] proposed a group contribution based hybrid approach to solve the synthesis-design problem where, chemical process flowsheets could be synthesized in the same way as atoms or groups of atoms...... parameters for the operations of the high ranked flowsheets are established through reverse engineering approaches based on driving forces available for each operation. In the final stage, rigorous simulation is performed to validate the synthesis-design. Note that since the flowsheet is synthesized...

  17. Iridium-Catalyzed Dynamic Kinetic Isomerization: Expedient Synthesis of Carbohydrates from Achmatowicz Rearrangement Products.

    Science.gov (United States)

    Wang, Hao-Yuan; Yang, Ka; Bennett, Scott R; Guo, Sheng-rong; Tang, Weiping

    2015-07-20

    A highly stereoselective dynamic kinetic isomerization of Achmatowicz rearrangement products was discovered. This new internal redox isomerization provided ready access to key intermediates for the enantio- and diastereoselective synthesis of a series of naturally occurring sugars. The nature of the de novo synthesis also enables the preparation of both enantiomers. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. AMPD2 Regulates GTP Synthesis and is Mutated in a Potentially-Treatable Neurodegenerative Brainstem Disorder

    Science.gov (United States)

    Akizu, Naiara; Cantagrel, Vincent; Schroth, Jana; Cai, Na; Vaux, Keith; McCloskey, Douglas; Naviaux, Robert K.; Vleet, Jeremy Van; Fenstermaker, Ali G.; Silhavy, Jennifer L.; Scheliga, Judith S.; Toyama, Keiko; Morisaki, Hiroko; Sonmez, Fatma Mujgan; Celep, Figen; Oraby, Azza; Zaki, Maha S.; Al-Baradie, Raidah; Faqeih, Eissa; Saleh, Mohammad; Spencer, Emily; Rosti, Rasim Ozgur; Scott, Eric; Nickerson, Elizabeth; Gabriel, Stacey; Morisaki, Takayuki; Holmes, Edward W.; Gleeson, Joseph G.

    2013-01-01

    Purine biosynthesis and metabolism, conserved in all living organisms, is essential for cellular energy homeostasis and nucleic acids synthesis. The de novo synthesis of purine precursors is under tight negative feedback regulation mediated by adenosine and guanine nucleotides. We describe a new distinct early-onset neurodegenerative condition resulting from mutations in the adenosine monophosphate deaminase 2 gene (AMPD2). Patients have characteristic brain imaging features of pontocerebellar hypoplasia (PCH), due to loss of brainstem and cerebellar parenchyma. We found that AMPD2 plays an evolutionary conserved role in the maintenance of cellular guanine nucleotide pools by regulating the feedback inhibition of adenosine derivatives on de novo purine synthesis. AMPD2 deficiency results in defective GTP-dependent initiation of protein translation, which can be rescued by administration of purine precursors. These data suggest AMPD2-related PCH as a new, potentially treatable early-onset neurodegenerative disease. PMID:23911318

  19. Finite-Element Model-Based Design Synthesis of Axial Flux PMBLDC Motors

    DEFF Research Database (Denmark)

    Fasil, Muhammed; Mijatovic, Nenad; Jensen, Bogi Bech

    2016-01-01

    of a unique solution. The designer can later select a design, based on comparing parameters of the designs, which are critical to the application that the motor will be used. The presented approach makes it easier to define constraints for a design synthesis problem. A detailed description of the setting up...

  20. Enabling Lean Design Through Computer Aided Synthesis: The Injection Moulding Cooling Case

    NARCIS (Netherlands)

    Jauregui Becker, Juan Manuel; Wits, Wessel Willems

    2015-01-01

    This paper explores the application of Computer Aided Synthesis (CAS) to support the implementation of Set-Based Concurrent Engineering (SBCE) and Just In Time Decision Making (JIT-DM), which are considered as two of the cornerstones of the Lean Design method. Computer Aided Synthesis refers to a

  1. AMPD2 regulates GTP synthesis and is mutated in a potentially treatable neurodegenerative brainstem disorder.

    Science.gov (United States)

    Akizu, Naiara; Cantagrel, Vincent; Schroth, Jana; Cai, Na; Vaux, Keith; McCloskey, Douglas; Naviaux, Robert K; Van Vleet, Jeremy; Fenstermaker, Ali G; Silhavy, Jennifer L; Scheliga, Judith S; Toyama, Keiko; Morisaki, Hiroko; Sonmez, Fatma M; Celep, Figen; Oraby, Azza; Zaki, Maha S; Al-Baradie, Raidah; Faqeih, Eissa A; Saleh, Mohammed A M; Spencer, Emily; Rosti, Rasim Ozgur; Scott, Eric; Nickerson, Elizabeth; Gabriel, Stacey; Morisaki, Takayuki; Holmes, Edward W; Gleeson, Joseph G

    2013-08-01

    Purine biosynthesis and metabolism, conserved in all living organisms, is essential for cellular energy homeostasis and nucleic acid synthesis. The de novo synthesis of purine precursors is under tight negative feedback regulation mediated by adenosine and guanine nucleotides. We describe a distinct early-onset neurodegenerative condition resulting from mutations in the adenosine monophosphate deaminase 2 gene (AMPD2). Patients have characteristic brain imaging features of pontocerebellar hypoplasia (PCH) due to loss of brainstem and cerebellar parenchyma. We found that AMPD2 plays an evolutionary conserved role in the maintenance of cellular guanine nucleotide pools by regulating the feedback inhibition of adenosine derivatives on de novo purine synthesis. AMPD2 deficiency results in defective GTP-dependent initiation of protein translation, which can be rescued by administration of purine precursors. These data suggest AMPD2-related PCH as a potentially treatable early-onset neurodegenerative disease. Copyright © 2013 Elsevier Inc. All rights reserved.

  2. Improved vanillin production in baker's yeast through in silico design.

    Science.gov (United States)

    Brochado, Ana Rita; Matos, Claudia; Møller, Birger L; Hansen, Jørgen; Mortensen, Uffe H; Patil, Kiran Raosaheb

    2010-11-08

    Vanillin is one of the most widely used flavouring agents, originally obtained from cured seed pods of the vanilla orchid Vanilla planifolia. Currently vanillin is mostly produced via chemical synthesis. A de novo synthetic pathway for heterologous vanillin production from glucose has recently been implemented in baker's yeast, Saccharamyces cerevisiae. In this study we aimed at engineering this vanillin cell factory towards improved productivity and thereby at developing an attractive alternative to chemical synthesis. Expression of a glycosyltransferase from Arabidopsis thaliana in the vanillin producing S. cerevisiae strain served to decrease product toxicity. An in silico metabolic engineering strategy of this vanillin glucoside producing strain was designed using a set of stoichiometric modelling tools applied to the yeast genome-scale metabolic network. Two targets (PDC1 and GDH1) were selected for experimental verification resulting in four engineered strains. Three of the mutants showed up to 1.5 fold higher vanillin β-D-glucoside yield in batch mode, while continuous culture of the Δpdc1 mutant showed a 2-fold productivity improvement. This mutant presented a 5-fold improvement in free vanillin production compared to the previous work on de novo vanillin biosynthesis in baker's yeast. Use of constraints corresponding to different physiological states was found to greatly influence the target predictions given minimization of metabolic adjustment (MOMA) as biological objective function. In vivo verification of the targets, selected based on their predicted metabolic adjustment, successfully led to overproducing strains. Overall, we propose and demonstrate a framework for in silico design and target selection for improving microbial cell factories.

  3. Automated de novo phasing and model building of coiled-coil proteins.

    Science.gov (United States)

    Rämisch, Sebastian; Lizatović, Robert; André, Ingemar

    2015-03-01

    Models generated by de novo structure prediction can be very useful starting points for molecular replacement for systems where suitable structural homologues cannot be readily identified. Protein-protein complexes and de novo-designed proteins are examples of systems that can be challenging to phase. In this study, the potential of de novo models of protein complexes for use as starting points for molecular replacement is investigated. The approach is demonstrated using homomeric coiled-coil proteins, which are excellent model systems for oligomeric systems. Despite the stereotypical fold of coiled coils, initial phase estimation can be difficult and many structures have to be solved with experimental phasing. A method was developed for automatic structure determination of homomeric coiled coils from X-ray diffraction data. In a benchmark set of 24 coiled coils, ranging from dimers to pentamers with resolutions down to 2.5 Å, 22 systems were automatically solved, 11 of which had previously been solved by experimental phasing. The generated models contained 71-103% of the residues present in the deposited structures, had the correct sequence and had free R values that deviated on average by 0.01 from those of the respective reference structures. The electron-density maps were of sufficient quality that only minor manual editing was necessary to produce final structures. The method, named CCsolve, combines methods for de novo structure prediction, initial phase estimation and automated model building into one pipeline. CCsolve is robust against errors in the initial models and can readily be modified to make use of alternative crystallographic software. The results demonstrate the feasibility of de novo phasing of protein-protein complexes, an approach that could also be employed for other small systems beyond coiled coils.

  4. Identification of novel resistance mechanisms to NAMPT inhibition via the de novo NAD+ biosynthesis pathway and NAMPT mutation.

    Science.gov (United States)

    Guo, Jun; Lam, Lloyd T; Longenecker, Kenton L; Bui, Mai H; Idler, Kenneth B; Glaser, Keith B; Wilsbacher, Julie L; Tse, Chris; Pappano, William N; Huang, Tzu-Hsuan

    2017-09-23

    Cancer cells have an unusually high requirement for the central and intermediary metabolite nicotinamide adenine dinucleotide (NAD + ), and NAD + depletion ultimately results in cell death. The rate limiting step within the NAD + salvage pathway required for converting nicotinamide to NAD + is catalyzed by nicotinamide phosphoribosyltransferase (NAMPT). Targeting NAMPT has been investigated as an anti-cancer strategy, and several highly selective small molecule inhibitors have been found to potently inhibit NAMPT in cancer cells, resulting in NAD + depletion and cytotoxicity. To identify mechanisms that could cause resistance to NAMPT inhibitor treatment, we generated a human fibrosarcoma cell line refractory to the highly potent and selective NAMPT small molecule inhibitor, GMX1778. We uncovered novel and unexpected mechanisms of resistance including significantly increased expression of quinolinate phosphoribosyl transferase (QPRT), a key enzyme in the de novo NAD + synthesis pathway. Additionally, exome sequencing of the NAMPT gene in the resistant cells identified a single heterozygous point mutation that was not present in the parental cell line. The combination of upregulation of the NAD + de novo synthesis pathway through QPRT over-expression and NAMPT mutation confers resistance to GMX1778, but the cells are only partially resistant to next-generation NAMPT inhibitors. The resistance mechanisms uncovered herein provide a potential avenue to continue exploration of next generation NAMPT inhibitors to treat neoplasms in the clinic. Copyright © 2017 Elsevier Inc. All rights reserved.

  5. Molecular design, synthesis and evaluation of chemical biology tools

    NARCIS (Netherlands)

    Hoogenboom, Jorin

    2017-01-01

    Chapter 1 provides a perspective of synthetic organic chemistry as a discipline involved in the design, synthesis and evaluation of complex molecules. The reader is introduced with a brief history of synthetic organic chemistry, all the while dealing with different aspects of

  6. De novo DESIGN AND SYNTHESIS OF AN ICE-BINDING, DENDRIMERIC, POLYPEPTIDE BASED ON INSECT ANTIFREEZE PROTEINS

    Directory of Open Access Journals (Sweden)

    Ricardo Vera Bravo

    2011-12-01

    Full Text Available A new strategy is presented for the designand synthesis of peptides that exhibitice-binding and antifreeze activity. Apennant-type dendrimer polypeptidescaffold combining an α-helical backbonewith four short β-strand branches wassynthesized in solid phase using Fmocchemistry in a divergent approach. The51-residue dendrimer was characterizedby reverse phase high performance liquidchromatography, mass spectrometry andcircular dichroism. Each β-strand branchcontained three overlapping TXT aminoacid repeats, an ice-binding motif foundin the ice-binding face of the sprucebudworm (Choristoneura fumiferanaand beetle (Tenebrio molitor antifreezeproteins. Ice crystals in the presence ofthe polypeptide monomer displayed flat,hexagonal plate morphology, similar tothat produced by weakly active antifreezeproteins. An oxidized dimeric form of thedendrimer polypeptide also produced flathexagonal ice crystals and was capableof inhibiting ice crystal growth upontemperature reduction, a phenomenontermed thermal hysteresis, a definingproperty of antifreeze proteins. Linkageof the pennant-type dendrimer to a trifunctionalcascade-type polypeptideproduced a trimeric macromolecule thatgave flat hexagonal ice crystals withhigher thermal hysteresis activity thanthe dimer or monomer and an ice crystal burst pattern similar to that producedby samples containing insect antifreezeproteins. This macromolecule was alsocapable of inhibiting ice recrystallization.

  7. Interferon synthesis in mouse peritoneal cells damaged by x irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Szolgay, E; T' alas, M

    1976-01-01

    NDV-induced interferon of peritoneal cells of irradiated (x-rays, 400 R) and control mice was investigated in vitro. Irradiation or treatment with hydroxyurea (10(-5) M) and mitomycin C (25 microng/ml) did not change interferon synthesis in spite of an 80 to 90% inhibition of 3H-thymidine incorporation. Increased doses of mitomycin C and treatment with actinomycin D and puromycin blocked interferon production. De novo interferon synthesis occurred in cells with damaged replicative activity of DNA caused by irradiation or by treatment with antimetabolites.

  8. De novo arachidonic acid synthesis in Perkinsus marinus, a protozoan parasite of the eastern oyster Crassostrea virginica.

    Science.gov (United States)

    Chu, Fu-Lin E; Lund, Eric; Soudant, Philippe; Harvey, Ellen

    2002-02-01

    The capability of synthesizing fatty acids de novo in the meront stage of the oyster protozoan parasite, Perkinsus marinus, was investigated employing stable-isotope-labeled precursors (1,2 13C-acetate and palmitic-d(31) acid). Fatty acid methyl esters derived from 1,2 13C-acetate and palmitic-d(31) acid were analyzed using gas chromatography/mass spectrometry and gas chromatography/flame ionization detection. Results revealed that in vitro cultured P. marinus meronts utilized 13C-acetate to synthesize a range of saturated and unsaturated fatty acids. The saturated fatty acids 14:0, 16:0, 18:0, 20:0, 22:0, 24:0 and the unsaturated fatty acids, 18:1(n-9), 18:2(n-6), 20:1(n-9), 20:2(n-6), 20:2(n-9), 20:3(n-6), 20:4(n-6) were found to contain 13C, after 7, 14, and 21 days incubation with the precursor. This indicates that meronts can synthesize fatty acid de novo using acetate as a substrate. Meronts efficiently elongated 16:0-d(31) to 18:0, 20:0, 22:0, 24:0, but desaturation activity was limited, after 7 and 14 days cultivation. Only a small quantity of 18:1-d(29) was detected. This suggests that meronts cannot directly convert exogenous palmitic acid or its products of elongation to unsaturated counterparts. The ability to synthesize 20:4(n-6) from acetate is particularly interesting. No parasitic protozoan has been reported to be capable of synthesizing long chain essential fatty acids, such as 20:4(n-6) de novo. Future study will be directed to determine whether the observed in vitro activities indeed reflect the in vivo activities, when meronts are associated with the host.

  9. Integrated Business and Engineering Framework for Synthesis and Design of Enterprise-Wide Processing Networks

    DEFF Research Database (Denmark)

    Quaglia, Alberto; Sarup, Bent; Sin, Gürkan

    2012-01-01

    The synthesis and design of processing networks is a complex and multidisciplinary problem, which involves many strategic and tactical decisions at business (considering financial criteria, market competition, supply chain network, etc) and engineering levels (considering synthesis, design...... and optimisation of production technology, R&D, etc), all of which have a deep impact on the profitability of processing industries. In this study, an integrated business and engineering framework for synthesis and design of processing networks is presented. The framework employs a systematic approach to manage...... the complexity while solving simultaneously both the business and the engineering aspects of problems, allowing at the same time, comparison of a large number of alternatives at their optimal points. The results identify the optimal raw material, the product portfolio and select the process technology...

  10. De novo origin of human protein-coding genes.

    Directory of Open Access Journals (Sweden)

    Dong-Dong Wu

    2011-11-01

    Full Text Available The de novo origin of a new protein-coding gene from non-coding DNA is considered to be a very rare occurrence in genomes. Here we identify 60 new protein-coding genes that originated de novo on the human lineage since divergence from the chimpanzee. The functionality of these genes is supported by both transcriptional and proteomic evidence. RNA-seq data indicate that these genes have their highest expression levels in the cerebral cortex and testes, which might suggest that these genes contribute to phenotypic traits that are unique to humans, such as improved cognitive ability. Our results are inconsistent with the traditional view that the de novo origin of new genes is very rare, thus there should be greater appreciation of the importance of the de novo origination of genes.

  11. De Novo Origin of Human Protein-Coding Genes

    Science.gov (United States)

    Wu, Dong-Dong; Irwin, David M.; Zhang, Ya-Ping

    2011-01-01

    The de novo origin of a new protein-coding gene from non-coding DNA is considered to be a very rare occurrence in genomes. Here we identify 60 new protein-coding genes that originated de novo on the human lineage since divergence from the chimpanzee. The functionality of these genes is supported by both transcriptional and proteomic evidence. RNA–seq data indicate that these genes have their highest expression levels in the cerebral cortex and testes, which might suggest that these genes contribute to phenotypic traits that are unique to humans, such as improved cognitive ability. Our results are inconsistent with the traditional view that the de novo origin of new genes is very rare, thus there should be greater appreciation of the importance of the de novo origination of genes. PMID:22102831

  12. Combined "de novo" and "ex novo" lipid fermentation in a mix-medium of corncob acid hydrolysate and soybean oil by Trichosporon dermatis.

    Science.gov (United States)

    Huang, Chao; Luo, Mu-Tan; Chen, Xue-Fang; Qi, Gao-Xiang; Xiong, Lian; Lin, Xiao-Qing; Wang, Can; Li, Hai-Long; Chen, Xin-De

    2017-01-01

    Microbial oil is one important bio-product for its important function in energy, chemical, and food industry. Finding suitable substrates is one key issue for its industrial application. Both hydrophilic and hydrophobic substrates can be utilized by oleaginous microorganisms with two different bio-pathways (" de novo " lipid fermentation and " ex novo " lipid fermentation). To date, most of the research on lipid fermentation has focused mainly on only one fermentation pathway and little work was carried out on both " de novo " and " ex novo " lipid fermentation simultaneously; thus, the advantages of both lipid fermentation cannot be fulfilled comprehensively. In this study, corncob acid hydrolysate with soybean oil was used as a mix-medium for combined " de novo " and " ex novo " lipid fermentation by oleaginous yeast Trichosporon dermatis . Both hydrophilic and hydrophobic substrates (sugars and soybean oil) in the medium can be utilized simultaneously and efficiently by T. dermatis . Different fermentation modes were compared and the batch mode was the most suitable for the combined fermentation. The influence of soybean oil concentration, inoculum size, and initial pH on the lipid fermentation was evaluated and 20 g/L soybean oil, 5% inoculum size, and initial pH 6.0 were suitable for this bioprocess. By this technology, the lipid composition of extracellular hydrophobic substrate (soybean oil) can be modified. Although adding emulsifier showed little beneficial effect on lipid production, it can modify the intracellular lipid composition of T. dermatis . The present study proves the potential and possibility of combined " de novo " and " ex novo " lipid fermentation. This technology can use hydrophilic and hydrophobic sustainable bio-resources to generate lipid feedstock for the production of biodiesel or other lipid-based chemical compounds and to treat some special wastes such as oil-containing wastewater.

  13. Genes from scratch--the evolutionary fate of de novo genes.

    Science.gov (United States)

    Schlötterer, Christian

    2015-04-01

    Although considered an extremely unlikely event, many genes emerge from previously noncoding genomic regions. This review covers the entire life cycle of such de novo genes. Two competing hypotheses about the process of de novo gene birth are discussed as well as the high death rate of de novo genes. Despite the high death rate, some de novo genes are retained and remain functional, even in distantly related species, through their integration into gene networks. Further studies combining gene expression with ribosome profiling in multiple populations across different species will be instrumental for an improved understanding of the evolutionary processes operating on de novo genes. Copyright © 2015 The Author. Published by Elsevier Ltd.. All rights reserved.

  14. Synthesis method for using in the design of an electron gun for gyrotion

    International Nuclear Information System (INIS)

    Silva, C.A.B.

    1987-09-01

    In this work a synthesis method is applied to the design of an electron gun for a 94GHz gyrotron. Using the synthesis method, it is found the shape of the electrodes compatible with the laminar flow which minimizes the action of space change on the electron velocity dispersion. A sistematic procedure is presented to fuid the parameters of the synthesis method which, in turn, are closely related to the characteristics of the aptoclechonic system. (author) [pt

  15. Materials-by-design: computation, synthesis, and characterization from atoms to structures

    Science.gov (United States)

    Yeo, Jingjie; Jung, Gang Seob; Martín-Martínez, Francisco J.; Ling, Shengjie; Gu, Grace X.; Qin, Zhao; Buehler, Markus J.

    2018-05-01

    In the 50 years that succeeded Richard Feynman’s exposition of the idea that there is ‘plenty of room at the bottom’ for manipulating individual atoms for the synthesis and manufacturing processing of materials, the materials-by-design paradigm is being developed gradually through synergistic integration of experimental material synthesis and characterization with predictive computational modeling and optimization. This paper reviews how this paradigm creates the possibility to develop materials according to specific, rational designs from the molecular to the macroscopic scale. We discuss promising techniques in experimental small-scale material synthesis and large-scale fabrication methods to manipulate atomistic or macroscale structures, which can be designed by computational modeling. These include recombinant protein technology to produce peptides and proteins with tailored sequences encoded by recombinant DNA, self-assembly processes induced by conformational transition of proteins, additive manufacturing for designing complex structures, and qualitative and quantitative characterization of materials at different length scales. We describe important material characterization techniques using numerous methods of spectroscopy and microscopy. We detail numerous multi-scale computational modeling techniques that complements these experimental techniques: DFT at the atomistic scale; fully atomistic and coarse-grain molecular dynamics at the molecular to mesoscale; continuum modeling at the macroscale. Additionally, we present case studies that utilize experimental and computational approaches in an integrated manner to broaden our understanding of the properties of two-dimensional materials and materials based on silk and silk-elastin-like proteins.

  16. One-pot synthesis of water soluble iron nanoparticles using rationally-designed peptides and ligand release.

    Science.gov (United States)

    Papst, Stefanie; Cheong, Soshan; Banholzer, Moritz J; Brimble, Margaret A; Williams, David E; Tilley, Richard D

    2013-05-18

    Herein we report the rational design of new phosphopeptides for control of nucleation, growth and aggregation of water-soluble, superparamagnetic iron-iron oxide core-shell nanoparticles. The use of the designed peptides enables a one-pot synthesis that avoids utilizing unstable or toxic iron precursors, organic solvents, and the need for exchange of capping agent after synthesis of the NPs.

  17. Improved vanillin production in baker's yeast through in silico design

    Science.gov (United States)

    2010-01-01

    Background Vanillin is one of the most widely used flavouring agents, originally obtained from cured seed pods of the vanilla orchid Vanilla planifolia. Currently vanillin is mostly produced via chemical synthesis. A de novo synthetic pathway for heterologous vanillin production from glucose has recently been implemented in baker's yeast, Saccharamyces cerevisiae. In this study we aimed at engineering this vanillin cell factory towards improved productivity and thereby at developing an attractive alternative to chemical synthesis. Results Expression of a glycosyltransferase from Arabidopsis thaliana in the vanillin producing S. cerevisiae strain served to decrease product toxicity. An in silico metabolic engineering strategy of this vanillin glucoside producing strain was designed using a set of stoichiometric modelling tools applied to the yeast genome-scale metabolic network. Two targets (PDC1 and GDH1) were selected for experimental verification resulting in four engineered strains. Three of the mutants showed up to 1.5 fold higher vanillin β-D-glucoside yield in batch mode, while continuous culture of the Δpdc1 mutant showed a 2-fold productivity improvement. This mutant presented a 5-fold improvement in free vanillin production compared to the previous work on de novo vanillin biosynthesis in baker's yeast. Conclusion Use of constraints corresponding to different physiological states was found to greatly influence the target predictions given minimization of metabolic adjustment (MOMA) as biological objective function. In vivo verification of the targets, selected based on their predicted metabolic adjustment, successfully led to overproducing strains. Overall, we propose and demonstrate a framework for in silico design and target selection for improving microbial cell factories. PMID:21059201

  18. Improved vanillin production in baker's yeast through in silico design

    Directory of Open Access Journals (Sweden)

    Hansen Jørgen

    2010-11-01

    Full Text Available Abstract Background Vanillin is one of the most widely used flavouring agents, originally obtained from cured seed pods of the vanilla orchid Vanilla planifolia. Currently vanillin is mostly produced via chemical synthesis. A de novo synthetic pathway for heterologous vanillin production from glucose has recently been implemented in baker's yeast, Saccharamyces cerevisiae. In this study we aimed at engineering this vanillin cell factory towards improved productivity and thereby at developing an attractive alternative to chemical synthesis. Results Expression of a glycosyltransferase from Arabidopsis thaliana in the vanillin producing S. cerevisiae strain served to decrease product toxicity. An in silico metabolic engineering strategy of this vanillin glucoside producing strain was designed using a set of stoichiometric modelling tools applied to the yeast genome-scale metabolic network. Two targets (PDC1 and GDH1 were selected for experimental verification resulting in four engineered strains. Three of the mutants showed up to 1.5 fold higher vanillin β-D-glucoside yield in batch mode, while continuous culture of the Δpdc1 mutant showed a 2-fold productivity improvement. This mutant presented a 5-fold improvement in free vanillin production compared to the previous work on de novo vanillin biosynthesis in baker's yeast. Conclusion Use of constraints corresponding to different physiological states was found to greatly influence the target predictions given minimization of metabolic adjustment (MOMA as biological objective function. In vivo verification of the targets, selected based on their predicted metabolic adjustment, successfully led to overproducing strains. Overall, we propose and demonstrate a framework for in silico design and target selection for improving microbial cell factories.

  19. Insig proteins mediate feedback inhibition of cholesterol synthesis in the intestine.

    Science.gov (United States)

    McFarlane, Matthew R; Liang, Guosheng; Engelking, Luke J

    2014-01-24

    Enterocytes are the only cell type that must balance the de novo synthesis and absorption of cholesterol, although the coordinate regulation of these processes is not well understood. Our previous studies demonstrated that enterocytes respond to the pharmacological blockade of cholesterol absorption by ramping up de novo sterol synthesis through activation of sterol regulatory element-binding protein-2 (SREBP-2). Here, we genetically disrupt both Insig1 and Insig2 in the intestine, two closely related proteins that are required for the feedback inhibition of SREBP and HMG-CoA reductase (HMGR). This double knock-out was achieved by generating mice with an intestine-specific deletion of Insig1 using Villin-Cre in combination with a germ line deletion of Insig2. Deficiency of both Insigs in enterocytes resulted in constitutive activation of SREBP and HMGR, leading to an 11-fold increase in sterol synthesis in the small intestine and producing lipidosis of the intestinal crypts. The intestine-derived cholesterol accumulated in plasma and liver, leading to secondary feedback inhibition of hepatic SREBP2 activity. Pharmacological blockade of cholesterol absorption was unable to further induce the already elevated activities of SREBP-2 or HMGR in Insig-deficient enterocytes. These studies confirm the essential role of Insig proteins in the sterol homeostasis of enterocytes.

  20. Insig Proteins Mediate Feedback Inhibition of Cholesterol Synthesis in the Intestine*

    Science.gov (United States)

    McFarlane, Matthew R.; Liang, Guosheng; Engelking, Luke J.

    2014-01-01

    Enterocytes are the only cell type that must balance the de novo synthesis and absorption of cholesterol, although the coordinate regulation of these processes is not well understood. Our previous studies demonstrated that enterocytes respond to the pharmacological blockade of cholesterol absorption by ramping up de novo sterol synthesis through activation of sterol regulatory element-binding protein-2 (SREBP-2). Here, we genetically disrupt both Insig1 and Insig2 in the intestine, two closely related proteins that are required for the feedback inhibition of SREBP and HMG-CoA reductase (HMGR). This double knock-out was achieved by generating mice with an intestine-specific deletion of Insig1 using Villin-Cre in combination with a germ line deletion of Insig2. Deficiency of both Insigs in enterocytes resulted in constitutive activation of SREBP and HMGR, leading to an 11-fold increase in sterol synthesis in the small intestine and producing lipidosis of the intestinal crypts. The intestine-derived cholesterol accumulated in plasma and liver, leading to secondary feedback inhibition of hepatic SREBP2 activity. Pharmacological blockade of cholesterol absorption was unable to further induce the already elevated activities of SREBP-2 or HMGR in Insig-deficient enterocytes. These studies confirm the essential role of Insig proteins in the sterol homeostasis of enterocytes. PMID:24337570

  1. Investigation of de novo cholesterol synthetic capacity in the gonads of goldfish (Carassius auratus exposed to the phytosterol beta-sitosterol

    Directory of Open Access Journals (Sweden)

    MacLatchy Deborah L

    2006-11-01

    Full Text Available Abstract Total and intra-mitochondrial gonadal cholesterol concentrations are decreased in fish exposed to the phytoestrogen beta-sitosterol (beta-sit. The present study examined the potential for beta-sit to disrupt de novo cholesterol synthesis in the gonads of goldfish exposed to 200 microgram/g beta-sit and 10 microgram/g 17beta-estradiol (E2; estrogenic control by intra-peritoneal Silastic® implants for 21 days. The de novo cholesterol synthetic capacity was estimated by incubating gonadal tissue with 14C-acetate for a period of 18 hours, followed by chloroform/methanol lipid extraction and thin layer chromatography (TLC lipid separation. Lipid classes were confirmed using infrared spectroscopy. Plasma testosterone (T and total cholesterol concentration were measured and gonadosomatic index (GSI was calculated. Plasma T was significantly reduced in male beta-sit-treated fish compared to control and E2-treated fish (p

  2. Phenomena-based Process Synthesis and Design to achieve Process Intensification

    DEFF Research Database (Denmark)

    Lutze, Philip; Babi, Deenesh Kavi; Woodley, John

    2012-01-01

    at the lowest level of aggregation: phenomena. Therefore, in this paper, a phenomena-based synthesis/design methodology is presented. Using this methodology, a systematic identification of necessary and desirable (integrated) phenomena as well as generation and screening of phenomena-based flowsheet options...

  3. Protein Synthesis Inhibition Blocks Consolidation of an Acrobatic Motor Skill

    Science.gov (United States)

    Kaelin-Lang, Alain; Dichgans, Johannes; Schulz, Jorg B.; Luft, Andreas R.; Buitrago, Manuel M.

    2004-01-01

    To investigate whether motor skill learning depends on de novo protein synthesis, adult rats were trained in an acrobatic locomotor task (accelerating rotarod) for 7 d. Animals were systemically injected with cycloheximide (CHX, 0.5 mg/kg, i.p.) 1 h before sessions 1 and 2 or sessions 2 and 3. Control rats received vehicle injections before…

  4. Design and Synthesis of a Novel Class of Flavonoid Derivatives via Sequential Phosphorylation and its Application for Greener Nanoparticle Synthesis

    Science.gov (United States)

    Osonga, Francis Juma

    Flavonoids exhibit arrays of biological effects that are beneficial to humans, including anti-viral, anti-oxidative, anti-inflammatory and anti-carcinogenic effects. However, these applications have been hindered by their poor stability and solubility in common solvents. Consequently, there is significant interest in the modification of flavonoids to improve their solubility. This poor solubility is also believed to be responsible for its permeability and bioavailability. Hence the central goal of this work is to design synthetic strategies for the sequential protection of the -OH groups in order to produce phosphorylated quercetin and apigenin derivatives. This work is divided into two parts: the first part presents the design, synthesis, and characterization of novel flavonoid derivatives via global and sequential phosphorylation. The second part focuses on the application of the synthesized derivatives for greener nanoparticle synthesis. This work shows for the first time that sequential phosphorylation of Quercetin is feasible through the design of 4 new derivatives namely: 5,4'-O-Quercetin Diphosphate (QDPI), 4'-O-phosphate Quercetin (4'-QPI), 5,4'-Quercetin Diphosphate (5,4'-QDP) and monophosphate 4-QP. The synthesis of 4'-QP and 5, 4'-QDP was successful with 85% and 60.5% yields respectively. In addition, the progress towards the total synthesis of apigenin phosphate derivatives (7, 4'-ADP and 7-AP) is presented. The synthesized derivatives were characterized using 1H, 13C, and 31P NMR. The phosphorylated derivatives were subsequently explored as reducing agents for sustainable synthesis of gold, silver and copper nanoparticles. We have successfully demonstrated the photochemical synthesis of gold nanoplates of sizes ranging from 10 - 200 nm using water soluble QDP in the presence of sunlight. This work contributes immensely in promoting the ideals of green nanosynthesis by (i) eliminating the use of organic solvents in the nanosynthesis, (ii) exploiting the

  5. An integrated knowledge-based framework for synthesis and design of enterprise-wide processing networks

    DEFF Research Database (Denmark)

    Sin, Gürkan

    material, product portfolio and process technology selection for a given market scenario, their sustainability metrics and risk of investment under market uncertainties enabling risk-aware decision making. The framework is highlighted with successful applications for soybean oil processing (food technology......, the synthesis and design of processing networks is a complex and multidisciplinary problem, which involves many strategic and tactical decisions at business (considering financial criteria, market competition, supply chain network, etc) and engineering levels (considering synthesis, design and optimization...

  6. HBV core protein allosteric modulators differentially alter cccDNA biosynthesis from de novo infection and intracellular amplification pathways.

    Science.gov (United States)

    Guo, Fang; Zhao, Qiong; Sheraz, Muhammad; Cheng, Junjun; Qi, Yonghe; Su, Qing; Cuconati, Andrea; Wei, Lai; Du, Yanming; Li, Wenhui; Chang, Jinhong; Guo, Ju-Tao

    2017-09-01

    Hepatitis B virus (HBV) core protein assembles viral pre-genomic (pg) RNA and DNA polymerase into nucleocapsids for reverse transcriptional DNA replication to take place. Several chemotypes of small molecules, including heteroaryldihydropyrimidines (HAPs) and sulfamoylbenzamides (SBAs), have been discovered to allosterically modulate core protein structure and consequentially alter the kinetics and pathway of core protein assembly, resulting in formation of irregularly-shaped core protein aggregates or "empty" capsids devoid of pre-genomic RNA and viral DNA polymerase. Interestingly, in addition to inhibiting nucleocapsid assembly and subsequent viral genome replication, we have now demonstrated that HAPs and SBAs differentially modulate the biosynthesis of covalently closed circular (ccc) DNA from de novo infection and intracellular amplification pathways by inducing disassembly of nucleocapsids derived from virions as well as double-stranded DNA-containing progeny nucleocapsids in the cytoplasm. Specifically, the mistimed cuing of nucleocapsid uncoating prevents cccDNA formation during de novo infection of hepatocytes, while transiently accelerating cccDNA synthesis from cytoplasmic progeny nucleocapsids. Our studies indicate that elongation of positive-stranded DNA induces structural changes of nucleocapsids, which confers ability of mature nucleocapsids to bind CpAMs and triggers its disassembly. Understanding the molecular mechanism underlying the dual effects of the core protein allosteric modulators on nucleocapsid assembly and disassembly will facilitate the discovery of novel core protein-targeting antiviral agents that can more efficiently suppress cccDNA synthesis and cure chronic hepatitis B.

  7. HBV core protein allosteric modulators differentially alter cccDNA biosynthesis from de novo infection and intracellular amplification pathways.

    Directory of Open Access Journals (Sweden)

    Fang Guo

    2017-09-01

    Full Text Available Hepatitis B virus (HBV core protein assembles viral pre-genomic (pg RNA and DNA polymerase into nucleocapsids for reverse transcriptional DNA replication to take place. Several chemotypes of small molecules, including heteroaryldihydropyrimidines (HAPs and sulfamoylbenzamides (SBAs, have been discovered to allosterically modulate core protein structure and consequentially alter the kinetics and pathway of core protein assembly, resulting in formation of irregularly-shaped core protein aggregates or "empty" capsids devoid of pre-genomic RNA and viral DNA polymerase. Interestingly, in addition to inhibiting nucleocapsid assembly and subsequent viral genome replication, we have now demonstrated that HAPs and SBAs differentially modulate the biosynthesis of covalently closed circular (ccc DNA from de novo infection and intracellular amplification pathways by inducing disassembly of nucleocapsids derived from virions as well as double-stranded DNA-containing progeny nucleocapsids in the cytoplasm. Specifically, the mistimed cuing of nucleocapsid uncoating prevents cccDNA formation during de novo infection of hepatocytes, while transiently accelerating cccDNA synthesis from cytoplasmic progeny nucleocapsids. Our studies indicate that elongation of positive-stranded DNA induces structural changes of nucleocapsids, which confers ability of mature nucleocapsids to bind CpAMs and triggers its disassembly. Understanding the molecular mechanism underlying the dual effects of the core protein allosteric modulators on nucleocapsid assembly and disassembly will facilitate the discovery of novel core protein-targeting antiviral agents that can more efficiently suppress cccDNA synthesis and cure chronic hepatitis B.

  8. HBV core protein allosteric modulators differentially alter cccDNA biosynthesis from de novo infection and intracellular amplification pathways

    Science.gov (United States)

    Guo, Fang; Zhao, Qiong; Cheng, Junjun; Qi, Yonghe; Su, Qing; Wei, Lai; Li, Wenhui; Chang, Jinhong

    2017-01-01

    Hepatitis B virus (HBV) core protein assembles viral pre-genomic (pg) RNA and DNA polymerase into nucleocapsids for reverse transcriptional DNA replication to take place. Several chemotypes of small molecules, including heteroaryldihydropyrimidines (HAPs) and sulfamoylbenzamides (SBAs), have been discovered to allosterically modulate core protein structure and consequentially alter the kinetics and pathway of core protein assembly, resulting in formation of irregularly-shaped core protein aggregates or “empty” capsids devoid of pre-genomic RNA and viral DNA polymerase. Interestingly, in addition to inhibiting nucleocapsid assembly and subsequent viral genome replication, we have now demonstrated that HAPs and SBAs differentially modulate the biosynthesis of covalently closed circular (ccc) DNA from de novo infection and intracellular amplification pathways by inducing disassembly of nucleocapsids derived from virions as well as double-stranded DNA-containing progeny nucleocapsids in the cytoplasm. Specifically, the mistimed cuing of nucleocapsid uncoating prevents cccDNA formation during de novo infection of hepatocytes, while transiently accelerating cccDNA synthesis from cytoplasmic progeny nucleocapsids. Our studies indicate that elongation of positive-stranded DNA induces structural changes of nucleocapsids, which confers ability of mature nucleocapsids to bind CpAMs and triggers its disassembly. Understanding the molecular mechanism underlying the dual effects of the core protein allosteric modulators on nucleocapsid assembly and disassembly will facilitate the discovery of novel core protein-targeting antiviral agents that can more efficiently suppress cccDNA synthesis and cure chronic hepatitis B. PMID:28945802

  9. A de novo NADPH generation pathway for improving lysine production of Corynebacterium glutamicum by rational design of the coenzyme specificity of glyceraldehyde 3-phosphate dehydrogenase.

    Science.gov (United States)

    Bommareddy, Rajesh Reddy; Chen, Zhen; Rappert, Sugima; Zeng, An-Ping

    2014-09-01

    Engineering the cofactor availability is a common strategy of metabolic engineering to improve the production of many industrially important compounds. In this work, a de novo NADPH generation pathway is proposed by altering the coenzyme specificity of a native NAD-dependent glyceraldehyde 3-phosphate dehydrogenase (GAPDH) to NADP, which consequently has the potential to produce additional NADPH in the glycolytic pathway. Specifically, the coenzyme specificity of GAPDH of Corynebacterium glutamicum is systematically manipulated by rational protein design and the effect of the manipulation for cellular metabolism and lysine production is evaluated. By a combinatorial modification of four key residues within the coenzyme binding sites, different GAPDH mutants with varied coenzyme specificity were constructed. While increasing the catalytic efficiency of GAPDH towards NADP enhanced lysine production in all of the tested mutants, the most significant improvement of lysine production (~60%) was achieved with the mutant showing similar preference towards both NAD and NADP. Metabolic flux analysis with (13)C isotope studies confirmed that there was no significant change of flux towards the pentose phosphate pathway and the increased lysine yield was mainly attributed to the NADPH generated by the mutated GAPDH. The present study highlights the importance of protein engineering as a key strategy in de novo pathway design and overproduction of desired products. Copyright © 2014 International Metabolic Engineering Society. Published by Elsevier Inc. All rights reserved.

  10. Intratumoral conversion of adrenal androgen precursors drives androgen receptor-activated cell growth in prostate cancer more potently than de novo steroidogenesis.

    Science.gov (United States)

    Kumagai, Jinpei; Hofland, Johannes; Erkens-Schulze, Sigrun; Dits, Natasja F J; Steenbergen, Jacobie; Jenster, Guido; Homma, Yukio; de Jong, Frank H; van Weerden, Wytske M

    2013-11-01

    Despite an initial response to hormonal therapy, patients with advanced prostate cancer (PC) almost always progress to castration-resistant disease (CRPC). Although serum testosterone (T) is reduced by androgen deprivation therapy, intratumoral T levels in CRPC are comparable to those in prostate tissue of eugonadal men. These levels could originate from intratumoral conversion of adrenal androgens and/or from de novo steroid synthesis. However, the relative contribution of de novo steroidogenesis to AR-driven cell growth is unknown. The relative contribution of androgen biosynthetic pathways to activate androgen receptor (AR)-regulated cell growth and expression of PSA, FKBP5, and TMPRSS2 was studied at physiologically relevant levels of adrenal androgen precursors and intermediates of de novo androgen biosynthesis in human prostate cancer cell lines, PC346C, VCaP, and LNCaP. In PC346C and VCaP, responses to pregnenolone and progesterone were absent or minimal, while large effects of adrenal androgen precursors were found. VCaP CRPC clones overexpressing CYP17A1 did not acquire an increased ability to use pregnenolone or progesterone to activate AR. In contrast, all precursors stimulated growth and gene expression in LNCaP cells, presumably resulting from the mutated AR in these cells. Our data indicate that at physiological levels of T precursors PC cells can generally convert adrenal androgens, while de novo steroidogenesis is not generally possible in PC cells and is not able to support AR transactivation and PC growth. © 2013 Wiley Periodicals, Inc.

  11. De novo assembly of highly diverse viral populations

    Directory of Open Access Journals (Sweden)

    Yang Xiao

    2012-09-01

    Full Text Available Abstract Background Extensive genetic diversity in viral populations within infected hosts and the divergence of variants from existing reference genomes impede the analysis of deep viral sequencing data. A de novo population consensus assembly is valuable both as a single linear representation of the population and as a backbone on which intra-host variants can be accurately mapped. The availability of consensus assemblies and robustly mapped variants are crucial to the genetic study of viral disease progression, transmission dynamics, and viral evolution. Existing de novo assembly techniques fail to robustly assemble ultra-deep sequence data from genetically heterogeneous populations such as viruses into full-length genomes due to the presence of extensive genetic variability, contaminants, and variable sequence coverage. Results We present VICUNA, a de novo assembly algorithm suitable for generating consensus assemblies from genetically heterogeneous populations. We demonstrate its effectiveness on Dengue, Human Immunodeficiency and West Nile viral populations, representing a range of intra-host diversity. Compared to state-of-the-art assemblers designed for haploid or diploid systems, VICUNA recovers full-length consensus and captures insertion/deletion polymorphisms in diverse samples. Final assemblies maintain a high base calling accuracy. VICUNA program is publicly available at: http://www.broadinstitute.org/scientific-community/science/projects/viral-genomics/ viral-genomics-analysis-software. Conclusions We developed VICUNA, a publicly available software tool, that enables consensus assembly of ultra-deep sequence derived from diverse viral populations. While VICUNA was developed for the analysis of viral populations, its application to other heterogeneous sequence data sets such as metagenomic or tumor cell population samples may prove beneficial in these fields of research.

  12. Voltammetry and In Situ Scanning Tunnelling Microscopy of De Novo Designed Heme Protein Monolayers on Au(111)-Electrode Surfaces

    DEFF Research Database (Denmark)

    Albrecht, Tim; Li, Wu; Haehnel, Wolfgang

    2006-01-01

    to the tunnelling current, apparently due to slow electron transfer kinetics. As a consequence, STM images of heme-containing and heme-free MOP-C did not reveal any notable differences in apparent height or physical extension. The apparent height of heme-containing MOP-C did not show any dependence on the substrate...... potential being varied around the redox potential of the protein. The mere presence of an accessible molecular energy level is not sufficient to result in detectable tunnelling current modulation. (c) 2006 Elsevier B.V. All rights reserved.......In the present work, we report the electrochemical characterization and in situ scanning tunnelling microscopy (STM) studies of monolayers of an artificial de novo designed heme protein MOP-C, covalently immobilized on modified Au(111) surfaces. The protein forms closely packed monolayers, which...

  13. Single-Cell RNA Sequencing Reveals T Helper Cells Synthesizing Steroids De Novo to Contribute to Immune Homeostasis

    Directory of Open Access Journals (Sweden)

    Bidesh Mahata

    2014-05-01

    Full Text Available T helper 2 (Th2 cells regulate helminth infections, allergic disorders, tumor immunity, and pregnancy by secreting various cytokines. It is likely that there are undiscovered Th2 signaling molecules. Although steroids are known to be immunoregulators, de novo steroid production from immune cells has not been previously characterized. Here, we demonstrate production of the steroid pregnenolone by Th2 cells in vitro and in vivo in a helminth infection model. Single-cell RNA sequencing and quantitative PCR analysis suggest that pregnenolone synthesis in Th2 cells is related to immunosuppression. In support of this, we show that pregnenolone inhibits Th cell proliferation and B cell immunoglobulin class switching. We also show that steroidogenic Th2 cells inhibit Th cell proliferation in a Cyp11a1 enzyme-dependent manner. We propose pregnenolone as a “lymphosteroid,” a steroid produced by lymphocytes. We speculate that this de novo steroid production may be an intrinsic phenomenon of Th2-mediated immune responses to actively restore immune homeostasis.

  14. Design of convergent pierce electron gun of accelerator for radiation sterilization by the method of synthesis

    International Nuclear Information System (INIS)

    Kong Xiaoxiao; Li Quanfeng

    2003-01-01

    A synthesis technique for the preliminary design of convergent Pierce electron guns is introduced briefly which has a series of advantages over the traditional methods. A thermal cathode electron gun used in the accelerator for radiation sterilization with the synthesis method is redesigned, and the validity of this method is proved. Based on the preliminary design parameters given by the synthesis method, a simulating calculation program, EGUN, was used in the numerical figure design of the focusing electrode and the anode. The final results can meet the engineering requirement as the current being 1A, the normalized emittance being less than 4 mm·mrad, and the final current density showing uniformity

  15. Group Contribution Based Process Flowsheet Synthesis, Design and Modelling

    DEFF Research Database (Denmark)

    d'Anterroches, Loïc; Gani, Rafiqul

    2004-01-01

    This paper presents a process-group-contribution Method to model. simulate and synthesize a flowsheet. The process-group based representation of a flowsheet together with a process "property" model are presented. The process-group based synthesis method is developed on the basis of the computer...... aided molecular design methods and gives the ability to screen numerous process alternatives without the need to use the rigorous process simulation models. The process "property" model calculates the design targets for the generated flowsheet alternatives while a reverse modelling method (also...... developed) determines the design variables matching the target. A simple illustrative example highlighting the main features of the methodology is also presented....

  16. Glutathione synthesis and homeostasis in isolated type II alveolar cells

    International Nuclear Information System (INIS)

    Saito, K.; Warshaw, J.B.; Prough, R.A.

    1986-01-01

    After isolation of Type II cells from neonatal rat lung, the glutathione (GSH) levels in these cells were greatly depressed. The total glutathione content could be increased 5-fold within 12-24 h by incubating the cells in media containing sulfur amino acids. Similarly, the activity of γ-glutamyltranspeptidase was low immediately after isolation, but was increased 2-fold during the first 24 h culture. Addition of either GSH or GSSG to the culture media increased the GSH content of Type II cells 2-2.5-fold. Buthionine sulfoximine and NaF prevented this replenishment of GSH during 24 h culture. When the rates of de novo synthesis of GSH and GSSG from 35 S-cysteine were measured, the amounts of newly formed GSH decreased to 80% in the presence of GSH or GSSG. This suggests that exogenous GSH/GSSG can be taken up by the Type II cells to replenish the intracellular pool of GSH. Methionine was not as effective as cysteine in the synthesis of GSH. These results suggest that GSH levels in the isolated Type II cell can be maintained by de novo synthesis or uptake of exogenous GSH. Most of the GSH synthesized from cysteine, however, was excreted into the media of the cultured cells indicative of a potential role for the type II cell in export of the non-protein thiol

  17. The influence of heat exchanger design on the synthesis of heat exchanger networks

    Directory of Open Access Journals (Sweden)

    Liporace F.S.

    2000-01-01

    Full Text Available Heat exchanger network (HEN synthesis has been traditionally performed without accounting for a more detailed unit design, which is important since the final HEN may require unfeasible units. Recently, publications on this matter have appeared, as well as softwares that simultaneously perform synthesis and units design. However, these publications do not clearly show the influence of the new added features on the final HEN. Hence, this work aims at showing that units' design can strongly affect the final HEN. Improvements on heat transfer area and total annual cost estimations, which influence the HEN structural evolution, are the main responsible for that. It is also shown the influence of some design bounds settings, which can indicate an unfeasible unit design and, therefore, the need for a new match search or the maintenance of a loop. An example reported in the literature is used to illustrate the discussion.

  18. The Timing of Multiple Retrieval Events Can Alter GluR1 Phosphorylation and the Requirement for Protein Synthesis in Fear Memory Reconsolidation

    Science.gov (United States)

    Jarome, Timothy J.; Kwapis, Janine L.; Werner, Craig T.; Parsons, Ryan G.; Gafford, Georgette M.; Helmstetter, Fred J.

    2012-01-01

    Numerous studies have indicated that maintaining a fear memory after retrieval requires de novo protein synthesis. However, no study to date has examined how the temporal dynamics of repeated retrieval events affect this protein synthesis requirement. The present study varied the timing of a second retrieval of an established auditory fear memory…

  19. An Efficient Synthesis of de novo Imidates via Aza-Claisen Rearrangements of N-Allyl Ynamides

    Science.gov (United States)

    DeKorver, Kyle A.; North, Troy D.; Hsung, Richard P.

    2010-01-01

    A novel thermal 3-aza-Claisen rearrangement of N-allyl ynamides for the synthesis of α-allyl imidates is described. Also, a sequential aza-Claisen, Pd-catalyzed Overman rearrangement is described for the synthesis of azapine-2-ones. PMID:21278848

  20. Genome-wide patterns and properties of de novo mutations in humans

    NARCIS (Netherlands)

    Francioli, Laurent C.; Polak, Paz P.; Koren, Amnon; Menelaou, Androniki; Chun, Sung; Renkens, Ivo; van Duijn, Cornelia M.; Swertz, Morris; Wijmenga, Cisca; van Ommen, Gertjan; Slagboom, P. Eline; Boomsma, Dorret I.; Ye, Kai; Guryev, Victor; Arndt, Peter F.; Kloosterman, Wigard P.; de Bakker, Paul I. W.; Sunyaev, Shamil R.

    Mutations create variation in the population, fuel evolution and cause genetic diseases. Current knowledge about de novo mutations is incomplete and mostly indirect(1-10). Here we analyze 11,020 de novo mutations from the whole genomes of 250 families. We show that de novo mutations in the offspring

  1. Genome-wide patterns and properties of de novo mutations in humans

    NARCIS (Netherlands)

    Francioli, L.C.; Polak, P.P.; Koren, A.; Menelaou, A.; Chun, S.; Renkens, I.; van Duijn, C.M.; Swertz, M.A.; Wijmenga, C.; van Ommen, G.J.; Slagboom, P.E.; Boomsma, D.I.; Ye, K.; Guryev, V.; Arndt, P.F.; Kloosterman, W.P.; Bakker, P.I.W.; Sunyaev, S.R.; Dijk, F.; Neerincx, P.B.T.; Pulit, S.L.; Deelen, P.; Elbers, C.C.; Palamara, P.F.; Pe'er, I.; Abdellaoui, A.; van Oven, M.; Vermaat, M.; Li, M.; Laros, J.F.J.; Stoneking, M.; de Knijff, P.; Kayser, M.; Veldink, J.H.; Van den Berg, L.H.; Byelas, H.; den Dunnen, J.T.; Dijkstra, M.; Amin, N.; van der Velde, K.J.; Hottenga, J.J.; van Setten, J.; van Leeuwen, E.M.; Kanterakis, A.; Kattenberg, V.M.; Karssen, L.C.; van Schaik, B.D.C.; Bot, J.; Nijman, I.J.; van Enckevort, D.; Mei, H.; Koval, V.; Estrada, K.; Medina-Gomez, C.; Lameijer, E.W.; Moed, M.H.; Hehir-Kwa, J.Y.; Handsaker, R.E.; McCarroll, S.A.; Vuzman, D.; Sohail, M.; Hormozdiari, F.; Marschall, T.; Schönhuth, A.; Beekman, M.; de Craen, A.J.; Suchiman, H.E.D.; Hofman, A.; Oostra, B.; Isaacs, A.; Rivadeneira, F.; Uitterlinden, A.G.; Willemsen, G.; Platteel, M.; Pitts, S.J.; Potluri, S.; Sundar, P.; Cox, D.R.; Li, Q.; Li, Y.; Du, Y.; Chen, R.; Cao, H.; Li, N.; Cao, S.; Wang, J.; Bovenberg, J.A.; Brandsma, M.

    2015-01-01

    Mutations create variation in the population, fuel evolution and cause genetic diseases. Current knowledge about de novo mutations is incomplete and mostly indirect. Here we analyze 11,020 de novo mutations from the whole genomes of 250 families. We show that de novo mutations in the offspring of

  2. mTORC2 Promotes Tumorigenesis via Lipid Synthesis.

    Science.gov (United States)

    Guri, Yakir; Colombi, Marco; Dazert, Eva; Hindupur, Sravanth K; Roszik, Jason; Moes, Suzette; Jenoe, Paul; Heim, Markus H; Riezman, Isabelle; Riezman, Howard; Hall, Michael N

    2017-12-11

    Dysregulated mammalian target of rapamycin (mTOR) promotes cancer, but underlying mechanisms are poorly understood. We describe an mTOR-driven mouse model that displays hepatosteatosis progressing to hepatocellular carcinoma (HCC). Longitudinal proteomic, lipidomics, and metabolomic analyses revealed that hepatic mTORC2 promotes de novo fatty acid and lipid synthesis, leading to steatosis and tumor development. In particular, mTORC2 stimulated sphingolipid (glucosylceramide) and glycerophospholipid (cardiolipin) synthesis. Inhibition of fatty acid or sphingolipid synthesis prevented tumor development, indicating a causal effect in tumorigenesis. Increased levels of cardiolipin were associated with tubular mitochondria and enhanced oxidative phosphorylation. Furthermore, increased lipogenesis correlated with elevated mTORC2 activity and HCC in human patients. Thus, mTORC2 promotes cancer via formation of lipids essential for growth and energy production. Copyright © 2017 Elsevier Inc. All rights reserved.

  3. Clinicopathologic factors associated with de novo metastatic breast cancer.

    Science.gov (United States)

    Shen, Tiansheng; Siegal, Gene P; Wei, Shi

    2016-12-01

    While breast cancers with distant metastasis at presentation (de novo metastasis) harbor significantly inferior clinical outcomes, there have been limited studies analyzing the clinicopathologic characteristics in this subset of patients. In this study, we analyzed 6126 breast cancers diagnosed between 1998 and 2013 to identify factors associated with de novo metastatic breast cancer. When compared to patients without metastasis at presentation, race, histologic grade, estrogen/progesterone receptor (ER/PR) and HER2 statuses were significantly associated with de novo metastasis in the entire cohort, whereas age, histologic grade, PR and HER2 status were the significant parameters in the subset of patients with locally advanced breast cancer (Stage IIB/III). The patients with de novo metastatic breast cancer had a significant older mean age and a lower proportion of HER2-positive tumors when compared to those with metastatic recurrence. Further, the HER2-rich subtype demonstrated a drastically higher incidence of de novo metastasis when compared to the luminal and triple-negative breast cancers in the entire cohort [odds ratio (OR)=5.68 and 2.27, respectively] and in the patients with locally advanced disease (OR=4.02 and 2.12, respectively), whereas no significant difference was seen between de novo metastatic cancers and those with metastatic recurrence. Moreover, the luminal and HER2-rich subtypes showed bone-seeking (OR=1.92) and liver-homing (OR=2.99) characteristics, respectively, for the sites of de novo metastasis, while the latter was not observed in those with metastatic recurrence. Our data suggest that an algorithm incorporating clinicopathologic factors, especially histologic grade and receptor profile, remains of significant benefit during decision making in newly diagnosed breast cancer in the pursuit of precision medicine. Copyright © 2016 Elsevier GmbH. All rights reserved.

  4. A Behavioral Synthesis Frontend to the Haste/TiDE Design Flow

    DEFF Research Database (Denmark)

    Nielsen, Sune Fallgaard; Sparsø, Jens; Jensen, Jonas Braband

    2009-01-01

    This paper presents a complete design tool which performs automatic behavioral synthesis of asynchronous circuits (resource sharing, scheduling and binding). The tool targets a traditional control-datapath-style template architecture. Within the limitations set by this template architecture...... and a controller. The tool may be seen as an add-on to the Haste/TiDE tool flow, and it can be used to automatically optimize parts of a design and to quickly xplore alternative optimizations. The paper outlines the design flow, explains key elements of the design tool, and presents a number of benchmark results....

  5. Degradation and de novo synthesis of D1 protein and psbA ...

    Indian Academy of Sciences (India)

    This shows that synthesis of D1 protein is not the only component involved in the recovery process. Our events, which ... transcript levels in the green alga Chlamydomonas reinhardtii in ..... and Gaba V 1996 Accelerated degradation of the D2 ...

  6. Design preferences and cognitive styles: experimentation by automated website synthesis.

    Science.gov (United States)

    Leung, Siu-Wai; Lee, John; Johnson, Chris; Robertson, David

    2012-06-29

    This article aims to demonstrate computational synthesis of Web-based experiments in undertaking experimentation on relationships among the participants' design preference, rationale, and cognitive test performance. The exemplified experiments were computationally synthesised, including the websites as materials, experiment protocols as methods, and cognitive tests as protocol modules. This work also exemplifies the use of a website synthesiser as an essential instrument enabling the participants to explore different possible designs, which were generated on the fly, before selection of preferred designs. The participants were given interactive tree and table generators so that they could explore some different ways of presenting causality information in tables and trees as the visualisation formats. The participants gave their preference ratings for the available designs, as well as their rationale (criteria) for their design decisions. The participants were also asked to take four cognitive tests, which focus on the aspects of visualisation and analogy-making. The relationships among preference ratings, rationale, and the results of cognitive tests were analysed by conservative non-parametric statistics including Wilcoxon test, Krustal-Wallis test, and Kendall correlation. In the test, 41 of the total 64 participants preferred graphical (tree-form) to tabular presentation. Despite the popular preference for graphical presentation, the given tabular presentation was generally rated to be easier than graphical presentation to interpret, especially by those who were scored lower in the visualization and analogy-making tests. This piece of evidence helps generate a hypothesis that design preferences are related to specific cognitive abilities. Without the use of computational synthesis, the experiment setup and scientific results would be impractical to obtain.

  7. Foldability of a Natural De Novo Evolved Protein.

    Science.gov (United States)

    Bungard, Dixie; Copple, Jacob S; Yan, Jing; Chhun, Jimmy J; Kumirov, Vlad K; Foy, Scott G; Masel, Joanna; Wysocki, Vicki H; Cordes, Matthew H J

    2017-11-07

    The de novo evolution of protein-coding genes from noncoding DNA is emerging as a source of molecular innovation in biology. Studies of random sequence libraries, however, suggest that young de novo proteins will not fold into compact, specific structures typical of native globular proteins. Here we show that Bsc4, a functional, natural de novo protein encoded by a gene that evolved recently from noncoding DNA in the yeast S. cerevisiae, folds to a partially specific three-dimensional structure. Bsc4 forms soluble, compact oligomers with high β sheet content and a hydrophobic core, and undergoes cooperative, reversible denaturation. Bsc4 lacks a specific quaternary state, however, existing instead as a continuous distribution of oligomer sizes, and binds dyes indicative of amyloid oligomers or molten globules. The combination of native-like and non-native-like properties suggests a rudimentary fold that could potentially act as a functional intermediate in the emergence of new folded proteins de novo. Copyright © 2017 Elsevier Ltd. All rights reserved.

  8. Particulated articular cartilage: CAIS and DeNovo NT.

    Science.gov (United States)

    Farr, Jack; Cole, Brian J; Sherman, Seth; Karas, Vasili

    2012-03-01

    Cartilage Autograft Implantation System (CAIS; DePuy/Mitek, Raynham, MA) and DeNovo Natural Tissue (NT; ISTO, St. Louis, MO) are novel treatment options for focal articular cartilage defects in the knee. These methods involve the implantation of particulated articular cartilage from either autograft or juvenile allograft donor, respectively. In the laboratory and in animal models, both CAIS and DeNovo NT have demonstrated the ability of the transplanted cartilage cells to "escape" from the extracellular matrix, migrate, multiply, and form a new hyaline-like cartilage tissue matrix that integrates with the surrounding host tissue. In clinical practice, the technique for both CAIS and DeNovo NT is straightforward, requiring only a single surgery to affect cartilage repair. Clinical experience is limited, with short-term studies demonstrating both procedures to be safe, feasible, and effective, with improvements in subjective patient scores, and with magnetic resonance imaging evidence of good defect fill. While these treatment options appear promising, prospective randomized controlled studies are necessary to refine the indications and contraindications for both CAIS and DeNovo NT.

  9. Amine-catalyzed direct aldol reactions of hydroxy- and dihydroxyacetone: biomimetic synthesis of carbohydrates.

    Science.gov (United States)

    Popik, Oskar; Pasternak-Suder, Monika; Leśniak, Katarzyna; Jawiczuk, Magdalena; Górecki, Marcin; Frelek, Jadwiga; Mlynarski, Jacek

    2014-06-20

    This article presents comprehensive studies on the application of primary, secondary, and tertiary amines as efficient organocatalysts for the de novo synthesis of ketoses and deoxyketoses. Mimicking the actions of aldolase enzymes, the synthesis of selected carbohydrates was accomplished in aqueous media by using proline- and serine-based organocatalysts. The presented methodology also provides direct access to unnatural L-carbohydrates from the (S)-glyceraldehyde precursor. Determination of the absolute configuration of all obtained sugars was feasible using a methodology consisting of concerted ECD and VCD spectroscopy.

  10. Efficient assembly of de novo human artificial chromosomes from large genomic loci

    Directory of Open Access Journals (Sweden)

    Stromberg Gregory

    2005-07-01

    Full Text Available Abstract Background Human Artificial Chromosomes (HACs are potentially useful vectors for gene transfer studies and for functional annotation of the genome because of their suitability for cloning, manipulating and transferring large segments of the genome. However, development of HACs for the transfer of large genomic loci into mammalian cells has been limited by difficulties in manipulating high-molecular weight DNA, as well as by the low overall frequencies of de novo HAC formation. Indeed, to date, only a small number of large (>100 kb genomic loci have been reported to be successfully packaged into de novo HACs. Results We have developed novel methodologies to enable efficient assembly of HAC vectors containing any genomic locus of interest. We report here the creation of a novel, bimolecular system based on bacterial artificial chromosomes (BACs for the construction of HACs incorporating any defined genomic region. We have utilized this vector system to rapidly design, construct and validate multiple de novo HACs containing large (100–200 kb genomic loci including therapeutically significant genes for human growth hormone (HGH, polycystic kidney disease (PKD1 and ß-globin. We report significant differences in the ability of different genomic loci to support de novo HAC formation, suggesting possible effects of cis-acting genomic elements. Finally, as a proof of principle, we have observed sustained ß-globin gene expression from HACs incorporating the entire 200 kb ß-globin genomic locus for over 90 days in the absence of selection. Conclusion Taken together, these results are significant for the development of HAC vector technology, as they enable high-throughput assembly and functional validation of HACs containing any large genomic locus. We have evaluated the impact of different genomic loci on the frequency of HAC formation and identified segments of genomic DNA that appear to facilitate de novo HAC formation. These genomic loci

  11. Systematic process synthesis and design methods for cost effective waste minimization

    International Nuclear Information System (INIS)

    Biegler, L.T.; Grossman, I.E.; Westerberg, A.W.

    1995-01-01

    We present progress on our work to develop synthesis methods to aid in the design of cost effective approaches to waste minimization. Work continues to combine the approaches of Douglas and coworkers and of Grossmann and coworkers on a hierarchical approach where bounding information allows it to fit within a mixed integer programming approach. We continue work on the synthesis of reactors and of flexible separation processes. In the first instance, we strive for methods we can use to reduce the production of potential pollutants, while in the second we look for ways to recover and recycle solvents

  12. Systematic process synthesis and design methods for cost effective waste minimization

    Energy Technology Data Exchange (ETDEWEB)

    Biegler, L.T.; Grossman, I.E.; Westerberg, A.W. [Carnegie Mellon Univ., Pittsburgh, PA (United States)

    1995-12-31

    We present progress on our work to develop synthesis methods to aid in the design of cost effective approaches to waste minimization. Work continues to combine the approaches of Douglas and coworkers and of Grossmann and coworkers on a hierarchical approach where bounding information allows it to fit within a mixed integer programming approach. We continue work on the synthesis of reactors and of flexible separation processes. In the first instance, we strive for methods we can use to reduce the production of potential pollutants, while in the second we look for ways to recover and recycle solvents.

  13. Computational Chemical Synthesis Analysis and Pathway Design

    Directory of Open Access Journals (Sweden)

    Fan Feng

    2018-06-01

    Full Text Available With the idea of retrosynthetic analysis, which was raised in the 1960s, chemical synthesis analysis and pathway design have been transformed from a complex problem to a regular process of structural simplification. This review aims to summarize the developments of computer-assisted synthetic analysis and design in recent years, and how machine-learning algorithms contributed to them. LHASA system started the pioneering work of designing semi-empirical reaction modes in computers, with its following rule-based and network-searching work not only expanding the databases, but also building new approaches to indicating reaction rules. Programs like ARChem Route Designer replaced hand-coded reaction modes with automatically-extracted rules, and programs like Chematica changed traditional designing into network searching. Afterward, with the help of machine learning, two-step models which combine reaction rules and statistical methods became the main stream. Recently, fully data-driven learning methods using deep neural networks which even do not require any prior knowledge, were applied into this field. Up to now, however, these methods still cannot replace experienced human organic chemists due to their relatively low accuracies. Future new algorithms with the aid of powerful computational hardware will make this topic promising and with good prospects.

  14. The Total Synthesis Problem of linear multivariable control. II - Unity feedback and the design morphism

    Science.gov (United States)

    Sain, M. K.; Antsaklis, P. J.; Gejji, R. R.; Wyman, B. F.; Peczkowski, J. L.

    1981-01-01

    Zames (1981) has observed that there is, in general, no 'separation principle' to guarantee optimality of a division between control law design and filtering of plant uncertainty. Peczkowski and Sain (1978) have solved a model matching problem using transfer functions. Taking into consideration this investigation, Peczkowski et al. (1979) proposed the Total Synthesis Problem (TSP), wherein both the command/output-response and command/control-response are to be synthesized, subject to the plant constraint. The TSP concept can be subdivided into a Nominal Design Problem (NDP), which is not dependent upon specific controller structures, and a Feedback Synthesis Problem (FSP), which is. Gejji (1980) found that NDP was characterized in terms of the plant structural matrices and a single, 'good' transfer function matrix. Sain et al. (1981) have extended this NDP work. The present investigation is concerned with a study of FSP for the unity feedback case. NDP, together with feedback synthesis, is understood as a Total Synthesis Problem.

  15. Streamlined Total Synthesis of Trioxacarcins and Its Application to the Design, Synthesis, and Biological Evaluation of Analogues Thereof. Discovery of Simpler Designed and Potent Trioxacarcin Analogues.

    Science.gov (United States)

    Nicolaou, K C; Chen, Pengxi; Zhu, Shugao; Cai, Quan; Erande, Rohan D; Li, Ruofan; Sun, Hongbao; Pulukuri, Kiran Kumar; Rigol, Stephan; Aujay, Monette; Sandoval, Joseph; Gavrilyuk, Julia

    2017-11-01

    A streamlined total synthesis of the naturally occurring antitumor agents trioxacarcins is described, along with its application to the construction of a series of designed analogues of these complex natural products. Biological evaluation of the synthesized compounds revealed a number of highly potent, and yet structurally simpler, compounds that are effective against certain cancer cell lines, including a drug-resistant line. A novel one-step synthesis of anthraquinones and chloro anthraquinones from simple ketone precursors and phenylselenyl chloride is also described. The reported work, featuring novel chemistry and cascade reactions, has potential applications in cancer therapy, including targeted approaches as in antibody-drug conjugates.

  16. Toward Developing Made-to-Order Metal-Organic Frameworks: Design, Synthesis and Applications

    KAUST Repository

    Ashri, Lubna Y.

    2016-05-26

    Synthesis of materials with certain properties for targeted applications is an ongoing challenge in materials science. One of the most interesting classes of solid-state materials that have been recently introduced with the potential to address this is metal-organic frameworks (MOFs). MOFs chemistry offers a higher degree of control over materials to be synthesized utilizing various new design strategies, such as the molecular building blocks (MBBs) and the supermolecular building layers (SBLs) approaches. Depending on using predetermined building blocks, these strategies permit the synthesis of MOFs with targeted topologies and enable fine tuning of their properties. This study examines a number of aspects of the design and synthesis of MOFs while exploring their possible utilization in two diverse fields related to energy and pharmaceutical applications. Concerning MOFs design and synthesis, the work presented here explores the rational design of various MOFs with predicted topologies and tunable cavities constructed by pillaring pre-targeted 2-periodic SBLs using the ligand-to-axial and six-connected axial-to-axial pillaring strategies. The effect of expanding the confined spaces in prepared MOFs or modifying their functionalities, while preserving the underlying network topology, was investigated. Additionally, The MBBs approach was employed to discover new modular polynuclear rare earth (RE)-MBBs in the presence of different angular polytopic ligands containing carboxylate and nitrogen moieties with the aid of a modulator. The goal was to assess the diverse possible coordination modes and construct highly-connected nets for utility in the design of new MOFs and enhance the predictability of structural outcomes. The effect of adjusting ligands’ length-to-width ratio on the prepared MOFs was also evaluated. As a result, the reaction conditions amenable for reliable formation of the unprecedented octadecanuclear, octanuclear and double tetranuclear RE-MBBs were

  17. Synthesis and design of optimal biorefinery

    DEFF Research Database (Denmark)

    Cheali, Peam

    analysed to enable risk-aware decision making. Theapplication of the developed analysis and decision support toolbox is highlightedthrough relevant biorefinery case studies: bioethanol, biogasoline or biodiesel production; algal biorefinery; and bioethanol-upgrading concepts are presented. This development...... environment. These challenges motivate thedevelopment of sustainable technologies for processing renewable feedstock for the production of fuels, chemicals and materials in what is commonly known as a biorefinery. The biorefinery concept is a term to describe one or more processes whichproduce various...... products from bio-based feedstock. Since there are several bio-basedfeedstock sources, this has motivated development of different conversion concepts producing various desired products. This results in a number of challenges for the synthesis and design of the optimal biorefinery concept at the early...

  18. ChemTS: an efficient python library for de novo molecular generation

    Science.gov (United States)

    Yang, Xiufeng; Zhang, Jinzhe; Yoshizoe, Kazuki; Terayama, Kei; Tsuda, Koji

    2017-12-01

    Automatic design of organic materials requires black-box optimization in a vast chemical space. In conventional molecular design algorithms, a molecule is built as a combination of predetermined fragments. Recently, deep neural network models such as variational autoencoders and recurrent neural networks (RNNs) are shown to be effective in de novo design of molecules without any predetermined fragments. This paper presents a novel Python library ChemTS that explores the chemical space by combining Monte Carlo tree search and an RNN. In a benchmarking problem of optimizing the octanol-water partition coefficient and synthesizability, our algorithm showed superior efficiency in finding high-scoring molecules. ChemTS is available at https://github.com/tsudalab/ChemTS.

  19. Integration of thermodynamic insights and MINLP optimisation for the synthesis, design and analysis of process flowsheets

    DEFF Research Database (Denmark)

    Hostrup, Martin; Gani, Rafiqul; Kravanja, Zdravko

    1999-01-01

    This paper presents an integrated approach to the solution of process synthesis, design and analysis problems. Integration is achieved by combining two different techniques, synthesis based on thermodynamic insights and structural optimization together with a simulation engine and a properties pr...

  20. Effects of thyroxine and 1-methyl, 2-mercaptoimidazol on phosphoinositides synthesis in rat liver

    Directory of Open Access Journals (Sweden)

    Krasilnikova Oksana A

    2004-12-01

    Full Text Available Abstract Background Phosphoinositides mediate one of the intracellular signal transduction pathways and produce a class of second messengers that are involved in the action of hormones and neurotransmitters on target cells. Thyroid hormones are well known regulators of lipid metabolism and modulators of signal transduction in cells. However, little is known about phosphoinositides cycle regulation by thyroid hormones. The present paper deals with phosphoinositides synthesis de novo and acylation in liver at different thyroid status of rats. Results The experiments were performed in either the rat liver or hepatocytes of 90- and 720-day-old rats. Myo-[3H]inositol, [14C]CH3COONa, [14C]oleic and [3H]arachidonic acids were used to investigate the phosphatidylinositol (PtdIns, phosphatidylinositol 4-phosphate and phosphatidylinositol 4,5-bisphosphate (PtdInsP2 synthesis. 1-methyl, 2-mercaptoimidazol-induced hypothyroidism was associated with the decrease of myo-[3H]inositol and [3H]arachidonic acids incorporation into liver phosphoinositides and total phospholipids, respectively. The thyroxine (L-T4 injection to hypothyroid animals increased the hormones contents in blood serum and PtdInsP2 synthesis de novo as well as [3H]arachidonic acids incorporation into the PtdIns and PtdInsP2. Under the hormone action, the [14C]oleic acid incorporation into PtdIns reduced in the liver of hypothyroid animals. A single injection of L-T4 to the euthyroid [14C]CH3COONa-pre-treated animals or addition of the hormone to a culture medium of hepatocytes was accompanied by the rapid prominent increase in the levels of the newly synthesized PtdIns and PtdInsP2 and in the mass of phosphatidic acid in the liver or the cells. Conclusions The data obtained have demonstrated that thyroid hormones are of vital importance in the regulation of arachidonate-containing phosphoinositides metabolism in the liver. The drug-induced malfunction of thyroid gland noticeably changed the

  1. Intrathecal synthesis of antibodies to HTLV-III in patients without AIDS or AIDS related complex

    NARCIS (Netherlands)

    Goudsmit, J.; Wolters, E. C.; Bakker, M.; Smit, L.; van der Noordaa, J.; Hische, E. A.; Tutuarima, J. A.; van der Helm, H. J.

    1986-01-01

    De novo synthesis in the central nervous system of IgG antibodies to human T cell lymphotropic virus type III (HTLV-III) (lymphadenopathy associated virus) was shown in seven of 10 seropositive men who had syphilis but not the acquired immune deficiency syndrome (AIDS) or AIDS related complex. None

  2. The Synthesis Approach to Analysing Educational Design Dataset: Application of Three Scaffolds to a Learning by Design Task for Postgraduate Education Students

    Science.gov (United States)

    Thompson, Kate; Carvalho, Lucila; Aditomo, Anindito; Dimitriadis, Yannis; Dyke, Gregory; Evans, Michael A.; Khosronejad, Maryam; Martinez-Maldonado, Roberto; Reimann, Peter; Wardak, Dewa

    2015-01-01

    The aims of the Synthesis and Scaffolding Project were to understand: the role of specific scaffolds in relation to the activity of learners, and the activity of learners during a collaborative design task from multiple perspectives, through the collection and analysis of multiple streams of data and the adoption of a synthesis approach to the…

  3. Anti-plasmodial action of de novo-designed, cationic, lysine-branched, amphipathic, helical peptides

    Directory of Open Access Journals (Sweden)

    Kaushik Naveen K

    2012-08-01

    Full Text Available Abstract Background A lack of vaccine and rampant drug resistance demands new anti-malarials. Methods In vitro blood stage anti-plasmodial properties of several de novo-designed, chemically synthesized, cationic, amphipathic, helical, antibiotic peptides were examined against Plasmodium falciparum using SYBR Green assay. Mechanistic details of anti-plasmodial action were examined by optical/fluorescence microscopy and FACS analysis. Results Unlike the monomeric decapeptides {(Ac-GXRKXHKXWA-NH2 (X = F,ΔF (Fm, ΔFm IC50 >100 μM}, the lysine-branched,dimeric versions showed far greater potency {IC50 (μM Fd 1.5 , ΔFd 1.39}. The more helical and proteolytically stable ΔFd was studied for mechanistic details. ΔFq, a K-K2 dendrimer of ΔFm and (ΔFm2 a linear dimer of ΔFm showed IC50 (μM of 0.25 and 2.4 respectively. The healthy/infected red cell selectivity indices were >35 (ΔFd, >20 (ΔFm2 and 10 (ΔFq. FITC-ΔFd showed rapid and selective accumulation in parasitized red cells. Overlaying DAPI and FITC florescence suggested that ΔFd binds DNA. Trophozoites and schizonts incubated with ΔFd (2.5 μM egressed anomalously and Band-3 immunostaining revealed them not to be associated with RBC membrane. Prematurely egressed merozoites from peptide-treated cultures were found to be invasion incompetent. Conclusion Good selectivity (>35, good resistance index (1.1 and low cytotoxicity indicate the promise of ΔFd against malaria.

  4. A novel gait-based synthesis procedure for the design of 4-bar exoskeleton with natural trajectories

    Directory of Open Access Journals (Sweden)

    Ramanpreet Singh

    2018-01-01

    The Translational Potential of this Article: Many hospitals and individuals have used the immobile and portable rehabilitation devices. These devices involve mechanisms, and the design of mechanism plays a vital role in the functioning of these devices; therefore, we have developed a new synthesis procedure for the design of the mechanism. Besides synthesis procedure, a mechanism is developed that can be used in the rehabilitation devices, bipeds, exoskeletons, etc., to benefit the society.

  5. Synthesis and Design of a Sustainable CO2 Utilization Network

    DEFF Research Database (Denmark)

    Frauzem, Rebecca; Gani, Rafiqul

    In response to increasing regulations and concern about the impact of greenhouse gases on the environment, carbon dioxide (CO2) emissions are targeted for reduction. One method is the conversion of CO2 to useful compounds via chemical reactions. However, conversion is still in its infancy...... and requires work for implementation at an industrial level. One aspect of this is the development of a methodology for the formulation and optimization of sustainable conversion processes. This methodology follows three stages for the process synthesis, design and more sustainable design. Using...

  6. Improved vanillin production in baker's yeast through in silico design

    DEFF Research Database (Denmark)

    Brochado, Ana Rita; Matos, Cláudia; Møller, Birger L.

    2010-01-01

    Background: Vanillin is one of the most widely used flavouring agents, originally obtained from cured seed pods of the vanilla orchid Vanilla planifolia. Currently vanillin is mostly produced via chemical synthesis. A de novo synthetic pathway for heterologous vanillin production from glucose has...... recently been implemented in baker's yeast, Saccharamyces cerevisiae. In this study we aimed at engineering this vanillin cell factory towards improved productivity and thereby at developing an attractive alternative to chemical synthesis. Results: Expression of a glycosyltransferase from Arabidopsis...

  7. Fast Synthesis of Gibbsite Nanoplates and Process Optimization using Box-Behnken Experimental Design

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xin; Zhang, Xianwen; Graham, Trenton R.; Pearce, Carolyn I.; Mehdi, Beata L.; N' Diaye, Alpha T.; Kerisit, Sebastien N.; Browning, Nigel D.; Clark, Sue B.; Rosso, Kevin M.

    2017-10-26

    Developing the ability to synthesize compositionally and morphologically well-defined gibbsite particles at the nanoscale with high yield is an ongoing need that has not yet achieved the level of rational design. Here we report optimization of a clean inorganic synthesis route based on statistical experimental design examining the influence of Al(OH)3 gel precursor concentration, pH, and aging time at temperature. At 80 oC, the optimum synthesis conditions of gel concentration at 0.5 M, pH at 9.2, and time at 72 h maximized the reaction yield up to ~87%. The resulting gibbsite product is composed of highly uniform euhedral hexagonal nanoplates within a basal plane diameter range of 200-400 nm. The independent roles of key system variables in the growth mechanism are considered. On the basis of these optimized experimental conditions, the synthesis procedure, which is both cost-effective and environmentally friendly, has the potential for mass production scale-up of high quality gibbsite material for various fundamental research and industrial applications.

  8. ''de novo'' aneurysms following endovascular procedures

    Energy Technology Data Exchange (ETDEWEB)

    Briganti, F.; Cirillo, S.; Caranci, F. [Department of Neurological Sciences, Services of Neuroradiology, ' ' Federico II' ' University, Naples (Italy); Esposito, F.; Maiuri, F. [Department of Neurological Sciences, Services of Neurosurgery, ' ' Federico II' ' University, Naples (Italy)

    2002-07-01

    Two personal cases of ''de novo'' aneurysms of the anterior communicating artery (ACoA) occurring 9 and 4 years, respectively, after endovascular carotid occlusion are described. A review of the 30 reported cases (including our own two) of ''de novo'' aneurysms after occlusion of the major cerebral vessels has shown some features, including a rather long time interval after the endovascular procedure of up to 20-25 years (average 9.6 years), a preferential ACoA (36.3%) and internal carotid artery-posterior communicating artery (ICA-PCoA) (33.3%) location of the ''de novo'' aneurysms, and a 10% rate of multiple aneurysms. These data are compared with those of the group of reported spontaneous ''de novo'' aneurysms after SAH or previous aneurysm clipping. We agree that the frequency of ''de novo'' aneurysms after major-vessel occlusion (two among ten procedures in our series, or 20%) is higher than commonly reported (0 to 11%). For this reason, we suggest that patients who have been submitted to endovascular major-vessel occlusion be followed up for up to 20-25 years after the procedure, using non-invasive imaging studies such as MR angiography and high-resolution CT angiography. On the other hand, periodic digital angiography has a questionable risk-benefit ratio; it may be used when a ''de novo'' aneurysm is detected or suspected on non-invasive studies. The progressive enlargement of the ACoA after carotid occlusion, as described in our case 1, must be considered a radiological finding of risk for ''de novo'' aneurysm formation. (orig.)

  9. Remobilization of Phytol from Chlorophyll Degradation Is Essential for Tocopherol Synthesis and Growth of Arabidopsis

    Science.gov (United States)

    vom Dorp, Katharina; Hölzl, Georg; Plohmann, Christian; Eisenhut, Marion; Abraham, Marion

    2015-01-01

    Phytol from chlorophyll degradation can be phosphorylated to phytyl-phosphate and phytyl-diphosphate, the substrate for tocopherol (vitamin E) synthesis. A candidate for the phytyl-phosphate kinase from Arabidopsis thaliana (At1g78620) was identified via a phylogeny-based approach. This gene was designated VITAMIN E DEFICIENT6 (VTE6) because the leaves of the Arabidopsis vte6 mutants are tocopherol deficient. The vte6 mutant plants are incapable of photoautotrophic growth. Phytol and phytyl-phosphate accumulate, and the phytyl-diphosphate content is strongly decreased in vte6 leaves. Phytol feeding and enzyme assays with Arabidopsis and recombinant Escherichia coli cells demonstrated that VTE6 has phytyl-P kinase activity. Overexpression of VTE6 resulted in increased phytyl-diphosphate and tocopherol contents in seeds, indicating that VTE6 encodes phytyl-phosphate kinase. The severe growth retardation of vte6 mutants was partially rescued by introducing the phytol kinase mutation vte5. Double mutant plants (vte5 vte6) are tocopherol deficient and contain more chlorophyll, but reduced amounts of phytol and phytyl-phosphate compared with vte6 mutants, suggesting that phytol or phytyl-phosphate are detrimental to plant growth. Therefore, VTE6 represents the missing phytyl-phosphate kinase, linking phytol release from chlorophyll with tocopherol synthesis. Moreover, tocopherol synthesis in leaves depends on phytol derived from chlorophyll, not on de novo synthesis of phytyl-diphosphate from geranylgeranyl-diphosphate. PMID:26452599

  10. Language and national identity in Novo Cinema Galego

    Directory of Open Access Journals (Sweden)

    Brais ROMERO SUÁREZ

    2015-12-01

    Full Text Available The talk of town since its inception in 2010, the Cinema Novo Galego has been successful in all competitions and festivals that has been present. From the FIPRESCI prize in Cannes to the Best Emerging Director at Locarno, this new wave of cinema places Galicia in the world film stage. But does Novo Cinema Galego an accurate representation of Galicia? What's the role of Galicia in this movement?

  11. OptMAVEn--a new framework for the de novo design of antibody variable region models targeting specific antigen epitopes.

    Directory of Open Access Journals (Sweden)

    Tong Li

    Full Text Available Antibody-based therapeutics provides novel and efficacious treatments for a number of diseases. Traditional experimental approaches for designing therapeutic antibodies rely on raising antibodies against a target antigen in an immunized animal or directed evolution of antibodies with low affinity for the desired antigen. However, these methods remain time consuming, cannot target a specific epitope and do not lead to broad design principles informing other studies. Computational design methods can overcome some of these limitations by using biophysics models to rationally select antibody parts that maximize affinity for a target antigen epitope. This has been addressed to some extend by OptCDR for the design of complementary determining regions. Here, we extend this earlier contribution by addressing the de novo design of a model of the entire antibody variable region against a given antigen epitope while safeguarding for immunogenicity (Optimal Method for Antibody Variable region Engineering, OptMAVEn. OptMAVEn simulates in silico the in vivo steps of antibody generation and evolution, and is capable of capturing the critical structural features responsible for affinity maturation of antibodies. In addition, a humanization procedure was developed and incorporated into OptMAVEn to minimize the potential immunogenicity of the designed antibody models. As case studies, OptMAVEn was applied to design models of neutralizing antibodies targeting influenza hemagglutinin and HIV gp120. For both HA and gp120, novel computational antibody models with numerous interactions with their target epitopes were generated. The observed rates of mutations and types of amino acid changes during in silico affinity maturation are consistent with what has been observed during in vivo affinity maturation. The results demonstrate that OptMAVEn can efficiently generate diverse computational antibody models with both optimized binding affinity to antigens and reduced

  12. Guided synthesis of accumulative solutions for the conceptual design of an efficient stove working with biomass

    International Nuclear Information System (INIS)

    Álvarez Cabrales, Alexis; Gaskins Espinosa, Benjamín Gabriel; Pérez Rodríguez, Roberto; Simeón Monet, Rolando Esteban

    2014-01-01

    The conceptual design is closely related to a product functional structure and the search of solution principles for its definition. This work exposes an accumulative method for the traceability of the functional structure that implements the guided conceptual synthesis of solutions in the preliminary analysis of this designing process stage. The method constitutes a contribution to Pahls and Beitzs classic design model. In it, the functional information system is manipulated, providing the designer with a help so that he can examine the different solutions that are obtained, giving him the possibility of selecting the most convenient one. The guided analysis of the accumulative solutions synthesis is illustrated by means of the conceptual design of an efficient stove working with biomass. (author)

  13. Vanillin-bioconversion and bioengineering of the most popular plant flavor and its de novo biosynthesis in the vanilla orchid.

    Science.gov (United States)

    Gallage, Nethaji J; Møller, Birger Lindberg

    2015-01-01

    In recent years, biotechnology-derived production of flavors and fragrances has expanded rapidly. The world's most popular flavor, vanillin, is no exception. This review outlines the current state of biotechnology-based vanillin synthesis with the use of ferulic acid, eugenol, and glucose as substrates and bacteria, fungi, and yeasts as microbial production hosts. The de novo biosynthetic pathway of vanillin in the vanilla orchid and the possible applied uses of this new knowledge in the biotechnology-derived and pod-based vanillin industries are also highlighted. Copyright © 2015 The Author. Published by Elsevier Inc. All rights reserved.

  14. De Novo Synthesis of Benzenoid Compounds by the Yeast Hanseniaspora vineae Increases the Flavor Diversity of Wines.

    Science.gov (United States)

    Martin, Valentina; Giorello, Facundo; Fariña, Laura; Minteguiaga, Manuel; Salzman, Valentina; Boido, Eduardo; Aguilar, Pablo S; Gaggero, Carina; Dellacassa, Eduardo; Mas, Albert; Carrau, Francisco

    2016-06-08

    Benzyl alcohol and other benzenoid-derived metabolites of particular importance in plants confer floral and fruity flavors to wines. Among the volatile aroma components in Vitis vinifera grape varieties, benzyl alcohol is present in its free and glycosylated forms. These compounds are considered to originate from grapes only and not from fermentative processes. We have found increased levels of benzyl alcohol in red Tannat wine compared to that in grape juice, suggesting de novo formation of this metabolite during vinification. In this work, we show that benzyl alcohol, benzaldehyde, p-hydroxybenzaldehyde, and p-hydroxybenzyl alcohol are synthesized de novo in the absence of grape-derived precursors by Hanseniaspora vineae. Levels of benzyl alcohol produced by 11 different H. vineae strains were 20-200 times higher than those measured in fermentations with Saccharomyces cerevisiae strains. These results show that H. vineae contributes to flavor diversity by increasing grape variety aroma concentration in a chemically defined medium. Feeding experiments with phenylalanine, tryptophan, tyrosine, p-aminobenzoic acid, and ammonium in an artificial medium were tested to evaluate the effect of these compounds either as precursors or as potential pathway regulators for the formation of benzenoid-derived aromas. Genomic analysis shows that the phenylalanine ammonia-lyase (PAL) and tyrosine ammonia lyase (TAL) pathways, used by plants to generate benzyl alcohols from aromatic amino acids, are absent in the H. vineae genome. Consequently, alternative pathways derived from chorismate with mandelate as an intermediate are discussed.

  15. On the Origin of De Novo Genes in Arabidopsis thaliana Populations.

    Science.gov (United States)

    Li, Zi-Wen; Chen, Xi; Wu, Qiong; Hagmann, Jörg; Han, Ting-Shen; Zou, Yu-Pan; Ge, Song; Guo, Ya-Long

    2016-08-03

    De novo genes, which originate from ancestral nongenic sequences, are one of the most important sources of protein-coding genes. This origination process is crucial for the adaptation of organisms. However, how de novo genes arise and become fixed in a population or species remains largely unknown. Here, we identified 782 de novo genes from the model plant Arabidopsis thaliana and divided them into three types based on the availability of translational evidence, transcriptional evidence, and neither transcriptional nor translational evidence for their origin. Importantly, by integrating multiple types of omics data, including data from genomes, epigenomes, transcriptomes, and translatomes, we found that epigenetic modifications (DNA methylation and histone modification) play an important role in the origination process of de novo genes. Intriguingly, using the transcriptomes and methylomes from the same population of 84 accessions, we found that de novo genes that are transcribed in approximately half of the total accessions within the population are highly methylated, with lower levels of transcription than those transcribed at other frequencies within the population. We hypothesized that, during the origin of de novo gene alleles, those neutralized to low expression states via DNA methylation have relatively high probabilities of spreading and becoming fixed in a population. Our results highlight the process underlying the origin of de novo genes at the population level, as well as the importance of DNA methylation in this process. © The Author 2016. Published by Oxford University Press on behalf of the Society for Molecular Biology and Evolution.

  16. Design and synthesis of multipurpose batch plant using a robust scheduling platform

    CSIR Research Space (South Africa)

    Seid, ER

    2013-10-01

    Full Text Available & Engineering Chemistry Research October 2012/ Vol. 52(46) Design and Synthesis of Multipurpose Batch Plants Using a Robust Scheduling Platform Esmael R. Seid † and Thokozani Majozi *†‡ † Department of Chemical Engineering, University of Pretoria...

  17. High frequencies of de novo CNVs in bipolar disorder and schizophrenia.

    LENUS (Irish Health Repository)

    Malhotra, Dheeraj

    2011-12-22

    While it is known that rare copy-number variants (CNVs) contribute to risk for some neuropsychiatric disorders, the role of CNVs in bipolar disorder is unclear. Here, we reasoned that a contribution of CNVs to mood disorders might be most evident for de novo mutations. We performed a genome-wide analysis of de novo CNVs in a cohort of 788 trios. Diagnoses of offspring included bipolar disorder (n = 185), schizophrenia (n = 177), and healthy controls (n = 426). Frequencies of de novo CNVs were significantly higher in bipolar disorder as compared with controls (OR = 4.8 [1.4,16.0], p = 0.009). De novo CNVs were particularly enriched among cases with an age at onset younger than 18 (OR = 6.3 [1.7,22.6], p = 0.006). We also confirmed a significant enrichment of de novo CNVs in schizophrenia (OR = 5.0 [1.5,16.8], p = 0.007). Our results suggest that rare spontaneous mutations are an important contributor to risk for bipolar disorder and other major neuropsychiatric diseases.

  18. Design and synthesis of plasmonic magnetic nanoparticles

    International Nuclear Information System (INIS)

    Lim, Jit Kang; Tilton, Robert D.; Eggeman, Alexander; Majetich, Sara A.

    2007-01-01

    Core-shell nanoparticles containing both iron oxide and gold are proposed for bioseparation applications. The surface plasmon resonance of gold makes it possible to track the positions of individual particles, even when they are smaller than the optical diffraction limit. The synthesis of water-dispersible iron oxide-gold nanoparticles is described. Absorption spectra show the plasmon peaks for Au shells on silica particles, suggesting that thin shells may be sufficient to impart a strong surface plasmon resonance to iron oxide-gold nanoparticles. Dark field optical microscopy illustrates the feasibility of single-particle detection. Calculations of magnetophoretic and drag forces for particles of different sizes reveal design requirements for effective separation of these small particles

  19. Effect of doxazosin on cholesterol synthesis in cell culture

    International Nuclear Information System (INIS)

    D'Eletto, R.D.; Javitt, N.B.

    1989-01-01

    The effect of doxazosin on cholesterol synthesis was determined by measuring the content of deuterium-enriched cholesterol in rabbit fibroblasts with and without receptors for low-density lipoproteins (LDL) and in hepatoma (Hep G2 cells). Doxazosin, at concentrations of 5-20 mumol/L, increased LDL binding to hepatic cells in a dose-related manner. Also, in these hepatic cells, doxazosin produced dose-related decreases in both newly synthesized cholesterol and cholesterol ester. In rabbit fibroblasts that were LDL receptor negative, de novo cholesterol synthesis was markedly reduced by increasing concentrations of doxazosin. Taken together, these results suggest that doxazosin may have a direct inhibitory effect on cholesterol synthesis independent of the LDL receptor. The inhibition of cholesterol synthesis by doxazosin may cause cells to compensate by upregulating the LDL receptor, thereby increasing the importation of lipoprotein cholesterol and reducing LDL cholesterol in the medium. This hypothesis supports findings in the clinical setting whereby doxazosin has a beneficial effect on the lipid profile, and suggests a useful additional property for this antihypertensive agent

  20. Fault tree synthesis for software design analysis of PLC based safety-critical systems

    International Nuclear Information System (INIS)

    Koo, S. R.; Cho, C. H.; Seong, P. H.

    2006-01-01

    As a software verification and validation should be performed for the development of PLC based safety-critical systems, a software safety analysis is also considered in line with entire software life cycle. In this paper, we propose a technique of software safety analysis in the design phase. Among various software hazard analysis techniques, fault tree analysis is most widely used for the safety analysis of nuclear power plant systems. Fault tree analysis also has the most intuitive notation and makes both qualitative and quantitative analyses possible. To analyze the design phase more effectively, we propose a technique of fault tree synthesis, along with a universal fault tree template for the architecture modules of nuclear software. Consequently, we can analyze the safety of software on the basis of fault tree synthesis. (authors)

  1. Application of a novel design paradigm to generate general nonpeptide combinatorial templates mimicking beta-turns: synthesis of ligands for melanocortin receptors.

    Science.gov (United States)

    Webb, Thomas R; Jiang, Luyong; Sviridov, Sergey; Venegas, Ruben E; Vlaskina, Anna V; McGrath, Douglas; Tucker, John; Wang, Jian; Deschenes, Alain; Li, Rongshi

    2007-01-01

    We report the further application of a novel approach to template and ligand design by the synthesis of agonists of the melanocortin receptor. This design method uses the conserved structural data from the three-dimensional conformations of beta-turn peptides to design rigid nonpeptide templates that mimic the orientation of the main chain C-alpha atoms in a peptide beta-turn. We report details on a new synthesis of derivatives of template 1 that are useful for the synthesis of exploratory libraries. The utility of this technique is further exemplified by several iterative rounds of high-throughput synthesis and screening, which result in new partially optimized nonpeptide agonists for several melanocortin receptors.

  2. Rational design, synthesis, and pharmacological evaluation of 2-azanorbornane-3-exo,5-endo-dicarboxylic acid

    DEFF Research Database (Denmark)

    Bunch, Lennart; Liljefors, Tommy; Greenwood, Jeremy R

    2003-01-01

    conformationally restricted (S)-glutamic acid (Glu) analogue intended as a mimic of the folded Glu conformation. The synthesis of 1 was completed in its racemic form in eight steps from commercially available starting materials. As a key step, the first facially selective hydroboration of a 5-methylidene[2......The design and synthesis of conformationally restricted analogues of alpha-amino acids is an often used strategy in medicinal chemistry research. Here we present the rational design, synthesis, and pharmacological evaluation of 2-azanorbornane-3-exo,5-endo-dicarboxylic acid (1), a novel...... studies on native 2-amino-3-(3-hydroxy-5-methyl-4-isoxazolyl)propionic acid (AMPA) (IC(50) > 300 microM, [(3)H]AMPA) or kainic acid (IC(50) > 160 microM, [(3)H]kainic acid) receptors nor in binding studies on the cloned iGluR5,6 subtypes (IC(50) > 300 microM, [(3)H]kainic acid)....

  3. De Novo Human Cardiac Myocytes for Medical Research: Promises and Challenges

    Directory of Open Access Journals (Sweden)

    Veronique Hamel

    2017-01-01

    Full Text Available The advent of cellular reprogramming technology has revolutionized biomedical research. De novo human cardiac myocytes can now be obtained from direct reprogramming of somatic cells (such as fibroblasts, from induced pluripotent stem cells (iPSCs, which are reprogrammed from somatic cells, and from human embryonic stem cells (hESCs. Such de novo human cardiac myocytes hold great promise for in vitro disease modeling and drug screening and in vivo cell therapy of heart disease. Here, we review the technique advancements for generating de novo human cardiac myocytes. We also discuss several challenges for the use of such cells in research and regenerative medicine, such as the immature phenotype and heterogeneity of de novo cardiac myocytes obtained with existing protocols. We focus on the recent advancements in addressing such challenges.

  4. Melhoramento do cafeeiro: IV - Café Mundo Novo

    Directory of Open Access Journals (Sweden)

    A. Carvalho

    1952-06-01

    Full Text Available Em um conjunto de cafeeiros existentes em Mundo Novo, hoje Urupês, na região Araraquarense do Estado de São Paulo, foram feitas seleções de vários cafeeiros baseando-se no seu aspecto vegetativo, na produção existente na época da seleção e na provável produção do ano seguinte. Estudou-se a origem da plantação inicial desse café, tanto em Urupês como em Jaú, chegando-se à conclusão de que é provavelmente originário desta última localidade. Progênies do café "Mundo Novo", anteriormente conhecido por "Sumatra" e derivado de plantas selecionadas em Urupês e Jaú, acham-se em estudo em seis localidades do Estado : Campinas, Ribeirão Prêto, Pindorama, Mococa, Jaú e Monte Alegre do Sul. No presente trabalho são apenas aproveitados dados referentes à variabilidade morfológica e característicos da produção das progênies dos primeiros cafeeiros selecionados em Urupês e estudados em Campinas, Jaú, Pindorama e Mococa. Em tôdas as localidades, observou-se variação nos caracteres morfológicos das progênies, verificando-se a ocorrência de plantas quase improdutivas. A maioria das progênies, no entanto, se caracteriza por acentuado vigor vegetativo. Foram estudadas as produções totais das progénies e das plantas, no período 1946-1951, notando-se que algumas progénies se salientaram pela elevada produção em tôdas as localidades. Os tipos de sementes "moca", "concha" e "chato" foram determinados em amostras de tôdas as plantas, por um período de três anos, notando-se que a variação ocorrida é da mesma ordem que a encontrada em outros cafeeiros em seleção. Procurou-se eliminar, pela seleção, cafeeiros com elevada produção de frutos sem sementes em uma ou duas lojas, característico êsse que parece ser hereditário. Os resultados obtidos de cruzamento entre os melhores cafeeiros "Mundo Novo" de Campinas e plantas da variedade murta, indicaram que esses cafeeiros são do tipo bourbon. Provavelmente

  5. Simulation, design and proof-of-concept of a two-stage continuous hydrothermal flow synthesis reactor for synthesis of functionalized nano-sized inorganic composite materials

    DEFF Research Database (Denmark)

    Zielke, Philipp; Xu, Yu; Simonsen, Søren Bredmose

    2016-01-01

    Computational fluid dynamics simulations were employed to evaluate several mixer geometries for a novel two-stage continuous hydrothermal flow synthesis reactor. The addition of a second stage holds the promise of allowing the synthesis of functionalized nano-materials as for example core-shell...... or decorated particles. Based on the simulation results, a reactor system employing a confined jet mixer in the first and a counter-flow mixer in the second stage was designed and built. The two-stage functionality and synthesis capacity is shown on the example of single- and two-stage syntheses of pure...... and mixed-phase NiO and YSZ particles....

  6. Synthesis of acetylcholine from choline derived from phosphatidylcholine in a human neuronal cell line

    International Nuclear Information System (INIS)

    Blusztajn, J.K.; Liscovitch, M.; Richardson, U.I.

    1987-01-01

    Cholinergic neurons are unique among cells since they alone utilize choline not only as a component of major membrane phospholipids, such as phosphatidylcholine (Ptd-Cho), but also as a precursor of their neurotransmitter acetylcholine (AcCho). It has been hypothesized that choline-phospholipids might serve as a storage pool of choline for AcCho synthesis. The selective vulnerability of cholinergic neurons in certain neurodegenerative diseases (e.g., Alzheimer disease, motor neuron disorders) might result from the abnormally accelerated liberation of choline (to be used a precursor of AcCho) from membrane phospholipids, resulting in altered membrane composition and function and compromised neuronal viability. However, the proposed metabolic link between membrane turnover and AcCho synthesis has been difficult to demonstrate because of the heterogeneity of the preparations used. Here the authors used a population of purely cholinergic cells (human neuroblastomas, LA-N-2), incubated in the presence of [methyl- 3 H]methionine to selectively label PtdCho synthesized by methylation of phosphatidylethanolamine, the only pathway of de novo choline synthesis. Three peaks of radioactive material that cochromatographed with authentic AcCho, choline, and phosphocholine were observed when the water-soluble metabolites of the [ 3 H]PtdCho were purified by high-performance liquid chromatography. The results demonstrate that AcCho can be synthesized from choline derived from the degradation of endogenous PtdCho formed de novo by methylation of phosphatidylethanolamine

  7. De Novo Collapsing Glomerulopathy in a Renal Allograft Recipient

    Directory of Open Access Journals (Sweden)

    Kanodia K

    2008-01-01

    Full Text Available Collapsing glomerulopathy (CG, characterized histologically by segmental/global glomerular capillary collapse, podocyte hypertrophy and hypercellularity and tubulo-interstitial injury; is characterized clinically by massive proteinuria and rapid progressive renal failure. CG is known to recur in renal allograft and rarely de novo. We report de novo CG 3 years post-transplant in a patient who received renal allograft from haplo-identical type donor.

  8. Design and evaluation of a gesture driven wavefield synthesis auditory game

    DEFF Research Database (Denmark)

    Grani, Francesco; Paisa, Razvan; Banas, Jan Stian

    2016-01-01

    An auditory game has been developed as a part of research in Wavefield Synthesis. In order to design and implement this game, a number of technologies have been incorporated in the development process. By pairing motion capture with a WiiMote new dimension of movement input was achieved. We present...... an evaluation study where the game was assessed....

  9. Intelligent Agents for Design and Synthesis Environments: My Summary

    Science.gov (United States)

    Norvig, Peter

    1999-01-01

    This presentation gives a summary of intelligent agents for design synthesis environments. We'll start with the conclusions, and work backwards to justify them. First, an important assumption is that agents (whatever they are) are good for software engineering. This is especially true for software that operates in an uncertain, changing environment. The "real world" of physical artifacts is like that: uncertain in what we can measure, changing in that things are always breaking down, and we must interact with non-software entities. The second point is that software engineering techniques can contribute to good design. There may have been a time when we wanted to build simple artifacts containing little or no software. But modern aircraft and spacecraft are complex, and rely on a great deal of software. So better software engineering leads to better designed artifacts, especially when we are designing a series of related artifacts and can amortize the costs of software development. The third point is that agents are especially useful for design tasks, above and beyond their general usefulness for software engineering, and the usefulness of software engineering to design.

  10. De novo giant A2 aneurysm following anterior communicating artery occlusion.

    Science.gov (United States)

    Ibrahim, Tarik F; Hafez, Ahmad; Andrade-Barazarte, Hugo; Raj, Rahul; Niemela, Mika; Lehto, Hanna; Numminen, Jussi; Jarvelainen, Juha; Hernesniemi, Juha

    2015-01-01

    De novo intracranial aneurysms are reported to occur with varying incidence after intracranial aneurysm treatment. They are purported to be observed, however, with increased incidence after Hunterian ligation; particularly in cases of carotid artery occlusion for giant or complex aneurysms deemed unclippable. We report a case of right-sided de novo giant A2 aneurysm 6 years after an anterior communicating artery (ACoA) aneurysm clipping. We believe this de novo aneurysm developed in part due to patient-specific risk factors but also a significant change in cerebral hemodynamics. The ACoA became occluded after surgery that likely altered the cerebral hemodynamics and contributed to the de novo aneurysm. We believe this to be the first reported case of a giant de novo aneurysm in this location. Following parent vessel occlusion (mostly of the carotid artery), there are no reports of any de novo aneurysms in the pericallosal arteries let alone a giant one. The patient had a dominant right A1 and the sudden increase in A2 blood flow likely resulted in increased wall shear stress, particularly in the medial wall of the A2 where the aneurysm occurred 2 mm distal to the A1-2 junction. ACoA preservation is a key element of aneurysm surgery in this location. Suspected occlusion of this vessel may warrant closer radiographic follow-up in patients with other risk factors for aneurysm development.

  11. Computational Tools and Algorithms for Designing Customized Synthetic Genes

    Energy Technology Data Exchange (ETDEWEB)

    Gould, Nathan [Department of Computer Science, The College of New Jersey, Ewing, NJ (United States); Hendy, Oliver [Department of Biology, The College of New Jersey, Ewing, NJ (United States); Papamichail, Dimitris, E-mail: papamicd@tcnj.edu [Department of Computer Science, The College of New Jersey, Ewing, NJ (United States)

    2014-10-06

    Advances in DNA synthesis have enabled the construction of artificial genes, gene circuits, and genomes of bacterial scale. Freedom in de novo design of synthetic constructs provides significant power in studying the impact of mutations in sequence features, and verifying hypotheses on the functional information that is encoded in nucleic and amino acids. To aid this goal, a large number of software tools of variable sophistication have been implemented, enabling the design of synthetic genes for sequence optimization based on rationally defined properties. The first generation of tools dealt predominantly with singular objectives such as codon usage optimization and unique restriction site incorporation. Recent years have seen the emergence of sequence design tools that aim to evolve sequences toward combinations of objectives. The design of optimal protein-coding sequences adhering to multiple objectives is computationally hard, and most tools rely on heuristics to sample the vast sequence design space. In this review, we study some of the algorithmic issues behind gene optimization and the approaches that different tools have adopted to redesign genes and optimize desired coding features. We utilize test cases to demonstrate the efficiency of each approach, as well as identify their strengths and limitations.

  12. Computational Tools and Algorithms for Designing Customized Synthetic Genes

    International Nuclear Information System (INIS)

    Gould, Nathan; Hendy, Oliver; Papamichail, Dimitris

    2014-01-01

    Advances in DNA synthesis have enabled the construction of artificial genes, gene circuits, and genomes of bacterial scale. Freedom in de novo design of synthetic constructs provides significant power in studying the impact of mutations in sequence features, and verifying hypotheses on the functional information that is encoded in nucleic and amino acids. To aid this goal, a large number of software tools of variable sophistication have been implemented, enabling the design of synthetic genes for sequence optimization based on rationally defined properties. The first generation of tools dealt predominantly with singular objectives such as codon usage optimization and unique restriction site incorporation. Recent years have seen the emergence of sequence design tools that aim to evolve sequences toward combinations of objectives. The design of optimal protein-coding sequences adhering to multiple objectives is computationally hard, and most tools rely on heuristics to sample the vast sequence design space. In this review, we study some of the algorithmic issues behind gene optimization and the approaches that different tools have adopted to redesign genes and optimize desired coding features. We utilize test cases to demonstrate the efficiency of each approach, as well as identify their strengths and limitations.

  13. Application of CAPEC Lipid Property Databases in the Synthesis and Design of Biorefinery Networks

    DEFF Research Database (Denmark)

    Bertran, Maria-Ona; Cunico, Larissa; Gani, Rafiqul

    Petroleum is currently the primary raw material for the production of fuels and chemicals. Consequently, our society is highly dependent on fossil non-renewable resources. However, renewable raw materials are recently receiving increasing interest for the production of chemicals and fuels, so a n...... of biorefinery networks. The objective of this work is to show the application of databases of physical and thermodynamic properties of lipid components to the synthesis and design of biorefinery networks.......]. The wide variety and complex nature of components in biorefineries poses a challenge with respect to the synthesis and design of these types of processes. Whereas physical and thermodynamic property data or models for petroleum-based processes are widely available, most data and models for biobased...

  14. Design, synthesis and biological activity of novel peptidyl benzyl ketone FVIIa inhibitors

    DEFF Research Database (Denmark)

    Storgaard, Morten; Henriksen, Signe Teuber; Zaragoza, Florencio

    2011-01-01

    Herein is described the synthesis of a novel class of peptidyl FVIIa inhibitors having a C-terminal benzyl ketone group. This class is designed to be potentially suitable as stabilization agents of liquid formulations of rFVIIa, which is a serine protease used for the treatment of hemophilia...

  15. Design and synthesis of DNA four-helix bundles

    Energy Technology Data Exchange (ETDEWEB)

    Rangnekar, Abhijit; Gothelf, Kurt V [Department of Chemistry, Centre for DNA Nanotechnology (CDNA) and Interdisciplinary Nanoscience Center (iNANO), Aarhus University, DK-8000 Aarhus C (Denmark); LaBean, Thomas H, E-mail: kvg@chem.au.dk, E-mail: thl@cs.duke.edu [Department of Chemistry, Duke University, Durham, NC 27708 (United States)

    2011-06-10

    The field of DNA nanotechnology has evolved significantly in the past decade. Researchers have succeeded in synthesizing tile-based structures and using them to form periodic lattices in one, two and three dimensions. Origami-based structures have also been used to create nanoscale structures in two and three dimensions. Design and construction of DNA bundles with fixed circumference has added a new dimension to the field. Here we report the design and synthesis of a DNA four-helix bundle. It was found to be extremely rigid and stable. When several such bundles were assembled using appropriate sticky-ends, they formed micrometre-long filaments. However, when creation of two-dimensional sheet-like arrays of the four-helix bundles was attempted, nanoscale rings were observed instead. The exact reason behind the nanoring formation is yet to be ascertained, but it provides an exciting prospect for making programmable circular nanostructures using DNA.

  16. Design and synthesis of DNA four-helix bundles

    International Nuclear Information System (INIS)

    Rangnekar, Abhijit; Gothelf, Kurt V; LaBean, Thomas H

    2011-01-01

    The field of DNA nanotechnology has evolved significantly in the past decade. Researchers have succeeded in synthesizing tile-based structures and using them to form periodic lattices in one, two and three dimensions. Origami-based structures have also been used to create nanoscale structures in two and three dimensions. Design and construction of DNA bundles with fixed circumference has added a new dimension to the field. Here we report the design and synthesis of a DNA four-helix bundle. It was found to be extremely rigid and stable. When several such bundles were assembled using appropriate sticky-ends, they formed micrometre-long filaments. However, when creation of two-dimensional sheet-like arrays of the four-helix bundles was attempted, nanoscale rings were observed instead. The exact reason behind the nanoring formation is yet to be ascertained, but it provides an exciting prospect for making programmable circular nanostructures using DNA.

  17. Novel pattern of post-γ ray de novo DNA synthesis in a radioresistant human strain

    International Nuclear Information System (INIS)

    Mirzayans, R.; Gentner, N.E.; Paterson, M.C.

    1985-01-01

    Enhanced resistance to radiation cytotoxicity in a fibroblast strain from an afflicted member of a Li-Fraumeni syndrome family may be largely ascribable to a change in the pattern of DNA replicative synthesis following γ ray exposure. That is, the extent of the initial radiogenic inhibition of replicative synthesis and the time interval before its subsequent recovery were both found to be greater in radioresistant (RR) compared to normal cells. In addition, the post-recovery replication rates in the RR cells were both higher and longer lasting than those in the control cells. A similar differential pattern was also seen following treatment with 4NQO, another DNA-damaging agent to which this RR strain displays enhanced resistance. Moreover, several conventional DNA repair assays indicated that the RR cells repair radiogenic damage at normal rates. The authors therefore suggest that the increased inhibition and prolonged lag in resumption of replicative synthesis seen in the RR strain upon exposure to certain genotoxic agents may enhance cellular recovery by ''buying additional time'' for processing of potentially lethal lesions

  18. Synthesis of nucleotide–amino acid conjugates designed for photo-CIDNP experiments by a phosphotriester approach

    Directory of Open Access Journals (Sweden)

    Tatyana V. Abramova

    2013-12-01

    Full Text Available Conjugates of 2’-deoxyguanosine, L-tryptophan and benzophenone designed to study pathways of fast radical reactions by the photo Chemically Induced Dynamic Nuclear Polarization (photo-CIDNP method were obtained by the phosphotriester block liquid phase synthesis. The phosphotriester approach to the oligonucleotide synthesis was shown to be a versatile and economic strategy for preparing the required amount of high quality samples of nucleotide–amino acid conjugates.

  19. Response monitoring in de novo patients with Parkinson's disease.

    Directory of Open Access Journals (Sweden)

    Rita Willemssen

    Full Text Available BACKGROUND: Parkinson's disease (PD is accompanied by dysfunctions in a variety of cognitive processes. One of these is error processing, which depends upon phasic decreases of medial prefrontal dopaminergic activity. Until now, there is no study evaluating these processes in newly diagnosed, untreated patients with PD ("de novo PD". METHODOLOGY/PRINCIPAL FINDINGS: Here we report large changes in performance monitoring processes using event-related potentials (ERPs in de novo PD-patients. The results suggest that increases in medial frontal dopaminergic activity after an error (Ne are decreased, relative to age-matched controls. In contrast, neurophysiological processes reflecting general motor response monitoring (Nc are enhanced in de novo patients. CONCLUSIONS/SIGNIFICANCE: It may be hypothesized that the Nc-increase is at costs of dopaminergic activity after an error; on a functional level errors may not always be detected and correct responses sometimes be misinterpreted as errors. This pattern differs from studies examining patients with a longer history of PD and may reflect compensatory processes, frequently occurring in pre-manifest stages of PD. From a clinical point of view the clearly attenuated Ne in the de novo PD patients may prove a useful additional tool for the early diagnosis of basal ganglia dysfunction in PD.

  20. Precise detection of de novo single nucleotide variants in human genomes.

    Science.gov (United States)

    Gómez-Romero, Laura; Palacios-Flores, Kim; Reyes, José; García, Delfino; Boege, Margareta; Dávila, Guillermo; Flores, Margarita; Schatz, Michael C; Palacios, Rafael

    2018-05-07

    The precise determination of de novo genetic variants has enormous implications across different fields of biology and medicine, particularly personalized medicine. Currently, de novo variations are identified by mapping sample reads from a parent-offspring trio to a reference genome, allowing for a certain degree of differences. While widely used, this approach often introduces false-positive (FP) results due to misaligned reads and mischaracterized sequencing errors. In a previous study, we developed an alternative approach to accurately identify single nucleotide variants (SNVs) using only perfect matches. However, this approach could be applied only to haploid regions of the genome and was computationally intensive. In this study, we present a unique approach, coverage-based single nucleotide variant identification (COBASI), which allows the exploration of the entire genome using second-generation short sequence reads without extensive computing requirements. COBASI identifies SNVs using changes in coverage of exactly matching unique substrings, and is particularly suited for pinpointing de novo SNVs. Unlike other approaches that require population frequencies across hundreds of samples to filter out any methodological biases, COBASI can be applied to detect de novo SNVs within isolated families. We demonstrate this capability through extensive simulation studies and by studying a parent-offspring trio we sequenced using short reads. Experimental validation of all 58 candidate de novo SNVs and a selection of non-de novo SNVs found in the trio confirmed zero FP calls. COBASI is available as open source at https://github.com/Laura-Gomez/COBASI for any researcher to use. Copyright © 2018 the Author(s). Published by PNAS.

  1. Unified approach to catechin hetero-oligomers: first total synthesis of trimer EZ-EG-CA isolated from Ziziphus jujuba.

    Science.gov (United States)

    Yano, Takahisa; Ohmori, Ken; Takahashi, Haruko; Kusumi, Takenori; Suzuki, Keisuke

    2012-10-14

    A catechin hetero-trimer isolated from Ziziphus jujuba has been synthesized. Among three constituent monomers, (-)-epiafzelechin and (-)-epigallocatechin were prepared by de novo synthesis. Trimer formation relied on the unified approach to oligomers based on the bromo-capping and the orthogonal activation, reaching the reported structure of the natural product.

  2. Synthesis and characterization of new ionic liquids; Sintese e caracterizacao de novos liquidos ionicos

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, L.M.C. de; Mattedi, S.; Boaventura, J.S., E-mail: luanaufrn@hotmail.co [Universidade Federal da Bahia (UFBA), Salvador, BA (Brazil). Escola Politecnica. Programa de Pos-Graduacao em Engenharia Quimica; Iglesias, M. [Universidade Federal da Bahia (UFBA), Salvador, BA (Brazil). Escola Politecnica. Programa de Pos-Graduacao em Engenharia Quimica; Universidad de Santiago de Compostela (Spain). Escuela Tecnica Superior de Ingenieria. Dept. de Ingenieria Quimica

    2010-07-01

    In recent years, ionic liquids have been highlighted for its potential in various industrial applications. Among them, the salts of Broensted has a promising profile for the low toxicity, low cost and simple synthesis. This paper presents the synthesis and characterization of new salts of Bronsted with branched (lactate) or large chain anions (oleate) for future use as additives promoters of proton conductivity in fuel cells of ethanol. Experimental data were measured for density, sound velocity and conductivity of pure ionic liquids and mixtures. The density decreases linearly with increasing temperature, and sound velocity shows a similar trend, but not linear. The conductivity increases according to the Arrhenius model with activation energy less than 10 J/mol. Tests NMR, FTIR and TGA confirm ionic structure and thermal stability up to 165 deg C. (author)

  3. Age-related synthesis of glucocorticoids in thymocytes

    International Nuclear Information System (INIS)

    Qiao Shengjun; Chen Liying; Okret, Sam; Jondal, Mikael

    2008-01-01

    Glucocorticoids (GCs) are primarily synthesized in the adrenal glands but an ectopic production has also been reported in the brain, the gastrointestinal tract and in thymic epithelial cells (TEC). Here we show that thymocytes express genes encoding for all enzymes required for de novo GC synthesis and produce the hormone as demonstrated by both a GC specific reporter assay and a corticosterone specific ELISA assay. Interestingly, GC synthesis is detectable in cells from young mice (4 weeks) and thereafter increases during aging (14-22 weeks) together with an increased gene expression of the rate-limiting enzymes StAR and CYP11A1. Hormone production occurred at a thymocyte differentiation stage characterized by being double positive for the CD4 and CD8 surface markers but was found to be unrelated to CD69 expression, a marker for thymocytes undergoing positive selection. No GC synthesis was found in resting or anti-CD3 activated CD4 and CD8 positive T cells isolated from the spleen. Thymocyte-derived GC had an anti-proliferative effect on a GR-transfected cell line and induced apoptosis in thymocytes. The age- and differentiation stage-related GC synthesis in thymocytes may play a role in the involution process that the thymus gland undergoes

  4. Computational design and elaboration of a de novo heterotetrameric alpha-helical protein that selectively binds an emissive abiological (porphinato)zinc chromophore.

    Science.gov (United States)

    Fry, H Christopher; Lehmann, Andreas; Saven, Jeffery G; DeGrado, William F; Therien, Michael J

    2010-03-24

    The first example of a computationally de novo designed protein that binds an emissive abiological chromophore is presented, in which a sophisticated level of cofactor discrimination is pre-engineered. This heterotetrameric, C(2)-symmetric bundle, A(His):B(Thr), uniquely binds (5,15-di[(4-carboxymethyleneoxy)phenyl]porphinato)zinc [(DPP)Zn] via histidine coordination and complementary noncovalent interactions. The A(2)B(2) heterotetrameric protein reflects ligand-directed elements of both positive and negative design, including hydrogen bonds to second-shell ligands. Experimental support for the appropriate formulation of [(DPP)Zn:A(His):B(Thr)](2) is provided by UV/visible and circular dichroism spectroscopies, size exclusion chromatography, and analytical ultracentrifugation. Time-resolved transient absorption and fluorescence spectroscopic data reveal classic excited-state singlet and triplet PZn photophysics for the A(His):B(Thr):(DPP)Zn protein (k(fluorescence) = 4 x 10(8) s(-1); tau(triplet) = 5 ms). The A(2)B(2) apoprotein has immeasurably low binding affinities for related [porphinato]metal chromophores that include a (DPP)Fe(III) cofactor and the zinc metal ion hemin derivative [(PPIX)Zn], underscoring the exquisite active-site binding discrimination realized in this computationally designed protein. Importantly, elements of design in the A(His):B(Thr) protein ensure that interactions within the tetra-alpha-helical bundle are such that only the heterotetramer is stable in solution; corresponding homomeric bundles present unfavorable ligand-binding environments and thus preclude protein structural rearrangements that could lead to binding of (porphinato)iron cofactors.

  5. Systematic staging design applied to the fixed-bed reactor series for methanol and one-step methanol/dimethyl ether synthesis

    International Nuclear Information System (INIS)

    Manenti, Flavio; Leon-Garzon, Andres R.; Ravaghi-Ardebili, Zohreh; Pirola, Carlo

    2014-01-01

    This work investigates possible design advances in the series of fixed-bed reactors for methanol and dimethyl ether synthesis. Specifically, the systematic staging design proposed by Hillestad [1] is applied to the water-cooled and gas-cooled series of reactors of Lurgi's technology. The procedure leads to new design and operating conditions with respect to the current best industrial practice, with relevant benefits in terms of process yield, energy saving, and net income. The overall mathematical model for the process simulation and optimization is reported in the work together with dedicated sensitivity analysis studies. - Highlights: • Systematic staging design is applied to methanol and methanol/DME synthesis. • New configurations for the synthesis reactor network are proposed and assessed. • Comparison with the industrial best practice is provided. • Energy-process optimization is performed to improve the overall yield of the process

  6. De novo mutations in synaptic transmission genes including DNM1 cause epileptic encephalopathies

    DEFF Research Database (Denmark)

    2014-01-01

    in five individuals and de novo mutations in GABBR2, FASN, and RYR3 in two individuals each. Unlike previous studies, this cohort is sufficiently large to show a significant excess of de novo mutations in epileptic encephalopathy probands compared to the general population using a likelihood analysis (p...... = 8.2 × 10(-4)), supporting a prominent role for de novo mutations in epileptic encephalopathies. We bring statistical evidence that mutations in DNM1 cause epileptic encephalopathy, find suggestive evidence for a role of three additional genes, and show that at least 12% of analyzed individuals have...... analyzed exome-sequencing data of 356 trios with the "classical" epileptic encephalopathies, infantile spasms and Lennox Gastaut syndrome, including 264 trios previously analyzed by the Epi4K/EPGP consortium. In this expanded cohort, we find 429 de novo mutations, including de novo mutations in DNM1...

  7. Design Methodology - Design Synthesis

    DEFF Research Database (Denmark)

    Andreasen, Mogens Myrup

    2003-01-01

    Design Methodology is part of our practice and our knowledge about designing, and it has been strongly supported by the establishing and work of a design research community. The aim of this article is to broaden the reader¿s view of designing and Design Methodology. This is done by sketching...... the development of Design Methodology through time and sketching some important approaches and methods. The development is mainly forced by changing industrial condition, by the growth of IT support for designing, but also by the growth of insight into designing created by design researchers.......ABSTRACT Design Methodology shall be seen as our understanding of how to design; it is an early (emerging late 60ies) and original articulation of teachable and learnable methodics. The insight is based upon two sources: the nature of the designed artefacts and the nature of human designing. Today...

  8. Sterol regulatory element binding protein and dietary lipid regulation of fatty acid synthesis in the mammary epithelium.

    Science.gov (United States)

    Rudolph, Michael C; Monks, Jenifer; Burns, Valerie; Phistry, Meridee; Marians, Russell; Foote, Monica R; Bauman, Dale E; Anderson, Steven M; Neville, Margaret C

    2010-12-01

    The lactating mammary gland synthesizes large amounts of triglyceride from fatty acids derived from the blood and from de novo lipogenesis. The latter is significantly increased at parturition and decreased when additional dietary fatty acids become available. To begin to understand the molecular regulation of de novo lipogenesis, we tested the hypothesis that the transcription factor sterol regulatory element binding factor (SREBF)-1c is a primary regulator of this system. Expression of Srebf1c mRNA and six of its known target genes increased ≥2.5-fold at parturition. However, Srebf1c-null mice showed only minor deficiencies in lipid synthesis during lactation, possibly due to compensation by Srebf1a expression. To abrogate the function of both isoforms of Srebf1, we bred mice to obtain a mammary epithelial cell-specific deletion of SREBF cleavage-activating protein (SCAP), the SREBF escort protein. These dams showed a significant lactation deficiency, and expression of mRNA for fatty acid synthase (Fasn), insulin-induced gene 1 (Insig1), mitochondrial citrate transporter (Slc25a1), and stearoyl-CoA desaturase 2 (Scd2) was reduced threefold or more; however, the mRNA levels of acetyl-CoA carboxylase-1α (Acaca) and ATP citrate lyase (Acly) were unchanged. Furthermore, a 46% fat diet significantly decreased de novo fatty acid synthesis and reduced the protein levels of ACACA, ACLY, and FASN significantly, with no change in their mRNA levels. These data lead us to conclude that two modes of regulation exist to control fatty acid synthesis in the mammary gland of the lactating mouse: the well-known SREBF1 system and a novel mechanism that acts at the posttranscriptional level in the presence of SCAP deletion and high-fat feeding to alter enzyme protein.

  9. Defining the maize transcriptome de novo using deep RNA-Seq

    Energy Technology Data Exchange (ETDEWEB)

    Martin, Jeffrey; Gross, Stephen; Choi, Cindy; Zhang, Tao; Lindquist, Erika; Wei, Chia-Lin; Wang, Zhong

    2011-06-01

    De novo assembly of the transcriptome is crucial for functional genomics studies in bioenergy research, since many of the organisms lack high quality reference genomes. In a previous study we successfully de novo assembled simple eukaryote transcriptomes exclusively from short Illumina RNA-Seq reads [1]. However, extensive alternative splicing, present in most of the higher eukaryotes, poses a significant challenge for current short read assembly processes. Furthermore, the size of next-generation datasets, often large for plant genomes, presents an informatics challenge. To tackle these challenges we present a combined experimental and informatics strategy for de novo assembly in higher eukaryotes. Using maize as a test case, preliminary results suggest our approach can resolve transcript variants and improve gene annotations.

  10. Defining the maize transcriptome de novo using deep RNA-Seq

    Energy Technology Data Exchange (ETDEWEB)

    Martin, Jeffrey; Gross, Stephen; Choi, Cindy; Zhang, Tao; Lindquist, Erika; Wei, Chia-Lin; Wang, Zhong

    2011-06-02

    De novo assembly of the transcriptome is crucial for functional genomics studies in bioenergy research, since many of the organisms lack high quality reference genomes. In a previous study we successfully de novo assembled simple eukaryote transcriptomes exclusively from short Illumina RNA-Seq reads [1]. However, extensive alternative splicing, present in most of the higher eukaryotes, poses a significant challenge for current short read assembly processes. Furthermore, the size of next-generation datasets, often large for plant genomes, presents an informatics challenge. To tackle these challenges we present a combined experimental and informatics strategy for de novo assembly in higher eukaryotes. Using maize as a test case, preliminary results suggest our approach can resolve transcript variants and improve gene annotations.

  11. "Intelligent" design of molecular materials: Understanding the concepts of design in supramolecular synthesis of network solids

    Science.gov (United States)

    Moulton, Brian D.

    This work endeavors to delineate modern paradigms for crystal engineering, i.e. the design and supramolecular synthesis of functional molecular materials. Paradigms predicated on an understanding of the geometry of polygons and polyhedra are developed. The primary focus is on structural determination by single crystal X-ray crystallography, structural interpretation using a suite of graphical visualization and molecular modeling software, and on the importance of proper graphical representation in the presentation and explanation of crystal structures. A detailed analysis of a selected series of crystal structures is presented. The reduction of these molecular networks to schematic representations that illustrate their fundamental connectivity facilitates the understanding of otherwise complex supramolecular solids. Circuit symbols and Schlafli notation are used to describe the network topologies, which enables networks of different composition and metrics to be easily compared. This reveals that molecular orientations in the crystals and networks are commensurate with networks that can be derived from spherical close packed lattices. The development of a logical design strategy for a new class of materials based on our understanding of the chemical composition and topology of these networks is described. The synthesis and crystal structure of a series of new materials generated by exploitation of this design strategy is presented, in addition to a detailed analysis of the topology of these materials and their relationship to a 'parent' structure. In summary, this dissertation demonstrates that molecular polygons can self-assemble at their vertexes to produce molecular architectures and crystal structures that are consistent with long established geometric dogma. The design strategy represents a potentially broad ranging approach to the design of nanoporous structures from a wide range of chemical components that are based on molecular shape rather than chemical

  12. Inhibition of hydrogenase synthesis by DNA gyrase inhibitors in Bradyrhizobium japonicum

    International Nuclear Information System (INIS)

    Novak, P.D.; Maier, R.J.

    1987-01-01

    Derepression of an uptake hydrogenase in Bradyrhizobium japonicum is dependent on a microaerophilic environment. Addition of DNA gyrase inhibitors during derepression of hydrogenase specifically prevented expression of the hydrogenase enzyme. Antibodies to individual hydrogenase subunits failed to detect the protein after derepression in the presence of inhibitors, although there was no general inhibition of protein synthesis. The general pattern of proteins synthesized from 14 C-labeled amino acids during derepression was no significantly different whether proteins were labeled in the presence or in the absence of gyrase inhibitors. In contrast, if transcription or translation was inhibited by addition of inhibitors of those functions, virtually no proteins were labeled during derepression. This indicated that most of the 14 C-labeled proteins were synthesized de novo during derepression, synthesis of most proteins was unaffected by gyrase inhibitors, and the dependence of hydrogenase synthesis on gyrase activity was a specific one

  13. Protein synthesis levels are increased in a subset of individuals with Fragile X syndrome

    DEFF Research Database (Denmark)

    Jacquemont, Sébastien; Pacini, Laura; Jønch, Aia E

    2018-01-01

    architecture and plasticity. Preclinical studies revealed that pharmacological interventions restore those deficits, which are thought to mediate the FXS cognitive and behavioral symptoms. Here we characterized the de novo rate of protein synthesis in patients with FXS and their relationship with clinical...... severity. We measured the rate of protein synthesis in fibroblasts derived from 32 individuals with FXS and from 17 controls as well as in fibroblasts and primary neurons of 27 Fmr1 KO mice and 20 controls. Here we show that levels of protein synthesis are increased in fibroblasts of individuals with FXS...... and Fmr1 KO mice. However, this cellular phenotype displays a broad distribution and a proportion of fragile X individuals and Fmr1 KO mice do not show increased levels of protein synthesis, having measures in the normal range. Because the same Fmr1 KO animal measures in fibroblasts predict those...

  14. Functional tuning of the catalytic residue pKa in a de novo designed esterase.

    Science.gov (United States)

    Hiebler, Katharina; Lengyel, Zsófia; Castañeda, Carlos A; Makhlynets, Olga V

    2017-09-01

    AlleyCatE is a de novo designed esterase that can be allosterically regulated by calcium ions. This artificial enzyme has been shown to hydrolyze p-nitrophenyl acetate (pNPA) and 4-nitrophenyl-(2-phenyl)-propanoate (pNPP) with high catalytic efficiency. AlleyCatE was created by introducing a single-histidine residue (His 144 ) into a hydrophobic pocket of calmodulin. In this work, we explore the determinants of catalytic properties of AlleyCatE. We obtained the pK a value of the catalytic histidine using experimental measurements by NMR and pH rate profile and compared these values to those predicted from electrostatics pK a calculations (from both empirical and continuum electrostatics calculations). Surprisingly, the pK a value of the catalytic histidine inside the hydrophobic pocket of calmodulin is elevated as compared to the model compound pK a value of this residue in water. We determined that a short-range favorable interaction with Glu 127 contributes to the elevated pK a of His 144 . We have rationally modulated local electrostatic potential in AlleyCatE to decrease the pK a of its active nucleophile, His 144 , by 0.7 units. As a direct result of the decrease in the His 144 pK a value, catalytic efficiency of the enzyme increased by 45% at pH 6. This work shows that a series of simple NMR experiments that can be performed using low field spectrometers, combined with straightforward computational analysis, provide rapid and accurate guidance to rationally improve catalytic efficiency of histidine-promoted catalysis. Proteins 2017; 85:1656-1665. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.

  15. Improved outcome with novel device for low-pressure PTCA in de novo and in-stent lesions

    International Nuclear Information System (INIS)

    Ischinger, Thomas A.; Solar, Ronald J.; Hitzke, Evelyn

    2003-01-01

    Purpose: Complex lesion morphology requiring the use of high pressure to effect lumen expansion and in-stent restenosis (ISR) remain two indications that challenge conventional PTCA balloons. We report on a new PTCA device that is designed to provide precise, low-pressure dilatation of both de novo and in-stent lesions. Methods: The FX miniRAIL catheter (FX) has an integral wire positioned external to a dilating balloon and a short, 12-mm guidewire lumen distal to the balloon. The balloon inflates against the guidewire and the external wire to prevent slippage and to introduce high focal longitudinal stresses at low inflation pressures. In this initial study, the FX was used in 37 lesions (25 de novo, 12 in-stent; vessel reference diameter=2.73±0.49 mm) in 30 patients. A stepwise inflation protocol and QCA were used to determine the balloon pressure at which the stenosis was resolved (stenosis resolution pressure, SRP). Results: All lesions (100%) were easily reached, crossed and dilated without complication. The SRP was 4.5±2.9 atm, and no balloon slippage was observed. Residual stenosis after FX was 26.39±13.29%. Minor dissections (Types A and B) were observed in eight lesions (21.6%). Target lesion revascularization (TLR) and target vessel revascularization (TVR) at follow-up (8.1±1.5 months) were 8.3% and 12.5%, respectively. Conclusion: The design of the FX is versatile and appears to provide for a safe, effective and improved low-pressure PTCA technique in de novo and in-stent lesions

  16. Novos paradigmas literários

    Directory of Open Access Journals (Sweden)

    Denise Azevedo Duarte Guimarães

    2005-12-01

    Full Text Available O artigo estuda a emergência de novos paradigmas literários, procurando refletir acerca das textualidades contemporâneas. Focaliza os hipertextos informatizados e a poesia multimídia, com o intuito de desvendar como estão sendo criados novos procedimentos expressivos e em que medida eles podem ser identificados com reflexões teóricas anteriores acerca do texto literário impresso. Remete a questões ligadas à leitura dos diferentes tipos de signos e aos modos como eles se integram para a constituição dessas novíssimas linguagens híbridas em novos suportes.El artículo estudia la emergencia de nuevos paradigmas literarios, procurando reflejar acerca de las textualidades contemporáneas. Enfoca los hipertextos informatizados y la poesía multimedia, intentando desvendar cómo están siendo creados nuevos procedimientos expresivos y en qué medida ellos pueden ser identificados a reflexiones teóricas anteriores acerca del texto literario impreso. Remite a cuestiones ligadas a la lectura de los diferentes tipos de signos y a los modos cómo ellos se interaccionan para la constitución de los novísimos lenguajes híbridos en nuevos supuestos.This article investigates the emergence of new literary paradigms as it tries to understand new contemporary textualities. It analyses some hypertexts and multimedia poetry trying to trace how new expressive procedures are being created. How can these new languages be identified and what are their relations to previous theories which dealt with the literary printed text? This study approaches questions linked to the reading of different types of signs and the modes they function towards the fabrication of these new hybrid languages.

  17. A Public Trial De Novo

    DEFF Research Database (Denmark)

    Vedel, Jane Bjørn; Gad, Christopher

    2011-01-01

    This article addresses the concept of “industrial interests” and examines its role in a topical controversy about a large research grant from a private foundation, the Novo Nordisk Foundation, to the University of Copenhagen. The authors suggest that the debate took the form of a “public trial” w.......” The article ends with a discussion of some implications of the analysis, including that policy making, academic research, and public debates might benefit from more detailed accounts of interests and stakes.......This article addresses the concept of “industrial interests” and examines its role in a topical controversy about a large research grant from a private foundation, the Novo Nordisk Foundation, to the University of Copenhagen. The authors suggest that the debate took the form of a “public trial......” where the grant and close(r) intermingling between industry and public research was prosecuted and defended. First, the authors address how the grant was framed in the media. Second, they redescribe the case by introducing new “evidence” that, because of this framing, did not reach “the court...

  18. A chloroplast pathway for the de novo biosynthesis of triacylglycerol in Chlamydomonas reinhardtii

    Energy Technology Data Exchange (ETDEWEB)

    Fan, J.; Xu, C.; Andre, C.

    2011-06-23

    Neutral lipid metabolism has been extensively studied in yeast, plants and mammals. In contrast, little information is available regarding the biochemical pathway, enzymes and regulatory factors involved in the biosynthesis of triacylglycerol (TAG) in microalgae. In the conventional TAG biosynthetic pathway widely accepted for yeast, plants and mammals, TAG is assembled in the endoplasmic reticulum (ER) from its immediate precursor diacylglycerol (DAG) made by ER-specific acyltransferases, and is deposited exclusively in lipid droplets in the cytosol. Here, we demonstrated that the unicellular microalga Chlamydomonas reinhardtii employs a distinct pathway that uses DAG derived almost exclusively from the chloroplast to produce TAG. This unique TAG biosynthesis pathway is largely dependent on de novo fatty acid synthesis, and the TAG formed in this pathway is stored in lipid droplets in both the chloroplast and the cytosol. These findings have wide implications for understanding TAG biosynthesis and storage and other areas of lipid metabolism in microalgae and other organisms.

  19. Optimization of MCM-48 synthesis using factorial design

    Energy Technology Data Exchange (ETDEWEB)

    Nascimento, A.R. do; Medeiros, R.L.B. de A.; Melo, M. A. de F.; Melo, D.M. de A. [Universitdade Federal do Rio Grande do Norte (UFRN), Natal (Brazil); Souza, M.J.B. de, E-mail: ale3ufs@yahoo.com.br [Universidade Federal de Sergipe (UFS), Sao Cristovao (Brazil)

    2016-10-15

    MCM-48 mesoporous materials were hydrothermally synthesized according to the 2{sup 2} factorial design by varying the crystallization time and temperature of the synthesis gel, and characterized by means of X-ray diffraction analysis and adsorption of N{sub 2} . In the crystallization temperature and time conditions used, specific areas between 924 to 1102 m{sup 2}.g{sup -1}, pore volumes between 0.015 to 0.087 cm{sup 3}.g{sup -1} and pore diameters between 3.2 to 4.0 nm were obtained. It was observed that for the syntheses performed at high temperature, the crystallization time should be reduced so that the material structure is formed. (author)

  20. Perspective: Toward "synthesis by design": Exploring atomic correlations during inorganic materials synthesis

    Science.gov (United States)

    Soderholm, L.; Mitchell, J. F.

    2016-05-01

    Synthesis of inorganic extended solids is a critical starting point from which real-world functional materials and their consequent technologies originate. However, unlike the rich mechanistic foundation of organic synthesis, with its underlying rules of assembly (e.g., functional groups and their reactivities), the synthesis of inorganic materials lacks an underpinning of such robust organizing principles. In the latter case, any such rules must account for the diversity of chemical species and bonding motifs inherent to inorganic materials and the potential impact of mass transport on kinetics, among other considerations. Without such assembly rules, there is less understanding, less predictive power, and ultimately less control of properties. Despite such hurdles, developing a mechanistic understanding for synthesis of inorganic extended solids would dramatically impact the range of new material discoveries and resulting new functionalities, warranting a broad call to explore what is possible. Here we discuss our recent approaches toward a mechanistic framework for the synthesis of bulk inorganic extended solids, in which either embryonic atomic correlations or fully developed phases in solutions or melts can be identified and tracked during product selection and crystallization. The approach hinges on the application of high-energy x-rays, with their penetrating power and large Q-range, to explore reaction pathways in situ. We illustrate this process using two examples: directed assembly of Zr clusters in aqueous solution and total phase awareness during crystallization from K-Cu-S melts. These examples provide a glimpse of what we see as a larger vision, in which large scale simulations, data-driven science, and in situ studies of atomic correlations combine to accelerate materials discovery and synthesis, based on the assembly of well-defined, prenucleated atomic correlations.

  1. Zeolite-like metal–organic frameworks (ZMOFs): design, synthesis, and properties

    KAUST Repository

    Eddaoudi, Mohamed; Sava, Dorina F.; Eubank, Jarrod F.; Adil, Karim; Guillerm, Vincent

    2014-01-01

    This review highlights various design and synthesis approaches toward the construction of ZMOFs, which are metal–organic frameworks (MOFs) with topologies and, in some cases, features akin to traditional inorganic zeolites. The interest in this unique subset of MOFs is correlated with their exceptional characteristics arising from the periodic pore systems and distinctive cage-like cavities, in conjunction with modular intra- and/or extra-framework components, which ultimately allow for tailoring of the pore size, pore shape, and/or properties towards specific applications.

  2. Zeolite-like metal–organic frameworks (ZMOFs): design, synthesis, and properties

    KAUST Repository

    Eddaoudi, Mohamed

    2014-10-24

    This review highlights various design and synthesis approaches toward the construction of ZMOFs, which are metal–organic frameworks (MOFs) with topologies and, in some cases, features akin to traditional inorganic zeolites. The interest in this unique subset of MOFs is correlated with their exceptional characteristics arising from the periodic pore systems and distinctive cage-like cavities, in conjunction with modular intra- and/or extra-framework components, which ultimately allow for tailoring of the pore size, pore shape, and/or properties towards specific applications.

  3. Synthesis and Design of Integrated Process and Water Networks

    DEFF Research Database (Denmark)

    Handani, Zainatul B.; Quaglia, Alberto; Gani, Rafiqul

    2015-01-01

    This work presents the development of a systematic framework for a simultaneous synthesis and design of process and water networks using the superstructure-based optimization approach. In this framework, a new superstructure combining both networks is developed by attempting to consider all...... possible options with respect to the topology of the process and water networks, leading to Mixed Integer Non Linear Programming (MINLP) problem. A solution strategy to solve the multi-network problem accounts explicitly the interactions between the networks by selecting suitable technologies in order...... to transform raw materials into products and produce clean water to be reused in the process at the early stage of design. Since the connection between the process network and the wastewater treatment network is not a straight forward connection, a new converter interval is introduced in order to convert...

  4. Vanillin - Bioconversion and Bioengineering of the most popular plant flavour and its de novo biosynthesis in the vanilla orchid.

    Science.gov (United States)

    Gallage, Nethaji J; Moeller, Birger Lindberg

    2014-09-30

    During recent years, biotechnology derived production of flavours and fragrances have expanded rapidly. The world's most popular flavour vanillin is no exception. This review outlines the current state of biotechnology-based vanillin synthesis with the use of ferulic acid, eugenol and glucose as substrates and bacteria, fungi and yeasts as microbial production hosts. The elucidated de novo biosynthetic pathway of vanillin in the vanilla orchid and the possible applied uses of this new knowledge in the biotechnology derived and pod-based vanillin industries are also highlighted. © The Author 2014. Published by the Molecular Plant Shanghai Editorial Office in association with Oxford University Press on behalf of CSPB and IPPE, SIBS, CAS.

  5. Solid-phase submonomer synthesis of peptoid polymers and their self-assembly into highly-ordered nanosheets.

    Science.gov (United States)

    Tran, Helen; Gael, Sarah L; Connolly, Michael D; Zuckermann, Ronald N

    2011-11-02

    Peptoids are a novel class of biomimetic, non-natural, sequence-specific heteropolymers that resist proteolysis, exhibit potent biological activity, and fold into higher order nanostructures. Structurally similar to peptides, peptoids are poly N-substituted glycines, where the side chains are attached to the nitrogen rather than the alpha-carbon. Their ease of synthesis and structural diversity allows testing of basic design principles to drive de novo design and engineering of new biologically-active and nanostructured materials. Here, a simple manual peptoid synthesis protocol is presented that allows the synthesis of long chain polypeptoids (up to 50mers) in excellent yields. Only basic equipment, simple techniques (e.g. liquid transfer, filtration), and commercially available reagents are required, making peptoids an accessible addition to many researchers' toolkits. The peptoid backbone is grown one monomer at a time via the submonomer method which consists of a two-step monomer addition cycle: acylation and displacement. First, bromoacetic acid activated in situ with N,N'-diisopropylcarbodiimide acylates a resin-bound secondary amine. Second, nucleophilic displacement of the bromide by a primary amine follows to introduce the side chain. The two-step cycle is iterated until the desired chain length is reached. The coupling efficiency of this two-step cycle routinely exceeds 98% and enables the synthesis of peptoids as long as 50 residues. Highly tunable, precise and chemically diverse sequences are achievable with the submonomer method as hundreds of readily available primary amines can be directly incorporated. Peptoids are emerging as a versatile biomimetic material for nanobioscience research because of their synthetic flexibility, robustness, and ordering at the atomic level. The folding of a single-chain, amphiphilic, information-rich polypeptoid into a highly-ordered nanosheet was recently demonstrated. This peptoid is a 36-mer that consists of only

  6. Recurrence risk in de novo structural chromosomal rearrangements.

    Science.gov (United States)

    Röthlisberger, Benno; Kotzot, Dieter

    2007-08-01

    According to the textbook of Gardner and Sutherland [2004], the standard on genetic counseling for chromosome abnormalities, the recurrence risk of de novo structural or combined structural and numeric chromosome rearrangements is less than 0.5-2% and takes into account recurrence by chance, gonadal mosaicism, and somatic-gonadal mosaicism. However, these figures are roughly estimated and neither any systematic study nor exact or evidence-based risk calculations are available. To address this question, an extensive literature search was performed and surprisingly only 29 case reports of recurrence of de novo structural or combined structural and numeric chromosomal rearrangements were found. Thirteen of them were with a trisomy 21 due to an i(21q) replacing one normal chromosome 21. In eight of them low-level mosaicism in one of the parents was found either in fibroblasts or in blood or in both. As a consequence of the low number of cases and theoretical considerations (clinical consequences, mechanisms of formation, etc.), the recurrence risk should be reduced to less than 1% for a de novo i(21q) and to even less than 0.3% for all other de novo structural or combined structural and numeric chromosomal rearrangements. As the latter is lower than the commonly accepted risk of approximately 0.3% for indicating an invasive prenatal diagnosis and as the risk of abortion of a healthy fetus after chorionic villous sampling or amniocentesis is higher than approximately 0.5%, invasive prenatal investigation in most cases is not indicated and should only be performed if explicitly asked by the parents subsequent to appropriate genetic counseling. (c) 2007 Wiley-Liss, Inc.

  7. NovoTTF™-100A System (Tumor Treating Fields) transducer array layout planning for glioblastoma: a NovoTAL™ system user study.

    Science.gov (United States)

    Chaudhry, Aafia; Benson, Laura; Varshaver, Michael; Farber, Ori; Weinberg, Uri; Kirson, Eilon; Palti, Yoram

    2015-11-11

    Optune™, previously known as the NovoTTF-100A System™, generates Tumor Treating Fields (TTFields), an effective anti-mitotic therapy for glioblastoma. The system delivers intermediate frequency, alternating electric fields to the supratentorial brain. Patient therapy is personalized by configuring transducer array layout placement on the scalp to the tumor site using MRI measurements and the NovoTAL System. Transducer array layout mapping optimizes therapy by maximizing electric field intensity to the tumor site. This study evaluated physician performance in conducting transducer array layout mapping using the NovoTAL System compared with mapping performed by the Novocure in-house clinical team. Fourteen physicians (7 neuro-oncologists, 4 medical oncologists, and 3 neurosurgeons) evaluated five blinded cases of recurrent glioblastoma and performed head size and tumor location measurements using a standard Digital Imaging and Communications in Medicine reader. Concordance with Novocure measurement and intra- and inter-rater reliability were assessed using relevant correlation coefficients. The study criterion for success was a concordance correlation coefficient (CCC) >0.80. CCC for each physician versus Novocure on 20 MRI measurements was 0.96 (standard deviation, SD ± 0.03, range 0.90-1.00), indicating very high agreement between the two groups. Intra- and inter-rater reliability correlation coefficients were similarly high: 0.83 (SD ±0.15, range 0.54-1.00) and 0.80 (SD ±0.18, range 0.48-1.00), respectively. This user study demonstrated an excellent level of concordance between prescribing physicians and Novocure in-house clinical teams in performing transducer array layout planning. Intra-rater reliability was very high, indicating reproducible performance. Physicians prescribing TTFields, when trained on the NovoTAL System, can independently perform transducer array layout mapping required for the initiation and maintenance of patients on TTFields

  8. Involvement of the ribose operon repressor RbsR in regulation of purine nucleotide synthesis in Escherichia coli.

    Science.gov (United States)

    Shimada, Tomohiro; Kori, Ayako; Ishihama, Akira

    2013-07-01

    Escherichia coli is able to utilize d-ribose as its sole carbon source. The genes for the transport and initial-step metabolism of d-ribose form a single rbsDACBK operon. RbsABC forms the ABC-type high-affinity d-ribose transporter, while RbsD and RbsK are involved in the conversion of d-ribose into d-ribose 5-phosphate. In the absence of inducer d-ribose, the ribose operon is repressed by a LacI-type transcription factor RbsR, which is encoded by a gene located downstream of this ribose operon. At present, the rbs operon is believed to be the only target of regulation by RbsR. After Genomic SELEX screening, however, we have identified that RbsR binds not only to the rbs promoter but also to the promoters of a set of genes involved in purine nucleotide metabolism. Northern blotting analysis indicated that RbsR represses the purHD operon for de novo synthesis of purine nucleotide but activates the add and udk genes involved in the salvage pathway of purine nucleotide synthesis. Taken together, we propose that RbsR is a global regulator for switch control between the de novo synthesis of purine nucleotides and its salvage pathway. © 2013 Federation of European Microbiological Societies. Published by John Wiley & Sons Ltd. All rights reserved.

  9. A Terra em Transe: o cosmopolitismo às avessas do cinema novo

    Directory of Open Access Journals (Sweden)

    Angela Prysthon

    2008-11-01

    Full Text Available Usando como referencial teórico os estudos culturais, este artigo analisa o cinema novo brasileiro como parte de uma estratégia terceiro mundista de conceber a cultura. A partir da emergência do conceito de terceiro mundo e das lutas de descolonização nos anos 1950 e 1960, a ideologia cosmopolita foi sendo vista pelos intelectuais de esquerda como a versão cultural da aliança com as forças hegemônicas da Europa e dos Estados Unidos. O projeto do cinema novo chama a atenção por suas afinidades ideológicas com o terceiro mundismo, mas, paradoxalmente, trazendo à tona uma polí­tica cosmopolita da periferia. Palavras-chave cinema novo, identidade, cultura brasileira, terceiro mundismo, estudos culturais. Abstract Using the cultural studies theoretical framework, this paper analyzes the cinema novo movement in Brazil as a part of the Third World conception of culture. Following the creation of the term "Third World" and the international politics of colonial independence of the 1950s and 1960s, a cosmopolitan attitude was seen by the intellectuals of the left as a cultural version of the alliance with the hegemonic forces of Europe and North America. Even though the cinema novo project can be associated with the ideology of an united Third World ,it brings about, paradoxically, a very cosmopolitan politics of the periphery. Key words cinema novo, identity, Brazilian culture, third world, cultural studies.

  10. Inhibition of glutamine synthesis induces glutamate dehydrogenase-dependent ammonia fixation into alanine in co-cultures of astrocytes and neurons

    DEFF Research Database (Denmark)

    Dadsetan, Sherry; Bak, Lasse Kristoffer; Sørensen, Michael

    2011-01-01

    study it was investigated if the glutamine synthetase (GS) inhibitor methionine sulfoximine (MSO) would enhance alanine synthesis by blocking the GS-dependent ammonia scavenging process. Hence, co-cultures of neurons and astrocytes were incubated for 2.5h with [U-(13)C]glucose to monitor de novo......It has been previously demonstrated that ammonia exposure of neurons and astrocytes in co-culture leads to net synthesis not only of glutamine but also of alanine. The latter process involves the concerted action of glutamate dehydrogenase (GDH) and alanine aminotransferase (ALAT). In the present...

  11. Design, Specification, and Synthesis of Aircraft Electric Power Systems Control Logic

    Science.gov (United States)

    Xu, Huan

    Cyber-physical systems integrate computation, networking, and physical processes. Substantial research challenges exist in the design and verification of such large-scale, distributed sensing, actuation, and control systems. Rapidly improving technology and recent advances in control theory, networked systems, and computer science give us the opportunity to drastically improve our approach to integrated flow of information and cooperative behavior. Current systems rely on text-based specifications and manual design. Using new technology advances, we can create easier, more efficient, and cheaper ways of developing these control systems. This thesis will focus on design considerations for system topologies, ways to formally and automatically specify requirements, and methods to synthesize reactive control protocols, all within the context of an aircraft electric power system as a representative application area. This thesis consists of three complementary parts: synthesis, specification, and design. The first section focuses on the synthesis of central and distributed reactive controllers for an aircraft elec- tric power system. This approach incorporates methodologies from computer science and control. The resulting controllers are correct by construction with respect to system requirements, which are formulated using the specification language of linear temporal logic (LTL). The second section addresses how to formally specify requirements and introduces a domain-specific language for electric power systems. A software tool automatically converts high-level requirements into LTL and synthesizes a controller. The final sections focus on design space exploration. A design methodology is proposed that uses mixed-integer linear programming to obtain candidate topologies, which are then used to synthesize controllers. The discrete-time control logic is then verified in real-time by two methods: hardware and simulation. Finally, the problem of partial observability and

  12. Eculizumab for drug-induced de novo posttransplantation thrombotic microangiopathy: A case report.

    Science.gov (United States)

    Safa, Kassem; Logan, Merranda S; Batal, Ibrahim; Gabardi, Steven; Rennke, Helmut G; Abdi, Reza

    2015-02-01

    De novo thrombotic microangiopathy (TMA) following renal transplantation is a severe complication associated with high rates of allograft failure. Several immunosuppressive agents are associated with TMA. Conventional approaches to managing this entity, such as withdrawal of the offending agent and/or plasmapheresis, often offer limited help, with high rates of treatment failure and graft loss. We herein report a case of drug induced de novo TMA successfully treated using the C5a inhibitor eculizumab in a renal transplant patient. This report highlights a potentially important role for eculizumab in settings where drug-induced de novo TMA is refractory to conventional therapies.

  13. De novo autoimmune hepatitis after liver transplantation.

    Science.gov (United States)

    Lohse, Ansgar W; Weiler-Norman, Christina; Burdelski, Martin

    2007-10-01

    The Kings College group was the first to describe a clinical syndrome similar to autoimmune hepatitis in children and young adults transplanted for non-immune mediated liver diseases. They coined the term "de novo autoimmune hepatitis". Several other liver transplant centres confirmed this observation. Even though the condition is uncommon, patients with de novo AIH are now seen in most of the major transplant centres. The disease is usually characterized by features of acute hepatitis in otherwise stable transplant recipients. The most characteristic laboratory hallmark is a marked hypergammaglobulinaemia. Autoantibodies are common, mostly ANA. We described also a case of LKM1-positivity in a patients transplanted for Wilson's disease, however this patients did not develop clinical or histological features of AIH. Development of SLA/LP-autoantibodies is also not described. Therefore, serologically de novo AIH appears to correspond to type 1 AIH. Like classical AIH patients respond promptly to treatment with increased doses of prednisolone and azathioprine, while the calcineurin inhibitors cyclosporine or tacrolimus areof very limited value - which is not surprising, as almost all patients develop de novo AIH while receiving these drugs. Despite the good response to treatment, most patients remain a clinical challenge as complete stable remissions are uncommon and flares, relapses and chronic disease activity can often occur. Pathogenetically this syndrome is intriguing. It is not clear, if the immune response is directed against allo-antigens, neo-antigens in the liver, or self-antigens, possibly shared by donor and host cells. It is very likely that the inflammatory milieu due to alloreactive cells in the transplanted organ contribute to the disease process. Either leading to aberrant antigen presentation, or providing co-stimulatory signals leading to the breaking of self-tolerance. The development of this disease in the presence of treatment with calcineurin

  14. A randomized, double-blind, cross-over, phase IV trial of oros-methylphenidate (CONCERTA(®)) and generic novo-methylphenidate ER-C (NOVO-generic).

    Science.gov (United States)

    Fallu, Angelo; Dabouz, Farida; Furtado, Melissa; Anand, Leena; Katzman, Martin A

    2016-08-01

    Attention-deficit/hyperactivity disorder (ADHD) is a common neurobehavioral disorder with onset during childhood. Multiple aspects of a child's development are hindered, in both home and school settings, with negative impacts on social, emotional, and cognitive functioning. If left untreated, ADHD is commonly associated with poor academic achievement and low occupational status, as well as increased risk of substance abuse and delinquency. The objective of this study was to evaluate adult ADHD subject reported outcomes when switched from a stable dose of CONCERTA(®) to the same dose of generic Novo-methylphenidate ER-C(®). Randomized, double-blind, cross-over, phase IV trial consisted of two phases in which participants with a primary diagnosis of ADHD were randomized in a 1:1 ratio to 3 weeks of treatment with CONCERTA or generic Novo-Methylphenidate ER-C. Following 3 weeks of treatment, participants were crossed-over to receive the other treatment for an additional 3 weeks. Primary efficacy was assessed through the use of the Treatment Satisfaction Questionnaire for Medication, Version II (TSQM-II). Participants with ADHD treated with CONCERTA were more satisfied in terms of efficacy and side effects compared to those receiving an equivalent dose of generic Novo-Methylphenidate ER-C. All participants chose to continue with CONCERTA treatment at the conclusion of the study. Although CONCERTA and generic Novo-Methylphenidate ER-C have been deemed bioequivalent, however the present findings demonstrate clinically and statistically significant differences between generic and branded CONCERTA. Further investigation of these differences is warranted.

  15. Arginine de novo and nitric oxide production in disease states

    OpenAIRE

    Luiking, Yvette C.; Ten Have, Gabriella A. M.; Wolfe, Robert R.; Deutz, Nicolaas E. P.

    2012-01-01

    Arginine is derived from dietary protein intake, body protein breakdown, or endogenous de novo arginine production. The latter may be linked to the availability of citrulline, which is the immediate precursor of arginine and limiting factor for de novo arginine production. Arginine metabolism is highly compartmentalized due to the expression of the enzymes involved in arginine metabolism in various organs. A small fraction of arginine enters the NO synthase (NOS) pathway. Tetrahydrobiopterin ...

  16. Evidence that proliferation of golgi apparatus depends on both de novo generation from the endoplasmic reticulum and formation from pre-existing stacks during the growth of tobacco BY-2 cells.

    Science.gov (United States)

    Abiodun, Moses Olabiyi; Matsuoka, Ken

    2013-04-01

    In higher plants, the numbers of cytoplasmic-distributed Golgi stacks differ based on function, age and cell type. It has not been clarified how the numbers are controlled, whether all the Golgi apparatus in a cell function equally and whether the increase in Golgi number is a result of the de novo formation from the endoplasmic reticulum (ER) or fission of pre-existing stacks. A tobacco prolyl 4-hydroxylase (NtP4H1.1), which is a cis-Golgi-localizing type II membrane protein, was tagged with a photoconvertible fluorescent protein, mKikGR (monomeric Kikume green red), and expressed in tobacco bright yellow 2 (BY-2) cells. Transformed cells were exposed to purple light to convert the fluorescence from green to red. A time-course analysis after the conversion revealed a progressive increase in green puncta and a decrease in the red puncta. From 3 to 6 h, we observed red, yellow and green fluorescent puncta corresponding to pre-existing Golgi; Golgi containing both pre-existing and newly synthesized protein; and newly synthesized Golgi. Analysis of the number and fluorescence of Golgi at different phases of the cell cycle suggested that an increase in Golgi number with both division and de novo synthesis occurred concomitantly with DNA replication. Investigation with different inhibitors suggested that the formation of new Golgi and the generation of Golgi containing both pre-existing and newly synthesized protein are mediated by different machineries. These results and modeling based on quantified results indicate that the Golgi apparatuses in tobacco BY-2 cells are not uniform and suggest that both de novo synthesis from the ER and Golgi division contribute almost equally to the increase in proliferating cells.

  17. Computational Tools and Algorithms for Designing Customized Synthetic Genes

    Directory of Open Access Journals (Sweden)

    Nathan eGould

    2014-10-01

    Full Text Available Advances in DNA synthesis have enabled the construction of artificial genes, gene circuits, and genomes of bacterial scale. Freedom in de-novo design of synthetic constructs provides significant power in studying the impact of mutations in sequence features, and verifying hypotheses on the functional information that is encoded in nucleic and amino acids. To aid this goal, a large number of software tools of variable sophistication have been implemented, enabling the design of synthetic genes for sequence optimization based on rationally defined properties. The first generation of tools dealt predominantly with singular objectives such as codon usage optimization and unique restriction site incorporation. Recent years have seen the emergence of sequence design tools that aim to evolve sequences toward combinations of objectives. The design of optimal protein coding sequences adhering to multiple objectives is computationally hard, and most tools rely on heuristics to sample the vast sequence design space. In this review we study some of the algorithmic issues behind gene optimization and the approaches that different tools have adopted to redesign genes and optimize desired coding features. We utilize test cases to demonstrate the efficiency of each approach, as well as identify their strengths and limitations.

  18. Potent New Small-Molecule Inhibitor of Botulinum Neurotoxin Serotype A Endopeptidase Developed by Synthesis-Based Computer-Aided Molecular Design

    Science.gov (United States)

    2009-11-01

    simulations; (4) synthesis and evaluation of the molecules from Step 2 or 3 (e.g., synthesizing and testing AHP). From synthetic chemistry point of view...2000) Synthesis of 6H-indolo [2,3-b][1,6]naphthyridines and related compounds as the 5-Aza analogues of ellipticine alkaloids . J Org Chem 65: 7977–7983...Potent New Small-Molecule Inhibitor of Botulinum Neurotoxin Serotype A Endopeptidase Developed by Synthesis -Based Computer-Aided Molecular Design

  19. Design and Synthesis of New Peptidomimetics as Potential Inhibitors of MurE.

    Science.gov (United States)

    Zivec, Matej; Turk, Samo; Blanot, Didier; Gobec, Stanislav

    2011-03-01

    With the continuing emergence and spread of multidrug-resistant bacteria, there is an urgent need for the development of new antimicrobial agents. One possible source of new antibacterial targets is the biosynthesis of the bacterial cell-wall peptidoglycan. The assembly of the peptide stem is carried out by four essential enzymes, known as the Mur ligases (MurC, D, E and F). We have designed and synthesised a focused library of compounds as potential inhibitors of UDP-N-acetylmuramoyl-L-alanyl-D-glutamate:L-lysine ligase (MurE) from Staphylococcus aureus. This was achieved using two approaches: (i) synthesis of transition-state analogues based on the methyleneamino core; and (ii) synthesis of MurE reaction product analogues. Two methyleneamino-based compounds are identified as initial hits for inhibitors of MurE.

  20. Design, synthesis, and characterization of novel fine-particle, unsupported catalysts for coal liquefaction

    Energy Technology Data Exchange (ETDEWEB)

    Klein, M.T.

    1991-12-30

    The purpose of this work is to investigate the kinetics-assisted design, synthesis and characterization of fme-pardcle, unsupported catalysts for coal liquefaction. The goal is to develop a fundamental understanding of coal catalysis and catalysts that will, in turn, allow for the specification of a novel optimal catalyst for coal liquefaction.

  1. Design, synthesis, and evaluation of an alpha-helix mimetic library targeting protein-protein interactions.

    Science.gov (United States)

    Shaginian, Alex; Whitby, Landon R; Hong, Sukwon; Hwang, Inkyu; Farooqi, Bilal; Searcey, Mark; Chen, Jiandong; Vogt, Peter K; Boger, Dale L

    2009-04-22

    The design and solution-phase synthesis of an alpha-helix mimetic library as an integral component of a small-molecule library targeting protein-protein interactions are described. The iterative design, synthesis, and evaluation of the candidate alpha-helix mimetic was initiated from a precedented triaryl template and refined by screening the designs for inhibition of MDM2/p53 binding. Upon identifying a chemically and biologically satisfactory design and consistent with the screening capabilities of academic collaborators, the corresponding complete library was assembled as 400 mixtures of 20 compounds (20 x 20 x 20-mix), where the added subunits are designed to mimic all possible permutations of the naturally occurring i, i + 4, i + 7 amino acid side chains of an alpha-helix. The library (8000 compounds) was prepared using a solution-phase synthetic protocol enlisting acid/base liquid-liquid extractions for purification on a scale that insures its long-term availability for screening campaigns. Screening of the library for inhibition of MDM2/p53 binding not only identified the lead alpha-helix mimetic upon which the library was based, but also suggests that a digestion of the initial screening results that accompany the use of such a comprehensive library can provide insights into the nature of the interaction (e.g., an alpha-helix mediated protein-protein interaction) and define the key residues and their characteristics responsible for recognition.

  2. Pb(II) and Hg(II) binding to $\\textit{de novo}$ designed proteins studied by $^{204m}$Pb- and $^{199m}$Hg-Perturbed Angular Correlation of $\\gamma$-rays (PAC) spectroscopy : Clues to heavy metal toxicity

    CERN Multimedia

    2002-01-01

    $\\textit{De novo}$ design of proteins combined with PAC spectroscopy offers a unique and powerful approach to the study of fundamental chemistry of heavy metal-protein interactions, and thus of the mechanisms underlying heavy metal toxicity. In this project we focus on Pb(II) and Hg(II) binding to designed three stranded coiled coil proteins with one or two binding sites, mimicking a variety of naturally occurring thiolate-rich metal ion binding sites in proteins. The $^{204m}$Pb- and $^{199m}$Hg-PAC experiments will complement data already recorded with EXAFS, NMR, UV-Vis and CD spectroscopies.

  3. Design, Synthesis and Characterization of Functional Metal-Organic Framework Materials

    KAUST Repository

    Alamer, Badriah

    2015-06-01

    Over the past few decades, vast majority of industrial and academic research throughout the world has witnessed the emergence of materials that can serve as ideal candidates for potential utility in desired applications, and these materials are known as Metal Organic Framework (MOFs). This exceptional new family of porous materials is fabricated by linkage of metal ions or clusters and organic linkers via strong bonds. MOFs have been awarded with remarkable interest and widely studied due to their inherent structural methodology (e.g. use of various metals, expanded library of organic building blocks with different geometry and functionality particularly frameworks designed from carboxylate organic linkers) and unquestionably unique structural and chemical features for many practical applications. (i.e. gas storage/separation, catalysis, drug delivery etc). Simply, metal organic frameworks epitomize the beauty of porous chemical structures. From a design perspective, the introduction of the Molecular Building Block (MBB) approach is actively being pursued pathway by researchers toward the construction of MOFs by employing inorganic building blocks and organic linkers and taking advantage of not only their multiple coordination modes and geometries but also the way in which they are reticulated to generate final framework. In this thesis, research studies will be directed toward (i) the investigation of the relationship between experimental parameters and synthesis of well-known fcu –MOF, (ii) rational design and synthesis of new rare earth (RE) based MOFs, (ii) isoreticular materials based on particular MBB ([M3O(RCO2)6]), M= p-and d-block metals, and (iv) zeolite- like metal organic framework assembled from single-metal ion based MBB ([MN2(CO2)4]) via 2-, 3-,and 4-connected organic linkers. Consequently, the porosity, chemical and thermal stability, and gas sorption properties will be evaluated and detailed.

  4. Analog Electronic Filters Theory, Design and Synthesis

    CERN Document Server

    Dimopoulos, Hercules G

    2012-01-01

    Filters are essential subsystems in a huge variety of electronic systems. Filter applications are innumerable; they are used for noise reduction, demodulation, signal detection, multiplexing, sampling, sound and speech processing, transmission line equalization and image processing, to name just a few. In practice, no electronic system can exist without filters. They can be found in everything from power supplies to mobile phones and hard disk drives and from loudspeakers and MP3 players to home cinema systems and broadband Internet connections. This textbook introduces basic concepts and methods and the associated mathematical and computational tools employed in electronic filter theory, synthesis and design.  This book can be used as an integral part of undergraduate courses on analog electronic filters. Includes numerous, solved examples, applied examples and exercises for each chapter. Includes detailed coverage of active and passive filters in an independent but correlated manner. Emphasizes real filter...

  5. Interactions between fatty acid synthesis, oxidation, and esterification in the production of triglyceride-rich lipoproteins by the liver.

    Science.gov (United States)

    Fukuda, N; Ontko, J A

    1984-08-01

    In a series of experiments with male rat livers perfused with or without 5-tetradecyloxy-2-furoic acid (TOFA) in the presence and absence of oleate, the relationships between fatty acid synthesis, oxidation, and esterification from newly synthesized and exogenous fatty acid substrates have been examined. When livers from fed rats were perfused without exogenous fatty acid substrate, 20% of the triglyceride secreted was derived from de novo fatty acid synthesis. Addition of TOFA caused immediate and nearly complete inhibition of fatty acid synthesis, measured by incorporation of 3H2O into fatty acids. Concurrently, ketone body production increased 140% and triglyceride secretion decreased 84%. These marked reciprocal alterations in fatty acid synthesis and oxidation in the liver almost completely abolished the production of very low density lipoproteins (VLDL). Cholesterol synthesis was also depressed by TOFA, suggesting that this drug also inhibited lipid synthesis at a site other than acetyl-CoA carboxylase. When livers from fed rats were supplied with a continuous infusion of [1-14C]oleate as exogenous substrate, similar proportions, about 45-47%, of both ketone bodies and triglyceride in the perfusate were derived from the infused [1-14C]oleate. The production of ketone bodies was markedly increased by TOFA; the secretion of triglyceride and cholesterol were decreased. Altered conversion of [1-14C]oleate into these products occurred in parallel. While TOFA decreased esterification of oleate into triglyceride, incorporation of [1-14C]oleate into liver phospholipid was increased, indicating that TOFA also affected glycerolipid synthesis at the stage of diglyceride processing. The decreased secretion of triglyceride and cholesterol following TOFA treatment was localized almost exclusively in VLDL. The specific activities of 3H and of 14C fatty acids in triglyceride of the perfusate were greater than those of liver triglyceride, indicating preferential secretion of

  6. De novo synthesis and functional analysis of the phosphatase-encoding gene acI-B of uncultured Actinobacteria from Lake Stechlin (NE Germany).

    Science.gov (United States)

    Srivastava, Abhishek; McMahon, Katherine D; Stepanauskas, Ramunas; Grossart, Hans-Peter

    2015-12-01

    The National Center for Biotechnology Information [http://www.ncbi.nlm.nih.gov/guide/taxonomy/] database enlists more than 15,500 bacterial species. But this also includes a plethora of uncultured bacterial representations. Owing to their metabolism, they directly influence biogeochemical cycles, which underscores the the important status of bacteria on our planet. To study the function of a gene from an uncultured bacterium, we have undertaken a de novo gene synthesis approach. Actinobacteria of the acI-B subcluster are important but yet uncultured members of the bacterioplankton in temperate lakes of the northern hemisphere such as oligotrophic Lake Stechlin (NE Germany). This lake is relatively poor in phosphate (P) and harbors on average ~1.3 x 10 6 bacterial cells/ml, whereby Actinobacteria of the ac-I lineage can contribute to almost half of the entire bacterial community depending on seasonal variability. Single cell genome analysis of Actinobacterium SCGC AB141-P03, a member of the acI-B tribe in Lake Stechlin has revealed several phosphate-metabolizing genes. The genome of acI-B Actinobacteria indicates potential to degrade polyphosphate compound. To test for this genetic potential, we targeted the exoP-annotated gene potentially encoding polyphosphatase and synthesized it artificially to examine its biochemical role. Heterologous overexpression of the gene in Escherichia coli and protein purification revealed phosphatase activity. Comparative genome analysis suggested that homologs of this gene should be also present in other Actinobacteria of the acI lineages. This strategic retention of specialized genes in their genome provides a metabolic advantage over other members of the aquatic food web in a P-limited ecosystem. [Int Microbiol 2016; 19(1):39-47]. Copyright© by the Spanish Society for Microbiology and Institute for Catalan Studies.

  7. De novo FBXO11 mutations are associated with intellectual disability and behavioural anomalies.

    Science.gov (United States)

    Fritzen, Daniel; Kuechler, Alma; Grimmel, Mona; Becker, Jessica; Peters, Sophia; Sturm, Marc; Hundertmark, Hela; Schmidt, Axel; Kreiß, Martina; Strom, Tim M; Wieczorek, Dagmar; Haack, Tobias B; Beck-Wödl, Stefanie; Cremer, Kirsten; Engels, Hartmut

    2018-05-01

    Intellectual disability (ID) has an estimated prevalence of 1.5-2%. In most affected individuals, its genetic basis remains unclear. Whole exome sequencing (WES) studies have identified a multitude of novel causative gene defects and have shown that a large proportion of sporadic ID cases results from de novo mutations. Here, we present two unrelated individuals with similar clinical features and deleterious de novo variants in FBXO11 detected by WES. Individual 1, a 14-year-old boy, has mild ID as well as mild microcephaly, corrected cleft lip and alveolus, hyperkinetic disorder, mild brain atrophy and minor facial dysmorphism. WES detected a heterozygous de novo 1 bp insertion in the splice donor site of exon 3. Individual 2, a 3-year-old boy, showed ID and pre- and postnatal growth retardation, postnatal mild microcephaly, hyperkinetic and restless behaviour, as well as mild dysmorphism. WES detected a heterozygous de novo frameshift mutation. While ten individuals with ID and de novo variants in FBXO11 have been reported as part of larger studies, only one of the reports has some additional clinical data. Interestingly, the latter individual carries the identical mutation as our individual 2 and also displays ID, intrauterine growth retardation, microcephaly, behavioural anomalies, and dysmorphisms. Thus, we confirm deleterious de novo mutations in FBXO11 as a cause of ID and start the delineation of the associated clinical picture which may also comprise postnatal microcephaly or borderline small head size and behavioural anomalies.

  8. Simulation-Optimization Framework for Synthesis and Design of Natural Gas Downstream Utilization Networks

    Directory of Open Access Journals (Sweden)

    Saad A. Al-Sobhi

    2018-02-01

    Full Text Available Many potential diversification and conversion options are available for utilization of natural gas resources, and several design configurations and technology choices exist for conversion of natural gas to value-added products. Therefore, a detailed mathematical model is desirable for selection of optimal configuration and operating mode among the various options available. In this study, we present a simulation-optimization framework for the optimal selection of economic and environmentally sustainable pathways for natural gas downstream utilization networks by optimizing process design and operational decisions. The main processes (e.g., LNG, GTL, and methanol production, along with different design alternatives in terms of flow-sheeting for each main processing unit (namely syngas preparation, liquefaction, N2 rejection, hydrogen, FT synthesis, methanol synthesis, FT upgrade, and methanol upgrade units, are used for superstructure development. These processes are simulated using ASPEN Plus V7.3 to determine the yields of different processing units under various operating modes. The model has been applied to maximize total profit of the natural gas utilization system with penalties for environmental impact, represented by CO2eq emission obtained using ASPEN Plus for each flowsheet configuration and operating mode options. The performance of the proposed modeling framework is demonstrated using a case study.

  9. De novo transcriptome assembly associated with fumonisin production by the rice pathogen Fusarium fujikuroi

    Directory of Open Access Journals (Sweden)

    Keerthi S. Guruge

    2018-06-01

    Full Text Available The present study employed a next-generation sequencing method to assemble a de novo transcriptome database designed to distinguish gene expression changes exhibited by the fumonisin-producing fungus Fusarium fujikuroi when grown under ‘fumonisin-producing’ compared to ‘non-fumonisin-producing’ conditions. The raw data of this study have been deposited at DNA Data Bank of Japan (DDBJ under the accession ID DRA006146. Keywords: Fusarium fujikuroi, Fumonisin, Next-generation sequencing, Transcriptome, Gene-expression

  10. Spaced Seed Data Structures for De Novo Assembly

    Directory of Open Access Journals (Sweden)

    Inanç Birol

    2015-01-01

    Full Text Available De novo assembly of the genome of a species is essential in the absence of a reference genome sequence. Many scalable assembly algorithms use the de Bruijn graph (DBG paradigm to reconstruct genomes, where a table of subsequences of a certain length is derived from the reads, and their overlaps are analyzed to assemble sequences. Despite longer subsequences unlocking longer genomic features for assembly, associated increase in compute resources limits the practicability of DBG over other assembly archetypes already designed for longer reads. Here, we revisit the DBG paradigm to adapt it to the changing sequencing technology landscape and introduce three data structure designs for spaced seeds in the form of paired subsequences. These data structures address memory and run time constraints imposed by longer reads. We observe that when a fixed distance separates seed pairs, it provides increased sequence specificity with increased gap length. Further, we note that Bloom filters would be suitable to implicitly store spaced seeds and be tolerant to sequencing errors. Building on this concept, we describe a data structure for tracking the frequencies of observed spaced seeds. These data structure designs will have applications in genome, transcriptome and metagenome assemblies, and read error correction.

  11. Dynamic combinatorial and protein-templated click chemistry in medicinal chemistry

    NARCIS (Netherlands)

    Mondal, Milon

    2016-01-01

    We have highlighted throughout this thesis that fragment-based drug design (FBDD) and structure-based drug design (SBDD) still constitute a number of challenges such as the risk associated with de novo SBDD and are time-consuming as they involve synthesis and validation of the binding mode of each

  12. Synthesis of nanocrystalline Y2O3 in a specially designed atmospheric pressure radio frequency thermal plasma reactor

    International Nuclear Information System (INIS)

    Dhamale, G. D.; Mathe, V. L.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Ghorui, S.

    2015-01-01

    Synthesis of yttrium oxide nanoparticles in a specially designed radio frequency thermal plasma reactor is reported. Good crystallinity, narrow size distribution, low defect state concentration, high purity, good production rate, single-step synthesis, and simultaneous formation of nanocrystalline monoclinic and cubic phases are some of the interesting features observed. Synthesized particles are characterized through X-ray diffraction, transmission electron microscopy, scanning electron microscopy, Fourier transform infrared spectroscopy, thermo-luminescence (TL), and Brunauer–Emmett–Teller surface area analysis. Polymorphism of the nanocrystalline yttria is addressed in detail. Synthesis mechanism is explored through in-situ emission spectroscopy. Post-synthesis environmental effects and possible methods to eliminate the undesired phases are probed. Defect states are investigated through the study of TL spectra

  13. Equivalence Checking between Pre-synthesis and Post-synthesis Programs by Using VIS

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jonghoon; Yoo, Junbeom [Konkuk Univ., Seoul (Korea, Republic of); Choi, Jonggyun; Lee, Jangsoo [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2013-05-15

    PLC (Programmable Logic Controller)-based Software development, the design programs are translated into implementation programs, and behavioral equivalence between the design and implementation is demonstrated by formal method based technique. In FPGA-based software development, the design programs are also synthesized into implementation programs. However, in this process, testing and simulation based comparison techniques are mainly used. This paper proposes a formal method based technique to demonstrate behavioral equivalence between pre-synthesis and post-synthesis programs with VIS (Verification Interacting with Synthesis) verification system. We translated into BLIF-MV which is front-end of VIS, from Verlag and EDIF net list which synthesized from the same Verlag by an automatic synthesis tool. This paper proposes a formal method based technique to confirm correctness of synthesis by using equivalence checking of VIS verification system. In order to confirm the effectiveness of the proposed technique, we performed a case study with a part of prototype version of the RPS BP, and demonstrated a behavioral equivalence between Verlag HDL and EDIF post-synthesis net list.

  14. Equivalence Checking between Pre-synthesis and Post-synthesis Programs by Using VIS

    International Nuclear Information System (INIS)

    Lee, Jonghoon; Yoo, Junbeom; Choi, Jonggyun; Lee, Jangsoo

    2013-01-01

    PLC (Programmable Logic Controller)-based Software development, the design programs are translated into implementation programs, and behavioral equivalence between the design and implementation is demonstrated by formal method based technique. In FPGA-based software development, the design programs are also synthesized into implementation programs. However, in this process, testing and simulation based comparison techniques are mainly used. This paper proposes a formal method based technique to demonstrate behavioral equivalence between pre-synthesis and post-synthesis programs with VIS (Verification Interacting with Synthesis) verification system. We translated into BLIF-MV which is front-end of VIS, from Verlag and EDIF net list which synthesized from the same Verlag by an automatic synthesis tool. This paper proposes a formal method based technique to confirm correctness of synthesis by using equivalence checking of VIS verification system. In order to confirm the effectiveness of the proposed technique, we performed a case study with a part of prototype version of the RPS BP, and demonstrated a behavioral equivalence between Verlag HDL and EDIF post-synthesis net list

  15. Design and synthesis of diverse functional kinked nanowire structures for nanoelectronic bioprobes.

    Science.gov (United States)

    Xu, Lin; Jiang, Zhe; Qing, Quan; Mai, Liqiang; Zhang, Qingjie; Lieber, Charles M

    2013-02-13

    Functional kinked nanowires (KNWs) represent a new class of nanowire building blocks, in which functional devices, for example, nanoscale field-effect transistors (nanoFETs), are encoded in geometrically controlled nanowire superstructures during synthesis. The bottom-up control of both structure and function of KNWs enables construction of spatially isolated point-like nanoelectronic probes that are especially useful for monitoring biological systems where finely tuned feature size and structure are highly desired. Here we present three new types of functional KNWs including (1) the zero-degree KNW structures with two parallel heavily doped arms of U-shaped structures with a nanoFET at the tip of the "U", (2) series multiplexed functional KNW integrating multi-nanoFETs along the arm and at the tips of V-shaped structures, and (3) parallel multiplexed KNWs integrating nanoFETs at the two tips of W-shaped structures. First, U-shaped KNWs were synthesized with separations as small as 650 nm between the parallel arms and used to fabricate three-dimensional nanoFET probes at least 3 times smaller than previous V-shaped designs. In addition, multiple nanoFETs were encoded during synthesis in one of the arms/tip of V-shaped and distinct arms/tips of W-shaped KNWs. These new multiplexed KNW structures were structurally verified by optical and electron microscopy of dopant-selective etched samples and electrically characterized using scanning gate microscopy and transport measurements. The facile design and bottom-up synthesis of these diverse functional KNWs provides a growing toolbox of building blocks for fabricating highly compact and multiplexed three-dimensional nanoprobes for applications in life sciences, including intracellular and deep tissue/cell recordings.

  16. Computer-aided Framework for Synthesis, Design and Retrofit of Wastewater Treatment Plants

    DEFF Research Database (Denmark)

    Bozkurt, Hande

    Water is used for several purposes in houses and industrial applications, which results in the generation of considerable amounts of wastewater. Wastewater should be handled appropriately which is required from legal, environmental as well as economic and societal perspectives. Wastewater treatment...... be formulated as a process synthesis challenge in the sense that a new taskcan be added to the existing treatment line or one or several existing processes can be changed as a result of the emerging needs. Existing plants need retrofitting due to a number of reasons such as: change in the wastewater flow...... plant (WWTP) design is a formidable challenge. One of the key steps involved is the process synthesis - defined as the selection of treatment processes as a combination of unit operations and processes to create the process flow diagram.As a consequence of the emerging technological developments...

  17. Glucose-induced lipogenesis in pancreatic beta-cells is dependent on SREBP-1

    DEFF Research Database (Denmark)

    Sandberg, Maria B; Fridriksson, Jakob; Madsen, Lise

    2005-01-01

    High concentrations of glucose induce de novo fatty acid synthesis in pancreatic beta-cells and chronic exposure of elevated glucose and fatty acids synergize to induce accumulation of triglycerides, a phenomenon termed glucolipotoxicity. Here we investigate the role of sterol-regulatory element......, de novo fatty acid synthesis and lipid accumulation are induced primarily through sterol-regulatory elements (SREs) and not E-Boxes. Adenoviral expression of a dominant negative SREBP compromises glucose induction of some lipogenic genes and significantly reduces glucose-induction of de novo fatty...... acid synthesis. Thus, we demonstrate for the first time that SREBP activity is necessary for full glucose induction of de novo fatty acid synthesis in pancreatic beta-cells....

  18. Towards a framework for modular service design synthesis

    DEFF Research Database (Denmark)

    Løkkegaard, Martin; Mortensen, Niels Henrik; McAloone, Tim C.

    2016-01-01

    This paper seeks to improve the understanding of how service-based companies can benefit from developing and delivering service offerings from a standardised core of service modules, which are organised through a service architecture. Research within the field is relatively sparse, and there is s......This paper seeks to improve the understanding of how service-based companies can benefit from developing and delivering service offerings from a standardised core of service modules, which are organised through a service architecture. Research within the field is relatively sparse...... model for modular service design synthesis presented in this paper. The case study is based on internal documentation and a high level of interview data. Inductive research methods have been used for the analysis. The presented conceptual model defines three suggested dimensions (Market Segmentation...

  19. Maternal obesity reduces milk lipid production in lactating mice by inhibiting acetyl-CoA carboxylase and impairing fatty acid synthesis.

    Science.gov (United States)

    Saben, Jessica L; Bales, Elise S; Jackman, Matthew R; Orlicky, David; MacLean, Paul S; McManaman, James L

    2014-01-01

    Maternal metabolic and nutrient trafficking adaptations to lactation differ among lean and obese mice fed a high fat (HF) diet. Obesity is thought to impair milk lipid production, in part, by decreasing trafficking of dietary and de novo synthesized lipids to the mammary gland. Here, we report that de novo lipogenesis regulatory mechanisms are disrupted in mammary glands of lactating HF-fed obese (HF-Ob) mice. HF feeding decreased the total levels of acetyl-CoA carboxylase-1 (ACC), and this effect was exacerbated in obese mice. The relative levels of phosphorylated (inactive) ACC, were elevated in the epithelium, and decreased in the adipose stroma, of mammary tissue from HF-Ob mice compared to those of HF-fed lean (HF-Ln) mice. Mammary gland levels of AMP-activated protein kinase (AMPK), which catalyzes formation of inactive ACC, were also selectively elevated in mammary glands of HF-Ob relative to HF-Ln dams or to low fat fed dams. These responses correlated with evidence of increased lipid retention in mammary adipose, and decreased lipid levels in mammary epithelial cells, of HF-Ob dams. Collectively, our data suggests that maternal obesity impairs milk lipid production, in part, by disrupting the balance of de novo lipid synthesis in the epithelial and adipose stromal compartments of mammary tissue through processes that appear to be related to increased mammary gland AMPK activity, ACC inhibition, and decreased fatty acid synthesis.

  20. Catalysis by a de novo zinc-mediated protein interface: implications for natural enzyme evolution and rational enzyme engineering.

    Science.gov (United States)

    Der, Bryan S; Edwards, David R; Kuhlman, Brian

    2012-05-08

    Here we show that a recent computationally designed zinc-mediated protein interface is serendipitously capable of catalyzing carboxyester and phosphoester hydrolysis. Although the original motivation was to design a de novo zinc-mediated protein-protein interaction (called MID1-zinc), we observed in the homodimer crystal structure a small cleft and open zinc coordination site. We investigated if the cleft and zinc site at the designed interface were sufficient for formation of a primitive active site that can perform hydrolysis. MID1-zinc hydrolyzes 4-nitrophenyl acetate with a rate acceleration of 10(5) and a k(cat)/K(M) of 630 M(-1) s(-1) and 4-nitrophenyl phosphate with a rate acceleration of 10(4) and a k(cat)/K(M) of 14 M(-1) s(-1). These rate accelerations by an unoptimized active site highlight the catalytic power of zinc and suggest that the clefts formed by protein-protein interactions are well-suited for creating enzyme active sites. This discovery has implications for protein evolution and engineering: from an evolutionary perspective, three-coordinated zinc at a homodimer interface cleft represents a simple evolutionary path to nascent enzymatic activity; from a protein engineering perspective, future efforts in de novo design of enzyme active sites may benefit from exploring clefts at protein interfaces for active site placement.

  1. Wegener's granulomatosis occurring de novo during pregnancy.

    Science.gov (United States)

    Alfhaily, F; Watts, R; Leather, A

    2009-01-01

    Wegener's granulomatosis (WG) is rarely diagnosed during the reproductive years and uncommonly manifests for the first time during pregnancy. We report a case of de novo WG presenting at 30 weeks gestation with classical symptoms of WG (ENT, pulmonary). The diagnosis was confirmed by radiological, laboratory, and histological investigations. With a multidisciplinary approach, she had a successful vaginal delivery of a healthy baby. She was treated successfully by a combination of steroids, azathioprine and intravenous immunoglobulin in the active phase of disease for induction of remission and by azathioprine and steroids for maintenance of remission. The significant improvement in her symptoms allowed us to continue her pregnancy to 37 weeks when delivery was electively induced. Transplacental transmission of PR3-ANCA occurred but the neonate remained well. This case of de novo WG during pregnancy highlights the seriousness of this disease and the challenge in management of such patients.

  2. Protein degradation and protein synthesis in long-term memory formation

    Directory of Open Access Journals (Sweden)

    Timothy J Jarome

    2014-06-01

    Full Text Available Long-term memory (LTM formation requires transient changes in the activity of intracellular signaling cascades that are thought to regulate new gene transcription and de novo protein synthesis in the brain. Consistent with this, protein synthesis inhibitors impair LTM for a variety of behavioral tasks when infused into the brain around the time of training or following memory retrieval, suggesting that protein synthesis is a critical step in LTM storage in the brain. However, evidence suggests that protein degradation mediated by the ubiquitin-proteasome system may also be a critical regulator of LTM formation and stability following retrieval. This requirement for increased protein degradation has been shown in the same brain regions in which protein synthesis is required for LTM storage. Additionally, increases in the phosphorylation of proteins involved in translational control parallel increases in protein polyubiquitination and the increased demand for protein degradation is regulated by intracellular signaling molecules thought to regulate protein synthesis during LTM formation. In some cases inhibiting proteasome activity can rescue memory impairments that result from pharmacological blockade of protein synthesis, suggesting that protein degradation may control the requirement for protein synthesis during the memory storage process. Results such as these suggest that protein degradation and synthesis are both critical for LTM formation and may interact to properly consolidate and store memories in the brain. Here, we review the evidence implicating protein synthesis and degradation in LTM storage and highlight the areas of overlap between these two opposing processes. We also discuss evidence suggesting these two processes may interact to properly form and store memories. LTM storage likely requires a coordinated regulation between protein degradation and synthesis at multiple sites in the mammalian brain.

  3. Systemic design methodologies for electrical energy systems analysis, synthesis and management

    CERN Document Server

    Roboam, Xavier

    2012-01-01

    This book proposes systemic design methodologies applied to electrical energy systems, in particular analysis and system management, modeling and sizing tools. It includes 8 chapters: after an introduction to the systemic approach (history, basics & fundamental issues, index terms) for designing energy systems, this book presents two different graphical formalisms especially dedicated to multidisciplinary devices modeling, synthesis and analysis: Bond Graph and COG/EMR. Other systemic analysis approaches for quality and stability of systems, as well as for safety and robustness analysis tools are also proposed. One chapter is dedicated to energy management and another is focused on Monte Carlo algorithms for electrical systems and networks sizing. The aim of this book is to summarize design methodologies based in particular on a systemic viewpoint, by considering the system as a whole. These methods and tools are proposed by the most important French research laboratories, which have many scientific partn...

  4. Design, synthesis and biological evaluation of Erythrina alkaloid analogues as neuronal nicotinic acetylcholine receptor antagonists

    DEFF Research Database (Denmark)

    Crestey, François; Jensen, Anders A.; Borch, Morten

    2013-01-01

    The synthesis of a new series of Erythrina alkaloid analogues and their pharmacological characterization at various nicotine acetylcholine receptor (nAChR) subtypes are described. The compounds were designed to be simplified analogues of aromatic erythrinanes with the aim of obtaining subtype...

  5. De novo ORFs in Drosophila are important to organismal fitness and evolved rapidly from previously non-coding sequences.

    Directory of Open Access Journals (Sweden)

    Josephine A Reinhardt

    Full Text Available How non-coding DNA gives rise to new protein-coding genes (de novo genes is not well understood. Recent work has revealed the origins and functions of a few de novo genes, but common principles governing the evolution or biological roles of these genes are unknown. To better define these principles, we performed a parallel analysis of the evolution and function of six putatively protein-coding de novo genes described in Drosophila melanogaster. Reconstruction of the transcriptional history of de novo genes shows that two de novo genes emerged from novel long non-coding RNAs that arose at least 5 MY prior to evolution of an open reading frame. In contrast, four other de novo genes evolved a translated open reading frame and transcription within the same evolutionary interval suggesting that nascent open reading frames (proto-ORFs, while not required, can contribute to the emergence of a new de novo gene. However, none of the genes arose from proto-ORFs that existed long before expression evolved. Sequence and structural evolution of de novo genes was rapid compared to nearby genes and the structural complexity of de novo genes steadily increases over evolutionary time. Despite the fact that these genes are transcribed at a higher level in males than females, and are most strongly expressed in testes, RNAi experiments show that most of these genes are essential in both sexes during metamorphosis. This lethality suggests that protein coding de novo genes in Drosophila quickly become functionally important.

  6. Solution-based synthesis and design of late transition metal chalcogenide materials for oxygen reduction reaction (ORR).

    Science.gov (United States)

    Gao, Min-Rui; Jiang, Jun; Yu, Shu-Hong

    2012-01-09

    Late transition metal chalcogenide (LTMC) nanomaterials have been introduced as a promising Pt-free oxygen reduction reaction (ORR) electrocatalysts because of their low cost, good ORR activity, high methanol tolerance, and facile synthesis. Herein, an overview on the design and synthesis of LTMC nanomaterials by solution-based strategies is presented along with their ORR performances. Current solution-based synthetic approaches towards LTMC nanomaterials include a hydrothermal/solvothermal approach, single-source precursor approach, hot-injection approach, template-directed soft synthesis, and Kirkendall-effect-induced soft synthesis. Although the ORR activity and stability of LTMC nanomaterials are still far from what is needed for practical fuel-cell applications, much enhanced electrocatalytic performance can be expected. Recent advances have emphasized that decorating the surface of the LTMC nanostructures with other functional nanoparticles can lead to much better ORR catalytic activity. It is believed that new synthesis approaches to LTMCs, modification techniques of LTMCs, and LTMCs with desirable morphology, size, composition, and structures are expected to be developed in the future to satisfy the requirements of commercial fuel cells. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Persistent hyperthyroidism and de novo Graves' ophthalmopathy after total thyroidectomy.

    Science.gov (United States)

    Tay, Wei Lin; Loh, Wann Jia; Lee, Lianne Ai Ling; Chng, Chiaw Ling

    2017-01-01

    We report a patient with Graves' disease who remained persistently hyperthyroid after a total thyroidectomy and also developed de novo Graves' ophthalmopathy 5 months after surgery. She was subsequently found to have a mature cystic teratoma containing struma ovarii after undergoing a total hysterectomy and salpingo-oophorectomy for an incidental ovarian lesion. It is important to investigate for other causes of primary hyperthyroidism when thyrotoxicosis persists after total thyroidectomy.TSH receptor antibody may persist after total thyroidectomy and may potentially contribute to the development of de novo Graves' ophthalmopathy.

  8. A therapeutic exploratory study to determine the efficacy and safety of calcineurin-inhibitor-free de-novo immunosuppression after liver transplantation: CILT

    Directory of Open Access Journals (Sweden)

    Lorf Thomas

    2010-04-01

    Full Text Available Abstract Background Immunosuppression with calcineurin inhibitors (CNI increases the risk of renal dysfunction after orthotopic liver transplantation (OLT. Controlled trials have shown improvement of renal function in patients that received delayed and/or reduced-dose CNI after OLT. Delaying immunosuppression with CNI in combination with induction therapy does not increase the risk of acute rejection but reduces the incidence of acute renal dysfunction. Based on this clinical data this study protocol was designed to assess the efficacy and safety of calcineurin-inhibitor-free de-novo immunosuppression after liver transplantation. Methods/Design A prospective therapeutic exploratory, non-placebo controlled, two stage monocenter trial in a total of 29 liver transplant patients was designed to assess the safety and efficacy of de-novo CNI-free immunosuppression with basiliximab, mycophenolate sodium, prednisolone and everolimus. The primary endpoint is the rate of steroid resistant rejections. Secondary endpoints are the incidence of acute rejection, kidney function (assessed by incidence and duration of renal replacement therapy, incidence of chronic renal failure, and measurement glomerular filtration rate, liver allograft function (assessed by measurement of AST, ALT, total bilirubin, AP, GGT, treatment failure, (i. e., re-introduction of CNI, incidence of adverse events, and mortality up to one year after OLT. Discussion This prospective, two-stage, single-group pilot study represents an intermediate element of the research chain. If the data of the phase II study corroborates safety of de-novo CNI-free immunosuppressive regimen this should be confirmed in a randomized, prospective, controlled double-blinded clinical trial. The exploratory data from this trial may then also facilitate the design (e. g. sample size calculation of this phase III trial. Trial registration number NCT00890253 (clinicaltrials.gov

  9. Direct on-chip DNA synthesis using electrochemically modified gold electrodes as solid support

    Science.gov (United States)

    Levrie, Karen; Jans, Karolien; Schepers, Guy; Vos, Rita; Van Dorpe, Pol; Lagae, Liesbet; Van Hoof, Chris; Van Aerschot, Arthur; Stakenborg, Tim

    2018-04-01

    DNA microarrays have propelled important advancements in the field of genomic research by enabling the monitoring of thousands of genes in parallel. The throughput can be increased even further by scaling down the microarray feature size. In this respect, microelectronics-based DNA arrays are promising as they can leverage semiconductor processing techniques with lithographic resolutions. We propose a method that enables the use of metal electrodes for de novo DNA synthesis without the need for an insulating support. By electrochemically functionalizing gold electrodes, these electrodes can act as solid support for phosphoramidite-based synthesis. The proposed method relies on the electrochemical reduction of diazonium salts, enabling site-specific incorporation of hydroxyl groups onto the metal electrodes. An automated DNA synthesizer was used to couple phosphoramidite moieties directly onto the OH-modified electrodes to obtain the desired oligonucleotide sequence. Characterization was done via cyclic voltammetry and fluorescence microscopy. Our results present a valuable proof-of-concept for the integration of solid-phase DNA synthesis with microelectronics.

  10. Selecting Superior De Novo Transcriptome Assemblies: Lessons Learned by Leveraging the Best Plant Genome.

    Directory of Open Access Journals (Sweden)

    Loren A Honaas

    Full Text Available Whereas de novo assemblies of RNA-Seq data are being published for a growing number of species across the tree of life, there are currently no broadly accepted methods for evaluating such assemblies. Here we present a detailed comparison of 99 transcriptome assemblies, generated with 6 de novo assemblers including CLC, Trinity, SOAP, Oases, ABySS and NextGENe. Controlled analyses of de novo assemblies for Arabidopsis thaliana and Oryza sativa transcriptomes provide new insights into the strengths and limitations of transcriptome assembly strategies. We find that the leading assemblers generate reassuringly accurate assemblies for the majority of transcripts. At the same time, we find a propensity for assemblers to fail to fully assemble highly expressed genes. Surprisingly, the instance of true chimeric assemblies is very low for all assemblers. Normalized libraries are reduced in highly abundant transcripts, but they also lack 1000s of low abundance transcripts. We conclude that the quality of de novo transcriptome assemblies is best assessed through consideration of a combination of metrics: 1 proportion of reads mapping to an assembly 2 recovery of conserved, widely expressed genes, 3 N50 length statistics, and 4 the total number of unigenes. We provide benchmark Illumina transcriptome data and introduce SCERNA, a broadly applicable modular protocol for de novo assembly improvement. Finally, our de novo assembly of the Arabidopsis leaf transcriptome revealed ~20 putative Arabidopsis genes lacking in the current annotation.

  11. Behavioral synthesis of asynchronous circuits

    DEFF Research Database (Denmark)

    Nielsen, Sune Fallgaard

    2005-01-01

    This thesis presents a method for behavioral synthesis of asynchronous circuits, which aims at providing a synthesis flow which uses and tranfers methods from synchronous circuits to asynchronous circuits. We move the synchronous behavioral synthesis abstraction into the asynchronous handshake...... is idle. This reduces unnecessary switching activity in the individual functional units and therefore the energy consumption of the entire circuit. A collection of behavioral synthesis algorithms have been developed allowing the designer to perform time and power constrained design space exploration...

  12. Role of de novo biosynthesis in ecosystem scale monoterpene emissions from a boreal Scots pine forest

    Directory of Open Access Journals (Sweden)

    R. Taipale

    2011-08-01

    Full Text Available Monoterpene emissions from Scots pine have traditionally been assumed to originate as evaporation from specialized storage pools. More recently, the significance of de novo emissions, originating directly from monoterpene biosynthesis, has been recognized. To study the role of biosynthesis at the ecosystem scale, we measured monoterpene emissions from a Scots pine dominated forest in southern Finland using the disjunct eddy covariance method combined with proton transfer reaction mass spectrometry. The interpretation of the measurements was based on a correlation analysis and a hybrid emission algorithm describing both de novo and pool emissions. During the measurement period May–August 2007, the monthly medians of daytime emissions were 200, 290, 180, and 200 μg m−2 h−1. The emissions were partly light dependent, probably due to de novo biosynthesis. The emission potential for both de novo and pool emissions exhibited a decreasing summertime trend. The ratio of the de novo emission potential to the total emission potential varied between 30 % and 46 %. Although the monthly changes were not significant, the ratio always differed statistically from zero, suggesting that the role of de novo biosynthesis was observable. Given the uncertainties in this study, we conclude that more accurate estimates of the contribution of de novo emissions are required for improving monoterpene emission algorithms for Scots pine dominated forests.

  13. Benign by design: catalyst-free in-water, on-water green chemical methodologies in organic synthesis

    Science.gov (United States)

    The development of organic synthesis under sustainable conditions is a primary goal of practicing green chemists who want to prevent pollution and design safer pathways. Although, it is challenging to avoid the use of catalysts, or solvents in all the organic reactions but progre...

  14. A de novo designed 11 kDa polypeptide: model for amyloidogenic intrinsically disordered proteins.

    Science.gov (United States)

    Topilina, Natalya I; Ermolenkov, Vladimir V; Sikirzhytski, Vitali; Higashiya, Seiichiro; Lednev, Igor K; Welch, John T

    2010-07-01

    A de novo polypeptide GH(6)[(GA)(3)GY(GA)(3)GE](8)GAH(6) (YE8) has a significant number of identical weakly interacting beta-strands with the turns and termini functionalized by charged amino acids to control polypeptide folding and aggregation. YE8 exists in a soluble, disordered form at neutral pH but is responsive to changes in pH and ionic strength. The evolution of YE8 secondary structure has been successfully quantified during all stages of polypeptide fibrillation by deep UV resonance Raman (DUVRR) spectroscopy combined with other morphological, structural, spectral, and tinctorial characterization. The YE8 folding kinetics at pH 3.5 are strongly dependent on polypeptide concentration with a lag phase that can be eliminated by seeding with a solution of folded fibrillar YE8. The lag phase of polypeptide folding is concentration dependent leading to the conclusion that beta-sheet folding of the 11-kDa amyloidogenic polypeptide is completely aggregation driven.

  15. Synthesis of state observer and nonlinear output feedback controller design of AC machines

    International Nuclear Information System (INIS)

    Al-Tahir, Ali Abdul Razzaq

    2016-01-01

    The research work developed in this thesis has been mainly devoted to the observation and sensor-less control problems of electrical systems. Three major contributions have been carried out using the high - gain concept and output feedback adaptive nonlinear control for online UPS. In this thesis, we dealt with synthesis of sampled high - gain observers for nonlinear systems application to PMSMs and DFIGs. We particularly focus on two constraints: sampling effect and tracking unmeasured mechanical and magnetic state variables. The first contribution consists in a high gain observer design that performs a relatively accurate estimation of both mechanical and magnetic state variable using the available measurements on stator currents and voltages of PMSM. We propose a global exponential observer having state predictor for a class of nonlinear globally Lipschitz system. In second contribution, we proposed a novel non - standard HGO design for non-injective feedback relation application to variable speed DFIG based WPGS. Meanwhile, a reduced system model is analyzed, provided by observability test to check is it possible synthesis state observer for sensor-less control. In last contribution, an adaptive observer for states and parameters estimation are designed for a class of state - affine systems application to output feedback adaptive nonlinear control of three-phase AC/DC boost power converter for online UPS systems. Basically, the problem focused on cascade nonlinear adaptive controller that is developed making use Lyapunov theory. The parameters uncertainties are processed by the practical control laws under back-stepping design techniques with capacity of adaptation. (author)

  16. De novo nonsense mutations in ASXL1 cause Bohring-Opitz syndrome

    DEFF Research Database (Denmark)

    Hoischen, Alexander; van Bon, Bregje W M; Rodríguez-Santiago, Benjamín

    2011-01-01

    Bohring-Opitz syndrome is characterized by severe intellectual disability, distinctive facial features and multiple congenital malformations. We sequenced the exomes of three individuals with Bohring-Opitz syndrome and in each identified heterozygous de novo nonsense mutations in ASXL1, which...... is required for maintenance of both activation and silencing of Hox genes. In total, 7 out of 13 subjects with a Bohring-Opitz phenotype had de novo ASXL1 mutations, suggesting that the syndrome is genetically heterogeneous....

  17. De novo triiodothyronine formation from thyrocytes activated by thyroid-stimulating hormone.

    Science.gov (United States)

    Citterio, Cintia E; Veluswamy, Balaji; Morgan, Sarah J; Galton, Valerie A; Banga, J Paul; Atkins, Stephen; Morishita, Yoshiaki; Neumann, Susanne; Latif, Rauf; Gershengorn, Marvin C; Smith, Terry J; Arvan, Peter

    2017-09-15

    The thyroid gland secretes primarily tetraiodothyronine (T 4 ), and some triiodothyronine (T 3 ). Under normal physiological circumstances, only one-fifth of circulating T 3 is directly released by the thyroid, but in states of hyperactivation of thyroid-stimulating hormone receptors (TSHRs), patients develop a syndrome of relative T 3 toxicosis. Thyroidal T 4 production results from iodination of thyroglobulin (TG) at residues Tyr 5 and Tyr 130 , whereas thyroidal T 3 production may originate in several different ways. In this study, the data demonstrate that within the carboxyl-terminal portion of mouse TG, T 3 is formed de novo independently of deiodination from T 4 We found that upon iodination in vitro , de novo T 3 formation in TG was decreased in mice lacking TSHRs. Conversely, de novo T 3 that can be formed upon iodination of TG secreted from PCCL3 (rat thyrocyte) cells was augmented from cells previously exposed to increased TSH, a TSHR agonist, a cAMP analog, or a TSHR-stimulating antibody. We present data suggesting that TSH-stimulated TG phosphorylation contributes to enhanced de novo T 3 formation. These effects were reversed within a few days after removal of the hyperstimulating conditions. Indeed, direct exposure of PCCL3 cells to human serum from two patients with Graves' disease, but not control sera, led to secretion of TG with an increased intrinsic ability to form T 3 upon in vitro iodination. Furthermore, TG secreted from human thyrocyte cultures hyperstimulated with TSH also showed an increased intrinsic ability to form T 3 Our data support the hypothesis that TG processing in the secretory pathway of TSHR-hyperstimulated thyrocytes alters the structure of the iodination substrate in a way that enhances de novo T 3 formation, contributing to the relative T 3 toxicosis of Graves' disease.

  18. Design, Synthesis, and Antibacterial Activities of Novel Heterocyclic Arylsulphonamide Derivatives.

    Science.gov (United States)

    Singh, Anuradha; Srivastava, Ritika; Singh, Ramendra K

    2017-02-13

    Design, synthesis, and antibacterial activities of a series of arylsulphonamide derivatives as probable peptide deformylase (PDF) inhibitors have been discussed. Compounds have been designed following Lipinski's rule and after docking into the active site of PDF protein (PDB code: 1G2A) synthesized later on. Furthermore, to assess their antibacterial activity, screening of the compound was done in vitro conditions against Gram-positive and Gram-negative bacterial strains. In silico, studies revealed these compounds as potential antibacterial agents and this fact was also supported by their prominent scoring functions. Antibacterial results indicated that these molecules possessed a significant activity against Staphylococcus aureus, Bacillus cereus, Pseudomonas aeruginosa, and Escherichia coli with MIC values ranging from 0.06 to 0.29 μM. TOPKAT results showed that high LD 50 values and the compounds were assumed non-carcinogenic when various animal models were studied computationally.

  19. Synthesis and applications of 13C glycerol

    International Nuclear Information System (INIS)

    Stocking, E.; Khalsa, O.; Martinez, R.; Silks, L.A. III

    1994-01-01

    The authors are currently developing new synthetic routes to the various isotopomers of glycerol. Labeled glycerol is useful for 13 C enrichment of biomolecules. However, traditional methods of glycerol synthesis are not easily adapted for isotopic enrichment or have poor overall yields (12-15%). In addition, the use of glycerol for enrichment can be prohibitively expensive and its availability depends on the level of demand. The authors have developed a short de novo synthesis of [U- 13 C]glycerol from carbon dioxide (∼53% overall yield for four steps) and are currently examining the feasibility of synthesizing site-specific 13 C labeled glycerol and dihydroxyacetone (DHA) from methanol and carbon dioxide. The authors have examined the enzymatic conversion of [U- 13 C]glycerol to glyceraldehyde-3-monophosphate or dihydroxyacetone monophosphate (DHAP) with yields ranging from 25-50% (as determined by NMR spectroscopy). The authors are also pursuing the chemical conversion of 13 C labeled DHA to DHAP and the results are presented. Labeled DHAP is a possible enzymatic precursor for both labeled 2-deoxyribose and 2-deoxyribonucleic acids

  20. Sustainable Process Synthesis-Intensification

    DEFF Research Database (Denmark)

    Babi, Deenesh Kavi; Holtbruegge, Johannes; Lutze, Philip

    2014-01-01

    Sustainable process design can be achieved by performing process synthesis and process intensification together. This approach first defines a design target through a sustainability analysis and then finds design alternatives that match the target through process intensification. A systematic......, multi-stage framework for process synthesis- intensification that identifies more sustainable process designs has been developed. At stages 1-2, the working scale is at the level of unit operations, where a base case design is identified and analyzed with respect to sustainability metrics. At stages 3......, a phenomena-based process synthesis method is applied, where the phenomena involved in each tasks are identified, manipulated and recombined to generate new and/or existing unit operations configured into flowsheets that are more sustainable from those found in the previous levels. An overview of the key...

  1. Increased de novo riboflavin synthesis and hydrolysis of FMN are involved in riboflavin secretion from Hyoscyamus albus hairy roots under iron deficiency

    OpenAIRE

    Higa, Ataru; Khandakar, Jebunnahar; Mori, Yuko; Kitamura, Yoshie

    2012-01-01

    Riboflavin secretion by Hyoscyamus albus hairy roots under Fe deficiency was examined to determine where riboflavin is produced and whether production occurs via an enhancement of riboflavin biosynthesis or a stimulation of flavin mononucleotide (FMN) hydrolysis. Confocal fluorescent microscopy showed that riboflavin was mainly localized in the epidermis and cortex of the root tip and, at the cellular level, in the apoplast. The expressions of three genes involved in the de novo biosynthesis ...

  2. Memetic algorithms for de novo motif-finding in biomedical sequences.

    Science.gov (United States)

    Bi, Chengpeng

    2012-09-01

    The objectives of this study are to design and implement a new memetic algorithm for de novo motif discovery, which is then applied to detect important signals hidden in various biomedical molecular sequences. In this paper, memetic algorithms are developed and tested in de novo motif-finding problems. Several strategies in the algorithm design are employed that are to not only efficiently explore the multiple sequence local alignment space, but also effectively uncover the molecular signals. As a result, there are a number of key features in the implementation of the memetic motif-finding algorithm (MaMotif), including a chromosome replacement operator, a chromosome alteration-aware local search operator, a truncated local search strategy, and a stochastic operation of local search imposed on individual learning. To test the new algorithm, we compare MaMotif with a few of other similar algorithms using simulated and experimental data including genomic DNA, primary microRNA sequences (let-7 family), and transmembrane protein sequences. The new memetic motif-finding algorithm is successfully implemented in C++, and exhaustively tested with various simulated and real biological sequences. In the simulation, it shows that MaMotif is the most time-efficient algorithm compared with others, that is, it runs 2 times faster than the expectation maximization (EM) method and 16 times faster than the genetic algorithm-based EM hybrid. In both simulated and experimental testing, results show that the new algorithm is compared favorably or superior to other algorithms. Notably, MaMotif is able to successfully discover the transcription factors' binding sites in the chromatin immunoprecipitation followed by massively parallel sequencing (ChIP-Seq) data, correctly uncover the RNA splicing signals in gene expression, and precisely find the highly conserved helix motif in the transmembrane protein sequences, as well as rightly detect the palindromic segments in the primary micro

  3. Selective memory generalization by spatial patterning of protein synthesis.

    Science.gov (United States)

    O'Donnell, Cian; Sejnowski, Terrence J

    2014-04-16

    Protein synthesis is crucial for both persistent synaptic plasticity and long-term memory. De novo protein expression can be restricted to specific neurons within a population, and to specific dendrites within a single neuron. Despite its ubiquity, the functional benefits of spatial protein regulation for learning are unknown. We used computational modeling to study this problem. We found that spatially patterned protein synthesis can enable selective consolidation of some memories but forgetting of others, even for simultaneous events that are represented by the same neural population. Key factors regulating selectivity include the functional clustering of synapses on dendrites, and the sparsity and overlap of neural activity patterns at the circuit level. Based on these findings, we proposed a two-step model for selective memory generalization during REM and slow-wave sleep. The pattern-matching framework we propose may be broadly applicable to spatial protein signaling throughout cortex and hippocampus. Copyright © 2014 Elsevier Inc. All rights reserved.

  4. Demonstration of diet-induced decoupling of fatty acid and cholesterol synthesis by combining gene expression array and 2H2O quantification.

    Science.gov (United States)

    Jensen, Kristian K; Previs, Stephen F; Zhu, Lei; Herath, Kithsiri; Wang, Sheng-Ping; Bhat, Gowri; Hu, Guanghui; Miller, Paul L; McLaren, David G; Shin, Myung K; Vogt, Thomas F; Wang, Liangsu; Wong, Kenny K; Roddy, Thomas P; Johns, Douglas G; Hubbard, Brian K

    2012-01-15

    The liver is a crossroad for metabolism of lipid and carbohydrates, with acetyl-CoA serving as an important metabolic intermediate and a precursor for fatty acid and cholesterol biosynthesis pathways. A better understanding of the regulation of these pathways requires an experimental approach that provides both quantitative metabolic flux measurements and mechanistic insight. Under conditions of high carbohydrate availability, excess carbon is converted into free fatty acids and triglyceride for storage, but it is not clear how excessive carbohydrate availability affects cholesterol biosynthesis. To address this, C57BL/6J mice were fed either a low-fat, high-carbohydrate diet or a high-fat, carbohydrate-free diet. At the end of the dietary intervention, the two groups received (2)H(2)O to trace de novo fatty acid and cholesterol synthesis, and livers were collected for gene expression analysis. Expression of lipid and glucose metabolism genes was determined using a custom-designed pathway focused PCR-based gene expression array. The expression analysis showed downregulation of cholesterol biosynthesis genes and upregulation of fatty acid synthesis genes in mice receiving the high-carbohydrate diet compared with the carbohydrate-free diet. In support of these findings, (2)H(2)O tracer data showed that fatty acid synthesis was increased 10-fold and cholesterol synthesis was reduced by 1.6-fold in mice fed the respective diets. In conclusion, by applying gene expression analysis and tracer methodology, we show that fatty acid and cholesterol synthesis are differentially regulated when the carbohydrate intake in mice is altered.

  5. The impact of employee satisfaction on productivity in Tiskarna Novo mesto, Ltd.

    Directory of Open Access Journals (Sweden)

    Simona Cimperman

    2016-06-01

    Full Text Available Research Question: Does employee satisfaction, impact on productivity? How are these two variables associated? What is the job satisfaction in Tiskarna Novo mesto, Ltd. What needs to be done to make employees more satisfied at work and, consequently, more productive? Purpose: The purpose of the study is to determine what are the factors that influence employee satisfaction Tiskarna Novo mesto, Ltd. and check the connection between work satisfaction and employee productivity. The aim of the research is to examine what is the level of job satisfaction of employees in Tiskarna Novo mesto, Ltd. And find our reasons and factors that prevent employees were satisfied in the workplace. Method: In this study we used a descriptive method and the method of combining the study of domestic and foreign literature. Pending the results we have come to interview employees in the Tiskarna Novo mesto, Ltd. Results: We conducted a survey among employees in Tiskarna Novo mesto, Ltd and we came to the conclusion that the employees are medium satisfied – the average grade point job satisfaction of employees was 3.1 (evaluated on a 5-point Likert scale. The worst assessed was factor in job satisfaction opportunity for advancement and educational opportunities. We have found out that factors like receiving praise and awards as well as good interpersonal relations are those that affect good on job satisfaction, on the other hand conflict is the one that reduces job satisfaction. The existence of links between work satisfaction and productivity were not found (r = -0.061. Organization: The organization and managers, it is important to know which are the factors by which employees are satisfied or dissatisfied. Results of the research will give managers a clear picture of the factors of satisfaction / dissatisfaction and opinion on productivity. Society: The employees it means a lot to have your job satisfaction and consequently they are more productive. Originality: The

  6. Interplay between De Novo Biosynthesis and Sequestration of Cyanogenic Glucosides in Arthropods

    DEFF Research Database (Denmark)

    Fürstenberg-Hägg, Joel

    (Zygaenidae, Lepidoptera) both sequester (take up and accumulate) the CNglcs linamarin and lotaustralin from their food plants (Fabacea) and biosynthesize them de novo from valine and isoleucine. The presented research demonstrates that de novo biosynthesis of CNglcs in Z. filipendulae is dependent...

  7. Design, Green Synthesis, and Anti-Inflammatory Activity of Schiff Base of 1,3,4-oxadiazole Analogues

    Czech Academy of Sciences Publication Activity Database

    Sahoo, B. M.; Dinda, S. C.; Kumar, B. V. V. R.; Panda, J.; Brahmkshatriya, Pathik

    2014-01-01

    Roč. 11, č. 1 (2014), s. 82-89 ISSN 1570-1808 Institutional support: RVO:61388963 Keywords : anti-inflammatory activity * design * green synthesis * oxadiazole * schiff base * spectroscopic studies Subject RIV: CC - Organic Chemistry Impact factor: 0.770, year: 2014

  8. Novo Jornalismo: fronteiras litero-factuais em A sangue Frio e em Radical Chique

    Directory of Open Access Journals (Sweden)

    Francisco Aquinei Timóteo Queirós

    2012-12-01

    Full Text Available A pesquisa busca analisar de que forma fato e ficção se entrecruzam no “movimento” do Novo Jornalismo, a partir das obras A sangue Frio e Radical Chique e o Novo Jornalismo, de Truman Capote e Tom Wolfe, respectivamente. Pretende-se, a partir da investigação do corpus em estudo, revelar os aspectos que aproximam o fato jornalístico, a notícia e a reportagem às técnicas literárias do romance, do conto e da crônica. O estudo investiga o Novo Jornalismo sob o viés de textos centrais das áreas de teoria literária e estudos jornalísticos utilizando autores como Mikhail Bakhtin, Hayden White, Paul Ricoeur, Muniz Sodré; além de referenciar outros escritores que, como Tom Wolfe e Truman Capote, fizeram parte de um grande movimento renovador do jornalismo literário nos anos 1950, 1960 e 1970 chamado, genericamente, de Novo Jornalismo.

  9. Demanda dos principais metais e novos materiais : analise de tendencias

    OpenAIRE

    Wilson Trigueiro de Sousa

    1990-01-01

    Resumo: Neste trabalho são analisadas algumas tendências na área de novos materiais na tentativa de obter um melhor entendimento das repercussões das atuais inovações tecnológicas para o setor mineral. Inicialmente são revisados os principais estudos sobre as mudanças ocorridas por volta de 1972/74 no comportamento da demanda dos metais mais importantes. Entre as possíveis causas, está o progresso técnico, que tornou possível o surgimento de novos materiais e o aperfeiçoamento de outros em us...

  10. Sensitivity-Informed De Novo Programming for Many-Objective Water Portfolio Planning Under Uncertainty

    Science.gov (United States)

    Kasprzyk, J. R.; Reed, P. M.; Kirsch, B. R.; Characklis, G. W.

    2009-12-01

    Risk-based water supply management presents severe cognitive, computational, and social challenges to planning in a changing world. Decision aiding frameworks must confront the cognitive biases implicit to risk, the severe uncertainties associated with long term planning horizons, and the consequent ambiguities that shape how we define and solve water resources planning and management problems. This paper proposes and demonstrates a new interactive framework for sensitivity informed de novo programming. The theoretical focus of our many-objective de novo programming is to promote learning and evolving problem formulations to enhance risk-based decision making. We have demonstrated our proposed de novo programming framework using a case study for a single city’s water supply in the Lower Rio Grande Valley (LRGV) in Texas. Key decisions in this case study include the purchase of permanent rights to reservoir inflows and anticipatory thresholds for acquiring transfers of water through optioning and spot leases. A 10-year Monte Carlo simulation driven by historical data is used to provide performance metrics for the supply portfolios. The three major components of our methodology include Sobol globoal sensitivity analysis, many-objective evolutionary optimization and interactive tradeoff visualization. The interplay between these components allows us to evaluate alternative design metrics, their decision variable controls and the consequent system vulnerabilities. Our LRGV case study measures water supply portfolios’ efficiency, reliability, and utilization of transfers in the water supply market. The sensitivity analysis is used interactively over interannual, annual, and monthly time scales to indicate how the problem controls change as a function of the timescale of interest. These results have been used then to improve our exploration and understanding of LRGV costs, vulnerabilities, and the water portfolios’ critical reliability constraints. These results

  11. Sequencing and de novo assembly of 150 genomes from Denmark as a population reference

    DEFF Research Database (Denmark)

    Maretty, Lasse; Jensen, Jacob Malte; Petersen, Bent

    2017-01-01

    or by performing local assembly. However, these approaches are biased against discovery of structural variants and variation in the more complex parts of the genome. Hence, large-scale de novo assembly is needed. Here we show that it is possible to construct excellent de novo assemblies from high......-coverage sequencing with mate-pair libraries extending up to 20 kilobases. We report de novo assemblies of 150 individuals (50 trios) from the GenomeDenmark project. The quality of these assemblies is similar to those obtained using the more expensive long-read technology. We use the assemblies to identify a rich set...

  12. A Continuous-Exchange Cell-Free Protein Synthesis System Based on Extracts from Cultured Insect Cells

    Science.gov (United States)

    Stech, Marlitt; Quast, Robert B.; Sachse, Rita; Schulze, Corina; Wüstenhagen, Doreen A.; Kubick, Stefan

    2014-01-01

    In this study, we present a novel technique for the synthesis of complex prokaryotic and eukaryotic proteins by using a continuous-exchange cell-free (CECF) protein synthesis system based on extracts from cultured insect cells. Our approach consists of two basic elements: First, protein synthesis is performed in insect cell lysates which harbor endogenous microsomal vesicles, enabling a translocation of de novo synthesized target proteins into the lumen of the insect vesicles or, in the case of membrane proteins, their embedding into a natural membrane scaffold. Second, cell-free reactions are performed in a two chamber dialysis device for 48 h. The combination of the eukaryotic cell-free translation system based on insect cell extracts and the CECF translation system results in significantly prolonged reaction life times and increased protein yields compared to conventional batch reactions. In this context, we demonstrate the synthesis of various representative model proteins, among them cytosolic proteins, pharmacological relevant membrane proteins and glycosylated proteins in an endotoxin-free environment. Furthermore, the cell-free system used in this study is well-suited for the synthesis of biologically active tissue-type-plasminogen activator, a complex eukaryotic protein harboring multiple disulfide bonds. PMID:24804975

  13. Salicylate activates AMPK and synergizes with metformin to reduce the survival of prostate and lung cancer cells ex vivo through inhibition of de novo lipogenesis.

    Science.gov (United States)

    O'Brien, Andrew J; Villani, Linda A; Broadfield, Lindsay A; Houde, Vanessa P; Galic, Sandra; Blandino, Giovanni; Kemp, Bruce E; Tsakiridis, Theodoros; Muti, Paola; Steinberg, Gregory R

    2015-07-15

    Aspirin, the pro-drug of salicylate, is associated with reduced incidence of death from cancers of the colon, lung and prostate and is commonly prescribed in combination with metformin in individuals with type 2 diabetes. Salicylate activates the AMP-activated protein kinase (AMPK) by binding at the A-769662 drug binding site on the AMPK β1-subunit, a mechanism that is distinct from metformin which disrupts the adenylate charge of the cell. A hallmark of many cancers is high rates of fatty acid synthesis and AMPK inhibits this pathway through phosphorylation of acetyl-CoA carboxylase (ACC). It is currently unknown whether targeting the AMPK-ACC-lipogenic pathway using salicylate and/or metformin may be effective for inhibiting cancer cell survival. Salicylate suppresses clonogenic survival of prostate and lung cancer cells at therapeutic concentrations achievable following the ingestion of aspirin (Salicylate concentrations of 1 mM increased the phosphorylation of ACC and suppressed de novo lipogenesis and these effects were enhanced with the addition of clinical concentrations of metformin (100 μM) and eliminated in mouse embryonic fibroblasts (MEFs) deficient in AMPK β1. Supplementation of media with fatty acids and/or cholesterol reverses the suppressive effects of salicylate and metformin on cell survival indicating the inhibition of de novo lipogenesis is probably important. Pre-clinical studies evaluating the use of salicylate based drugs alone and in combination with metformin to inhibit de novo lipogenesis and the survival of prostate and lung cancers are warranted. © 2015 Authors; published by Portland Press Limited.

  14. On the performance of de novo pathway enrichment

    DEFF Research Database (Denmark)

    Batra, Richa; Alcaraz, Nicolas; Gitzhofer, Kevin

    2017-01-01

    De novo pathway enrichment is a powerful approach to discover previously uncharacterized molecular mechanisms in addition to already known pathways. To achieve this, condition-specific functional modules are extracted from large interaction networks. Here, we give an overview of the state...

  15. Transferência do fator caturra para o cultivar Mundo Novo de Coffea arabica Transfer of the CT gene to Mundo Novo cultivar

    Directory of Open Access Journals (Sweden)

    A. Carvalho

    1972-01-01

    Full Text Available No presente trabalho são relatados os estudos realizados visando à introdução do gene Ct (caturra que contribui para reduzir a altura da planta, no cultivar Mundo" Novo de Coffea arabica.Estudaram-se, em ensaios de produtividade, as populações Fv F.,, F3 e F4. Nessas populações e principalmente entre os descendentes dos "caféeiros H 2077-2-5 e H 2077-2-12, foram selecionadas plantas homozigotas para os alelos Ct e também para os alelos responsáveis pela cor do fruto xc ou Xc. Essas combinações foram denominadas 'Catuaí Amarelo' e 'Catuaí Vermelho', respectivamente, e suas características são apresentadas. Os novos cultivares vêm-se mostrando de interesse econômico para as regiões cafeeiras não somente pelo porte pequeno, mas também pela produtividade, pelo vigor vegetativo e pela precocidade.The successful transfer of the Ct gene for short internode to the tall cultivar of Coffea arábica'Mundo Novo' is reported. Individual selections were carried out in the F1, F2, F3 and F4 generations. It was found that early selection in the F2 generation was quite effective. A remarkably good correlation was found between productitivity of F2 plants and the yield of the F3 and F4 generations. Plants of the F4 generation have shown reasonable uniformity and high yield in several trials. The new selections showed to be early producers. Two new cultivars were released namely 'Catuaí Amarelo' and 'Catuaí Vermelho'. The former has yellow fruits whereas the latter has red fruits. The plants are much shorter that the ones of Mundo Novo. The new cultivars have a very strong secondary and tertiary branching. Because of these characteristics Catuaí Amarelo and Catuaí Vermelho are being planted in large scale replacing the tall cultivars.

  16. De Novo Discovery of Structured ncRNA Motifs in Genomic Sequences

    DEFF Research Database (Denmark)

    Ruzzo, Walter L; Gorodkin, Jan

    2014-01-01

    De novo discovery of "motifs" capturing the commonalities among related noncoding ncRNA structured RNAs is among the most difficult problems in computational biology. This chapter outlines the challenges presented by this problem, together with some approaches towards solving them, with an emphas...... on an approach based on the CMfinder CMfinder program as a case study. Applications to genomic screens for novel de novo structured ncRNA ncRNA s, including structured RNA elements in untranslated portions of protein-coding genes, are presented.......De novo discovery of "motifs" capturing the commonalities among related noncoding ncRNA structured RNAs is among the most difficult problems in computational biology. This chapter outlines the challenges presented by this problem, together with some approaches towards solving them, with an emphasis...

  17. in silico Whole Genome Sequencer & Analyzer (iWGS): a computational pipeline to guide the design and analysis of de novo genome sequencing studies

    Science.gov (United States)

    The availability of genomes across the tree of life is highly biased toward vertebrates, pathogens, human disease models, and organisms with relatively small and simple genomes. Recent progress in genomics has enabled the de novo decoding of the genome of virtually any organism, greatly expanding it...

  18. Design, Synthesis, Antinociceptive and Anti-Inflammatory Activities of Novel Piroxicam Analogues

    Directory of Open Access Journals (Sweden)

    Eliezer J. Barreiro

    2012-11-01

    Full Text Available In this paper we report the design, synthesis, antinociceptive and anti-inflammatory activities of a series of benzothiazine N-acylhydrazones 14a–h, planned by structural modification of piroxicam (1, a non steroidal anti-inflammatory drug. Among the synthesized analogues, compounds 14f (LASSBio-1637 and 14g (LASSBio-1639 were identified as novel antinociceptive and anti-inflammatory prototypes, active by oral administration, acting by a mechanism of action that seems to be different from that of piroxicam, since they were inactive as an inhibitor of cyclooxygenase (COX-1 and COX-2 at concentrations of 10 mM.

  19. Design, synthesis, antinociceptive and anti-inflammatory activities of novel piroxicam analogues.

    Science.gov (United States)

    de Miranda, Amanda Silva; Bispo Júnior, Walfrido; da Silva, Yolanda Karla Cupertino; Alexandre-Moreira, Magna Suzana; Castro, Rosane de Paula; Sabino, José Ricardo; Lião, Luciano Morais; Lima, Lídia Moreira; Barreiro, Eliezer J

    2012-11-28

    In this paper we report the design, synthesis, antinociceptive and anti-inflammatory activities of a series of benzothiazine N-acylhydrazones 14a–h, planned by structural modification of piroxicam (1), a non steroidal anti-inflammatory drug. Among the synthesized analogues, compounds 14f (LASSBio-1637) and 14g (LASSBio-1639) were identified as novel antinociceptive and anti-inflammatory prototypes, active by oral administration, acting by a mechanism of action that seems to be different from that of piroxicam, since they were inactive as an inhibitor of cyclooxygenase (COX-1 and COX-2) at concentrations of 10 mM.

  20. Exergy analysis of industrial ammonia synthesis

    International Nuclear Information System (INIS)

    Kirova-Yordanova, Zornitza

    2004-01-01

    Exergy consumption of ammonia production plants depends strongly on the ammonia synthesis loop design. Due to the thermodynamically limited low degree of conversion of hydrogen-nitrogen mixture to ammonia, industrial ammonia synthesis is implemented as recycle process (so-called 'ammonia synthesis loop'). Significant quantities of reactants are recycled back to reactor, after the removal of ammonia at low temperatures. Modern ammonia synthesis plants use well-developed heat- and cold recovery to improve the reaction heat utilisation and to reduce the refrigeration costs. In this work, the exergy method is applied to estimate the effect of the most important process parameters on the exergy efficiency of industrial ammonia synthesis. A specific approach, including suitable definitions of the system boundaries and process parameters, is proposed. Exergy efficiency indexes are discussed in order to make the results applicable to ammonia synthesis loops of various designs. The dependence of the exergy losses on properly selected independent process parameters is studied. Some results from detailed exergy analysis of the most commonly used ammonia synthesis loop design configurations at a wide range of selected parameters values are shown

  1. Novo Jornalismo: fronteiras litero-factuais em A sangue Frio e em Radical Chique

    Directory of Open Access Journals (Sweden)

    Francisco Aquinei Timóteo Queirós

    2012-03-01

    Full Text Available http://dx.doi.org/10.5007/1984-784X.2012v12n18p130 A pesquisa busca analisar de que forma fato e ficção se entrecruzam no “movimento” do Novo Jornalismo, a partir das obras A sangue Frio e Radical Chique e o Novo Jornalismo, de Truman Capote e Tom Wolfe, respectivamente. Pretende-se, a partir da investigação do corpus em estudo, revelar os aspectos que aproximam o fato jornalístico, a notícia e a reportagem às técnicas literárias do romance, do conto e da crônica. O estudo investiga o Novo Jornalismo sob o viés de textos centrais das áreas de teoria literária e estudos jornalísticos utilizando autores como Mikhail Bakhtin, Hayden White, Paul Ricoeur, Muniz Sodré; além de referenciar outros escritores que, como Tom Wolfe e Truman Capote, fizeram parte de um grande movimento renovador do jornalismo literário nos anos 1950, 1960 e 1970 chamado, genericamente, de Novo Jornalismo.

  2. Facebook - Um novo espaço autobiográfico?

    Directory of Open Access Journals (Sweden)

    Maria Tereza Lima

    2015-07-01

    Full Text Available O arigo "Facebook - Um novo espaço autobiográfico?" tem como objetivo central investigar como a perspectiva autobiográfica e biográfica se configura em uma rede social. Levando em consideração esse novo espaço de exteriorização da memória, analisamos as escolhas de uma pessoa ao postar os mais diversos gêneros textuais no Facebook e verificamos até que ponto tais fragmentos textuais narram a história de um indivíduo. Quais textos são postados? O que foi escolhido e o que foi excluído desse perfil? O autor trava um pacto de leitura com o leitor? Se levarmos em consideração que os textos postados nessa rede social são textos produzidos pelo próprio autor do perfil e de autores diversos, como configuraremos esses espaços virtuais? Autobiográficos e biográficos? Quem escreve a página virtual é o próprio autor do perfil ou múltiplos autores? Com as redes sociais, surge um novo modelo de autobiografia e de biógrafo? Esses e tantos outros questionamentos nortearam nossas investigações e permitiram-nos conhecer um pouco mais sobre as estratégias autobiográficas dos autores virtuais contemporâneos.

  3. Brain glutamine synthesis requires neuronal-born aspartate as amino donor for glial glutamate formation.

    Science.gov (United States)

    Pardo, Beatriz; Rodrigues, Tiago B; Contreras, Laura; Garzón, Miguel; Llorente-Folch, Irene; Kobayashi, Keiko; Saheki, Takeyori; Cerdan, Sebastian; Satrústegui, Jorgina

    2011-01-01

    The glutamate-glutamine cycle faces a drain of glutamate by oxidation, which is balanced by the anaplerotic synthesis of glutamate and glutamine in astrocytes. De novo synthesis of glutamate by astrocytes requires an amino group whose origin is unknown. The deficiency in Aralar/AGC1, the main mitochondrial carrier for aspartate-glutamate expressed in brain, results in a drastic fall in brain glutamine production but a modest decrease in brain glutamate levels, which is not due to decreases in neuronal or synaptosomal glutamate content. In vivo (13)C nuclear magnetic resonance labeling with (13)C(2)acetate or (1-(13)C) glucose showed that the drop in brain glutamine is due to a failure in glial glutamate synthesis. Aralar deficiency induces a decrease in aspartate content, an increase in lactate production, and lactate-to-pyruvate ratio in cultured neurons but not in cultured astrocytes, indicating that Aralar is only functional in neurons. We find that aspartate, but not other amino acids, increases glutamate synthesis in both control and aralar-deficient astrocytes, mainly by serving as amino donor. These findings suggest the existence of a neuron-to-astrocyte aspartate transcellular pathway required for astrocyte glutamate synthesis and subsequent glutamine formation. This pathway may provide a mechanism to transfer neuronal-born redox equivalents to mitochondria in astrocytes.

  4. De Novo Heart Failure After Kidney Transplantation: Trends in Incidence and Outcomes.

    Science.gov (United States)

    Lenihan, Colin R; Liu, Sai; Deswal, Anita; Montez-Rath, Maria E; Winkelmayer, Wolfgang C

    2018-03-29

    Heart failure is an important cause of morbidity and mortality following kidney transplantation. Some studies in the general population have shown that the incidence of heart failure has decreased during the past 20 years. However, it is not currently known whether such a trend exists in the kidney transplantation population. Retrospective observational cohort study. Adult patients included in the US Renal Data System who underwent their first kidney transplantation in the United States between 1998 and 2010 with at least 6 months of continuous Medicare parts A and B coverage before transplantation and no prior evidence for a diagnosis of heart failure before kidney transplantation. Calendar year of transplantation and calendar year of posttransplantation heart failure diagnosis. De novo posttransplantation heart failure defined using International Classification of Diseases, Ninth Revision diagnosis codes and mortality following de novo posttransplantation heart failure diagnosis. Secular trends in de novo post-kidney transplantation heart failure were examined using Cox proportional hazards analysis. Within a study cohort of 48,771 patients, 7,269 developed de novo heart failure within 3 years of kidney transplantation, with a median time to heart failure of 0.76 years. The adjusted HR for heart failure with death as competing risk comparing patients who underwent transplantation in 2010 with those who underwent transplantation in 1998 was 0.69 (95% CI, 0.60-0.79). No temporal trend in mortality following a diagnosis of post-kidney transplantation heart failure was observed. Potential residual confounding from either incorrectly ascertained or unavailable confounders. The cohort was limited to Medicare beneficiaries. Adjusted for demographic and clinical characteristics, the risk for developing de novo post-kidney transplantation heart failure has declined significantly between 1998 and 2010, with no apparent change in subsequent mortality. Copyright © 2018

  5. Exploration of dual supply voltage logic synthesis in state-of-the-art ASIC design flows

    Directory of Open Access Journals (Sweden)

    T. Mahnke

    2003-01-01

    Full Text Available Dual supply voltage scaling (DSVS for logiclevel power optimization at the has increasingly attracted attention over the last few years. However, mainly due to the fact that the most widely used design tools do not support this new technique, it has still not become an integral part of real-world design flows. In this paper, a novel logic synthesis methodology that enables DSVS while relying entirely on standard tools is presented. The key to this methodology is a suitably modeled dual supply voltage (DSV standard cell library. A basic evaluation of the methodology has been carried out on a number of MCNC benchmark circuits. In all these experiments, the results of state-of-the-art powerdriven single supply voltage (SSV logic synthesis have been used as references in order to determine the true additional benefit of DSVS. Compared with the results of SSV power optimization, additional power reductions of 10% on average have been achieved. The results prove the feasibility of the new approach and reveal its greater efficiency in comparison with a well-known dedicated DSVS algorithm. Finally, the methodology has been applied to an embedded microcontroller core in order to further explore the potentials and limitations of DSVS in an existing industrial design environment.

  6. Continuous de novo biosynthesis of haem and its rapid turnover to bilirubin are necessary for cytoprotection against cell damage

    Science.gov (United States)

    Takeda, Taka-aki; Mu, Anfeng; Tai, Tran Tien; Kitajima, Sakihito; Taketani, Shigeru

    2015-01-01

    It is well known that haem serves as the prosthetic group of various haemoproteins that function in oxygen transport, respiratory chain, and drug metabolism. However, much less is known about the functions of the catabolites of haem in mammalian cells. Haem is enzymatically degraded to iron, carbon monoxide (CO), and biliverdin, which is then converted to bilirubin. Owing to difficulties in measuring bilirubin, however, the generation and transport of this end product remain unclear despite its clinical importance. Here, we used UnaG, the recently identified bilirubin-binding fluorescent protein, to analyse bilirubin production in a variety of human cell lines. We detected a significant amount of bilirubin with many non-blood cell types, which was sensitive to inhibitors of haem metabolism. These results suggest that there is a basal level of haem synthesis and its conversion into bilirubin. Remarkably, substantial changes were observed in the bilirubin generation when cells were exposed to stress insults. Since the stress-induced cell damage was exacerbated by the pharmacological blockade of haem metabolism but was ameliorated by the addition of biliverdin and bilirubin, it is likely that the de novo synthesis of haem and subsequent conversion to bilirubin play indispensable cytoprotective roles against cell damage. PMID:25990790

  7. Delft Aerospace Design Projects 2016 : Inspring Designs in Aeronautics, Astronautics and Wind Energy

    NARCIS (Netherlands)

    Melkert, J.A.

    2016-01-01

    This book presents an overview of the results of the Fall Design Synthesis Exercise 2015 and the Spring Design Synthesis Exercise of 2016, based on summaries of each of the projects. The Design Synthesis Exercise Coordination Committee, responsible for the organisation and execution of the exercise,

  8. Delft Aerospace Design Projects 2014 : New Designs in Aeronautics, Astronautics and Wind Energy

    NARCIS (Netherlands)

    Melkert, J.A.

    2014-01-01

    This book presents an overview of the results of the Fall Design Synthesis Exercise 2013 and the Spring Design Synthesis Exercise of 2014, based on summaries of each of the projects. The Design Synthesis Exercise Coordination Committee, responsible for the organisation and execution of the exercise,

  9. Process design for isolation of soybean oil bodies by applying the product-driven process synthesis methodology

    NARCIS (Netherlands)

    Zderic, A.; Taraksci, T.; Hooshyar, N.; Zondervan, E.; Meuldijk, J.

    2014-01-01

    The present work describes the product driven process synthesis (PDPS) methodology for the conceptual design of extraction of intact oil bodies from soybeans. First, in this approach consumer needs are taken into account and based on these needs application of the final product (oil bodies) is

  10. Photoreactivation of conversion and de novo suppressor mutation in Escherichia coli

    Energy Technology Data Exchange (ETDEWEB)

    Bockrath, R C; Plamer, J E [Indiana Univ., Indianapolis (USA). Dept. of Microbiology

    1977-04-01

    Studies of mutagenesis and photoreactivation in various E.coli strains have shown that conversion mutation of a mutant containing an amber suppressor to one containing an ochre suppressor is sensitive to photoreactivation. Direct photoreactivation by photoreactivating light (PRL) after uv mutagenesis reduced mutation frequencies by a factor of about 2 for each minute of exposure during the first 5 to 8 min of exposure for cells with normal repair capacity. Conversion and potential de novo suppressor mutations were about equally sensitive. For conversion, the sensitivities to PRL were identical in the repair-normal and excisions-repair-deficient strains. For de novo suppressor mutation, the rate of mutation frequency reduction by PRL in the repair-deficient strain was about one-half that in the other strains. The results suggest that ultraviolet radiation produces both de novo suppressor mutation and conversion at the sup(E,B) locus by photoreversible pyrimidine dimers in the DNA. The causative dimers could be Thy()Cyt dimers in the transcribed strand or the non-transcribed strand, respectively.

  11. The Rickettsia Endosymbiont of Ixodes pacificus Contains All the Genes of De Novo Folate Biosynthesis

    Science.gov (United States)

    Bodnar, James; Mortazavi, Bobak; Laurent, Timothy; Deason, Jeff; Thephavongsa, Khanhkeo; Zhong, Jianmin

    2015-01-01

    Ticks and other arthropods often are hosts to nutrient providing bacterial endosymbionts, which contribute to their host’s fitness by supplying nutrients such as vitamins and amino acids. It has been detected, in our lab, that Ixodes pacificus is host to Rickettsia species phylotype G021. This endosymbiont is predominantly present, and 100% maternally transmitted in I. pacificus. To study roles of phylotype G021 in I. pacificus, bioinformatic and molecular approaches were carried out. MUMmer genome alignments of whole genome sequence of I. scapularis, a close relative to I. pacificus, against completely sequenced genomes of R. bellii OSU85-389, R. conorii, and R. felis, identified 8,190 unique sequences that are homologous to Rickettsia sequences in the NCBI Trace Archive. MetaCyc metabolic reconstructions revealed that all folate gene orthologues (folA, folC, folE, folKP, ptpS) required for de novo folate biosynthesis are present in the genome of Rickettsia buchneri in I. scapularis. To examine the metabolic capability of phylotype G021 in I. pacificus, genes of the folate biosynthesis pathway of the bacterium were PCR amplified using degenerate primers. BLAST searches identified that nucleotide sequences of the folA, folC, folE, folKP, and ptpS genes possess 98.6%, 98.8%, 98.9%, 98.5% and 99.0% identity respectively to the corresponding genes of Rickettsia buchneri. Phylogenetic tree constructions show that the folate genes of phylotype G021 and homologous genes from various Rickettsia species are monophyletic. This study has shown that all folate genes exist in the genome of Rickettsia species phylotype G021 and that this bacterium has the genetic capability for de novo folate synthesis. PMID:26650541

  12. The Key Drivers behind Novo Nordisk’s Growth in the Diabetes Market in China

    Directory of Open Access Journals (Sweden)

    Hind Louiza CHITOUR

    2013-12-01

    Full Text Available To enter the Chinese Pharmaceutical market, “Big Pharma” has adopted different strategies to tackle the challenges specific to the country in terms of size, demographics, specific sales channels and logistics adjustments. While the majority of Global Pharmaceutical players have opted for an aggressive M&A approach to penetrate the Chinese market and gain local insight; the Danish Novo Nordisk has instead chosen a strategy focusing on innovation and developing its R&D structure to capitalize on the local talent pool. To illustrate Novo Nordisk’s growth strategy in the Mainland, we analyzed its competitiveness in the diabetes market by demonstrating the key drivers behind this success. We applied a various set of tools for this research: Novo Nordisk, Dong Bao Pharmaceutical executives’ interviews and personal observations accounting for the primary data, we also reviewed secondary data to perform a PEST analysis in addition to Porter’s competitive advantage model in order to extract the reasons behind Novo Nordisk’s marching success in the Mainland.

  13. Abscisic acid negatively regulates elicitor-induced synthesis of capsidiol in wild tobacco.

    Science.gov (United States)

    Mialoundama, Alexis Samba; Heintz, Dimitri; Debayle, Delphine; Rahier, Alain; Camara, Bilal; Bouvier, Florence

    2009-07-01

    In the Solanaceae, biotic and abiotic elicitors induce de novo synthesis of sesquiterpenoid stress metabolites known as phytoalexins. Because plant hormones play critical roles in the induction of defense-responsive genes, we have explored the effect of abscisic acid (ABA) on the synthesis of capsidiol, the major wild tobacco (Nicotiana plumbaginifolia) sesquiterpenoid phytoalexin, using wild-type plants versus nonallelic mutants Npaba2 and Npaba1 that are deficient in ABA synthesis. Npaba2 and Npaba1 mutants exhibited a 2-fold higher synthesis of capsidiol than wild-type plants when elicited with either cellulase or arachidonic acid or when infected by Botrytis cinerea. The same trend was observed for the expression of the capsidiol biosynthetic genes 5-epi-aristolochene synthase and 5-epi-aristolochene hydroxylase. Treatment of wild-type plants with fluridone, an inhibitor of the upstream ABA pathway, recapitulated the behavior of Npaba2 and Npaba1 mutants, while the application of exogenous ABA reversed the enhanced synthesis of capsidiol in Npaba2 and Npaba1 mutants. Concomitant with the production of capsidiol, we observed the induction of ABA 8'-hydroxylase in elicited plants. In wild-type plants, the induction of ABA 8'-hydroxylase coincided with a decrease in ABA content and with the accumulation of ABA catabolic products such as phaseic acid and dihydrophaseic acid, suggesting a negative regulation exerted by ABA on capsidiol synthesis. Collectively, our data indicate that ABA is not required per se for the induction of capsidiol synthesis but is essentially implicated in a stress-response checkpoint to fine-tune the amplification of capsidiol synthesis in challenged plants.

  14. Biogenic volatile organic compounds (BVOCs) emission of Scots pine under drought stress - a 13CO2 labeling study to determine de novo and pool emissions under different treatments

    Science.gov (United States)

    Lüpke, M.

    2015-12-01

    Plants emit biogenic volatile organic compounds (BVOCs) to e.g. communicate and to defend herbivores. Yet BVOCs also impact atmospheric chemistry processes, and lead to e.g. the built up of secondary organic aerosols. Abiotic stresses, such as drought, however highly influence plant physiology and subsequently BVOCs emission rates. In this study, we investigated the effect of drought stress on BVOCs emission rates of Scots pine trees, a de novo and pool emitter, under controlled climate chamber conditions within a dynamic enclosure system consisting of four plant chambers. Isotopic labeling with 13CO2 was used to detect which ratio of emissions of BVOCs derives from actual synthesis and from storage organs under different treatments. Additionally, the synthesis rate of the BVOCs synthesis can be determined. The experiment consisted of two campaigns (July 2015 and August 2015) of two control and two treated trees respectively in four controlled dynamic chambers simultaneously. Each campaign lasted for around 21 days and can be split into five phases: adaptation, control, dry-out, drought- and re-watering phase. The actual drought phase lasted around five days. During the campaigns two samples of BVOCs emissions were sampled per day and night on thermal desorption tubes and analyzed by a gas chromatograph coupled with a mass spectrometer and a flame ionization detector. Additionally, gas exchange of water and CO2, soil moisture, as well as leaf and chamber temperature was monitored continuously. 13CO2 labeling was performed simultaneously in all chambers during the phases control, drought and re-watering for five hours respectively. During the 13CO2 labeling four BVOCs emission samples per chamber were taken to identify the labeling rate on emitted BVOCs. First results show a decrease of BVOCs emissions during the drought phase and a recovery of emission after re-watering, as well as different strength of reduction of single compounds. The degree of labeling with 13

  15. μ-synthesis for the coupled mass benchmark problem

    DEFF Research Database (Denmark)

    Niemann, Hans Henrik; Stoustrup, J.; Tøffner-Clausen, S.

    1997-01-01

    A robust controller design for the coupled mass benchmark problem is presented in this paper. The applied design method is based on a modified D-K iteration, i.e. μ-synthesis which take care of mixed real and complex perturbations sets. This μ-synthesis method for mixed perturbation sets is a str......A robust controller design for the coupled mass benchmark problem is presented in this paper. The applied design method is based on a modified D-K iteration, i.e. μ-synthesis which take care of mixed real and complex perturbations sets. This μ-synthesis method for mixed perturbation sets...

  16. Identifying wrong assemblies in de novo short read primary ...

    Indian Academy of Sciences (India)

    2016-08-05

    Aug 5, 2016 ... Most of these assemblies are done using some de novo short read assemblers and other related approaches. .... benchmarking projects like Assemblathon 1, Assemblathon ... from a large insert library (at least 1000 bases).

  17. Dependency on de novo protein synthesis and proteomic changes during metamorphosis of the marine bryozoan Bugula neritina

    KAUST Repository

    Wong, Yue Him; Arellano, Shawn M; Zhang, Huoming; Ravasi, Timothy; Qian, Pei-Yuan

    2010-01-01

    synthesis of proteins and, instead, involves post-translational modifications of existing proteins, providing a simple mechanism to quickly initiate metamorphosis. To test our hypothesis, we challenged B. neritina larvae with transcription and translation

  18. Analysis of 60 706 Exomes Questions the Role of De Novo Variants Previously Implicated in Cardiac Disease

    DEFF Research Database (Denmark)

    Paludan-Müller, Christian; Ahlberg, Gustav; Ghouse, Jonas

    2017-01-01

    BACKGROUND: De novo variants in the exome occur at a rate of 1 per individual per generation, and because of the low reproductive fitness for de novo variants causing severe disease, the likelihood of finding these as standing variations in the general population is low. Therefore, this study...... sought to evaluate the pathogenicity of de novo variants previously associated with cardiac disease based on a large population-representative exome database. METHODS AND RESULTS: We performed a literature search for previous publications on de novo variants associated with severe arrhythmias...... trio studies (>1000 subjects). Of the monogenic variants, 11% (23/211) were present in ExAC, whereas 26% (802/3050) variants believed to increase susceptibility of disease were identified in ExAC. Monogenic de novo variants in ExAC had a total allele count of 109 and with ≈844 expected cases in Ex...

  19. De novo structural modeling and computational sequence analysis ...

    African Journals Online (AJOL)

    Different bioinformatics tools and machine learning techniques were used for protein structural classification. De novo protein modeling was performed by using I-TASSER server. The final model obtained was accessed by PROCHECK and DFIRE2, which confirmed that the final model is reliable. Until complete biochemical ...

  20. Similar prognosis of transformed and de novo diffuse large B-cell lymphomas in patients treated with immunochemotherapy.

    Science.gov (United States)

    Sorigue, Marc; Garcia, Olga; Baptista, Maria Joao; Sancho, Juan-Manuel; Tapia, Gustavo; Mate, José Luis; Feliu, Evarist; Navarro, José-Tomás; Ribera, Josep-Maria

    2017-03-22

    The prognosis of diffuse large B-cell lymphomas (DLBCL) transformed from indolent lymphoma (TL) has been considered poorer than that of de novo DLBCL. However, it seems to have improved since the introduction of rituximab. We compared the characteristics (including the cell-of-origin), and the prognosis of 29 patients with TL and 101 with de novo DLBCL treated with immunochemotherapy. Patients with TL and de novo DLBCL had similar characteristics. All TL cases evolving from follicular lymphoma were germinal-center B-cell-like, while those TL from marginal zone lymphoma or chronic lymphocytic leukemia were non-germinal-center B-cell-like. The complete response rate was similar in TL and de novo DLBCL (62 vs. 66%, P=.825). The 5-year overall and progression-free survival probabilities (95% CI) were 59% (40-78) and 41% (22-60) for TL and 63% (53-73) and 60% (50-70) for de novo DLBCL, respectively (P=.732 for overall survival and P=.169 for progression-free survival). In this study, the prognosis of TL and de novo DLBCL treated with immunochemotherapy was similar. The role of intensification with stem cell transplantation in the management of TL may be questionable in the rituximab era. Copyright © 2016 Elsevier España, S.L.U. All rights reserved.

  1. Rapid centriole assembly in Naegleria reveals conserved roles for both de novo and mentored assembly.

    Science.gov (United States)

    Fritz-Laylin, Lillian K; Levy, Yaron Y; Levitan, Edward; Chen, Sean; Cande, W Zacheus; Lai, Elaine Y; Fulton, Chandler

    2016-03-01

    Centrioles are eukaryotic organelles whose number and position are critical for cilia formation and mitosis. Many cell types assemble new centrioles next to existing ones ("templated" or mentored assembly). Under certain conditions, centrioles also form without pre-existing centrioles (de novo). The synchronous differentiation of Naegleria amoebae to flagellates represents a unique opportunity to study centriole assembly, as nearly 100% of the population transitions from having no centrioles to having two within minutes. Here, we find that Naegleria forms its first centriole de novo, immediately followed by mentored assembly of the second. We also find both de novo and mentored assembly distributed among all major eukaryote lineages. We therefore propose that both modes are ancestral and have been conserved because they serve complementary roles, with de novo assembly as the default when no pre-existing centriole is available, and mentored assembly allowing precise regulation of number, timing, and location of centriole assembly. © 2016 Wiley Periodicals, Inc.

  2. Sex pheromone in the moth Heliothis virescens is produced as a mixture of two pools: de novo and via precursor storage in glycerolipids.

    Science.gov (United States)

    Foster, Stephen P; Anderson, Karin G; Casas, Jérôme

    2017-08-01

    Most species of moths use a female-produced volatile sex pheromone, typically produced via de novo fatty acid synthesis in a specialized gland, for communication among mates. While de novo biosynthesis of pheromone (DNP) is rapid, suggesting transient precursor acids, substantial amounts of pheromone precursor (and other) acids are stored, predominantly in triacylglycerols in the pheromone gland. Whether these stored acids are converted to pheromone later or not has been the subject of some debate. Using a tracer/tracee approach, in which we fed female Heliothis virescens U- 13 C-glucose, we were able to distinguish two pools of pheromone, in which precursors were temporally separated (after and before feeding on labeled glucose): DNP synthesized from a mixed tracer/tracee acetyl CoA pool after feeding, and pheromone made from precursor acids primarily synthesized before feeding, which we call recycled precursor fat pheromone (RPP). DNP titer varied from high (during scotophase) to low (photophase) and with presence/absence of pheromone biosynthesis activating neuropeptide (PBAN), in accord with native pheromone titer previously observed. By contrast, RPP was constant throughout the photoperiod and did not change with PBAN presence/absence. The amount of RPP (6.3-10.3 ng/female) was typically much lower than that of DNP, especially during the scotophase (peak DNP, 105 ng/female). We propose an integral role for stored fats in pheromone biosynthesis, in which they are hydrolyzed and re-esterified throughout the photoperiod, with a small proportion of liberated precursor acyl CoAs being converted to pheromone. During the sexually active period, release of PBAN results in increased flux of glucose (from trehalose) and hydrolyzed acids entering the mitochondria, producing acetyl CoA precursor for de novo fat and pheromone biosynthesis. Copyright © 2017 Elsevier Ltd. All rights reserved.

  3. Identification of a novel Plasmopara halstedii elicitor protein combining de novo peptide sequencing algorithms and RACE-PCR

    Directory of Open Access Journals (Sweden)

    Madlung Johannes

    2010-05-01

    Full Text Available Abstract Background Often high-quality MS/MS spectra of tryptic peptides do not match to any database entry because of only partially sequenced genomes and therefore, protein identification requires de novo peptide sequencing. To achieve protein identification of the economically important but still unsequenced plant pathogenic oomycete Plasmopara halstedii, we first evaluated the performance of three different de novo peptide sequencing algorithms applied to a protein digests of standard proteins using a quadrupole TOF (QStar Pulsar i. Results The performance order of the algorithms was PEAKS online > PepNovo > CompNovo. In summary, PEAKS online correctly predicted 45% of measured peptides for a protein test data set. All three de novo peptide sequencing algorithms were used to identify MS/MS spectra of tryptic peptides of an unknown 57 kDa protein of P. halstedii. We found ten de novo sequenced peptides that showed homology to a Phytophthora infestans protein, a closely related organism of P. halstedii. Employing a second complementary approach, verification of peptide prediction and protein identification was performed by creation of degenerate primers for RACE-PCR and led to an ORF of 1,589 bp for a hypothetical phosphoenolpyruvate carboxykinase. Conclusions Our study demonstrated that identification of proteins within minute amounts of sample material improved significantly by combining sensitive LC-MS methods with different de novo peptide sequencing algorithms. In addition, this is the first study that verified protein prediction from MS data by also employing a second complementary approach, in which RACE-PCR led to identification of a novel elicitor protein in P. halstedii.

  4. Synthesis and applications of 13C glycerol

    International Nuclear Information System (INIS)

    Stocking, E.; Khalsa, O.; Martinez, R.A.; Silks, L.A. III

    1994-01-01

    Due in part to the use of labeled glycerol for the 13 C enrichment of biomolecules, we are currently developing new synthetic routes to various isotopomers of glycerol. Judging from our experience, traditional methods of glycerol synthesis are not easily adapted for isotopic enrichment and/or have poor overall yields (12 to 15%). Furthermore, the use of glycerol for enrichment can be prohibitively expensive and its availability is limited by the level of demand. We are presently developing a short de novo synthesis of glycerol from carbon dioxide (∼53% overall yield for four steps) and are examining the feasibility of synthesizing site-specific 13 C-labeled glycerol and dihydroxyacetone (DHA) from labeled methanol and carbon dioxide. One application of 13 C glycerol we have examined is enzymatic conversion of glycerol to glyceraldehyde-3-monophosphate or dihydroxyacetone monophosphate (DHAP) with yields ranging from 25 to 50% (as determined by NMR spectroscopy). We are also pursuing the chemical conversion of 13 C-labeled DHA to DHAP. We are especially interested in 13 C-labeled DHAP because we are investigating its use as a chemo-enzymatic precursor for both labeled 2-deoxyribose and 2-deoxyribonucleic acids

  5. Biophysical characterization of a de novo elastin

    Science.gov (United States)

    Greenland, Kelly Nicole

    Natural human elastin is found in tissue such as the lungs, arteries, and skin. This protein is formed at birth with no mechanism present to repair or supplement the initial quantity formed. As a result, the functionality and durability of elastin's elasticity is critically important. To date, the mechanics of this ability to stretch and recoil is not fully understood. This study utilizes de novo protein design to create a small library of simplistic versions of elastin-like proteins, demonstrate the elastin-like proteins, maintain elastin's functionality, and inquire into its structure using solution nuclear magnetic resonance (NMR). Elastin is formed from cross-linked tropoelastin. Therefore, the first generation of designed proteins consisted of one protein that utilized homogony of interspecies tropoelastin by using three common domains, two hydrophobic and one cross-linking domains. Basic modifications were made to open the hydrophobic region and also to make the protein easier to purify and characterize. The designed protein maintained its functionality, self-aggregating as the temperature increased. Uniquely, the protein remained self-aggregated as the temperature returned below the critical transition temperature. Self-aggregation was additionally induced by increasing salt concentrations and by modifying the pH. The protein appeared to have little secondary structure when studied with solution NMR. These results fueled a second generation of designed elastin-like proteins. This generation contained variations designed to study the cross-linking domain, one specific hydrophobic domain, and the effect of the length of the elastin-like protein. The cross-linking domain in one variation has been significantly modified while the flanking hydrophobic domains have remained unchanged. This characterization of this protein will answer questions regarding the specificity of the homologous nature of the cross-linking domain of tropoelastin across species. A second

  6. Hominoid-specific de novo protein-coding genes originating from long non-coding RNAs.

    Directory of Open Access Journals (Sweden)

    Chen Xie

    2012-09-01

    Full Text Available Tinkering with pre-existing genes has long been known as a major way to create new genes. Recently, however, motherless protein-coding genes have been found to have emerged de novo from ancestral non-coding DNAs. How these genes originated is not well addressed to date. Here we identified 24 hominoid-specific de novo protein-coding genes with precise origination timing in vertebrate phylogeny. Strand-specific RNA-Seq analyses were performed in five rhesus macaque tissues (liver, prefrontal cortex, skeletal muscle, adipose, and testis, which were then integrated with public transcriptome data from human, chimpanzee, and rhesus macaque. On the basis of comparing the RNA expression profiles in the three species, we found that most of the hominoid-specific de novo protein-coding genes encoded polyadenylated non-coding RNAs in rhesus macaque or chimpanzee with a similar transcript structure and correlated tissue expression profile. According to the rule of parsimony, the majority of these hominoid-specific de novo protein-coding genes appear to have acquired a regulated transcript structure and expression profile before acquiring coding potential. Interestingly, although the expression profile was largely correlated, the coding genes in human often showed higher transcriptional abundance than their non-coding counterparts in rhesus macaque. The major findings we report in this manuscript are robust and insensitive to the parameters used in the identification and analysis of de novo genes. Our results suggest that at least a portion of long non-coding RNAs, especially those with active and regulated transcription, may serve as a birth pool for protein-coding genes, which are then further optimized at the transcriptional level.

  7. De novo mutation in the dopamine transporter gene associates dopamine dysfunction with autism spectrum disorder

    DEFF Research Database (Denmark)

    Hamilton, P J; Campbell, N G; Sharma, S

    2013-01-01

    De novo genetic variation is an important class of risk factors for autism spectrum disorder (ASD). Recently, whole-exome sequencing of ASD families has identified a novel de novo missense mutation in the human dopamine (DA) transporter (hDAT) gene, which results in a Thr to Met substitution...

  8. Delft Aerospace Design Projects 2015 : Challenging New Designs in Aeronautics, Astronautics and Wind Energy

    NARCIS (Netherlands)

    Melkert, J.A.

    2015-01-01

    This book presents an overview of the results of the Fall Design Synthesis Exercise 2014 and the Spring Design Synthesis Exercise of 2015, based on summaries of each of the projects. The Design Synthesis Exercise Coordination Committee, responsible for the organisation and execution of the exercise,

  9. An ATP synthase harboring an atypical γ-subunit is involved in ATP synthesis in tomato fruit chromoplasts

    DEFF Research Database (Denmark)

    Pateraki, Irini; Renato, Marta; Azcõn-Bieto, Joaquín

    2013-01-01

    Chromoplasts are non-photosynthetic plastids specialized in the synthesis and accumulation of carotenoids. During fruit ripening, chloroplasts differentiate into photosynthetically inactive chromoplasts in a process characterized by the degradation of the thylakoid membranes, and by the active...... synthesis and accumulation of carotenoids. This transition renders chromoplasts unable to photochemically synthesize ATP, and therefore these organelles need to obtain the ATP required for anabolic processes through alternative sources. It is widely accepted that the ATP used for biosynthetic processes...... in non-photosynthetic plastids is imported from the cytosol or is obtained through glycolysis. In this work, however, we show that isolated tomato (Solanum lycopersicum) fruit chromoplasts are able to synthesize ATP de novo through a respiratory pathway using NADPH as an electron donor. We also report...

  10. Contribution to the study of 14C-acetate as the precursor of aminoacids in detached leaves of coffee (Coffea arabica cv. Mundo Novo)

    International Nuclear Information System (INIS)

    Brasil, O.G.

    1975-01-01

    Labelled acetates with 14 C were used as the forerunner of aminoacids in leaves of coffee (Coffea arabica cv Mundo Novo). Leaves with the labelled acetates were incubated and released CO 2 was retained in paper discs with hiamine for further radioactivity detection. Separated proteins furnished 13 amino-acids through acid hidrolysis, all of them were identified by bidimensional filter paper chromatography. Through the obtained results it is possible to conclude that acetates are metabolized by the leafs and are related to the processes of leaf synthesis. It was possible to show that an utilization of acetate for energetical production via Krebs cycle was donne. The obtained conclusions show too that methylic carbon was more incorporated than carboxylic carbon [pt

  11. Induction of vitellogenin synthesis by estrogen in avian liver: relationship between level of vitellogenin mRNA and vitellogenin synthesis.

    Science.gov (United States)

    Mullinix, K P; Wetekam, W; Deeley, R G; Gordon, J I; Meyers, M; Kent, K A; Goldberger, R F

    1976-01-01

    We have investigated the estrogen-mediated induction of vitellogenin synthesis in rooster liver. We compared the concentrations of vitellogenin messenger RNA (mRNA) in the liver with the concentrations of vitellogenin in the sera of roosters that had recieved various treatments with estrogen. We found no vitellogenin mRNA in the livers of the unstimulated roosters. An initial injection of estrogen was attended by de novo synthesis of vitellogenin mRNA in the liver and accumulation of vitellogenin in the serum. When vitellogenin was no longer present in the serum or liver (the "post-estrogen-serum-negative" state), the liver was found to contain appreciable amounts of vitellogenin mRNA. This mRNA was of the same size as that found in the liver of the rooster actively synthesizing vitellogenin in response to estrogen. Whereas vitellogenin mRNA was in large polysomes in the livers of the roosters actively synthesizing vitellogenin, the vitellogenin mRNA in the liver of the post-estrogen-serum-negative rooster was not associated with polysomes. The possible relevance of these findings to the fact that the rooster responds differently to a primary stimulation with estrogen than to subsequent stimulations is discussed. PMID:1064017

  12. Biochemical characterization of GDP-L-fucose de novo synthesis pathway in fungus Mortierella alpina

    International Nuclear Information System (INIS)

    Ren, Yan; Perepelov, Andrei V.; Wang, Haiyan; Zhang, Hao; Knirel, Yuriy A.; Wang, Lei; Chen, Wei

    2010-01-01

    Mortierella alpina is a filamentous fungus commonly found in soil, which is able to produce large amount of polyunsaturated fatty acids. L-Fucose is an important sugar found in a diverse range of organisms, playing a variety of biological roles. In this study, we characterized the de novo biosynthetic pathway of GDP-L-fucose (the nucleotide-activated form of L-fucose) in M. alpina. Genes encoding GDP-D-mannose 4,6-dehydratase (GMD) and GDP-keto-6-deoxymannose 3,5-epimerase/4-reductase (GMER) were expressed heterologously in Escherichia coli. The recombinant enzymes were produced as His-tagged fusion proteins. Conversion of GDP-mannose to GDP-4-keto-6-deoxy mannose by GMD and GDP-4-keto-6-deoxy mannose to GDP-L-fucose by GMER were analyzed by capillary electrophoresis, electro-spray ionization-mass spectrometry, and nuclear magnetic resonance spectroscopy. The k m values of GMD for GDP-mannose and GMER for GDP-4-keto-6-deoxy mannose were determined to be 0.77 mM and 1.047 mM, respectively. Both NADH and NADPH may be used by GMER as the coenzyme. The optimum temperature and pH were determined to be 37 o C and pH 9.0 (GMD) or pH 7.0 (GMER). Divalent cations are not required for GMD and GMER activity, and the activities of both enzymes may be enhanced by DTT. To our knowledge this is the first report on the characterization of GDP-L-fucose biosynthetic pathway in fungi.

  13. Identification of optimum sequencing depth especially for de novo genome assembly of small genomes using next generation sequencing data.

    Science.gov (United States)

    Desai, Aarti; Marwah, Veer Singh; Yadav, Akshay; Jha, Vineet; Dhaygude, Kishor; Bangar, Ujwala; Kulkarni, Vivek; Jere, Abhay

    2013-01-01

    Next Generation Sequencing (NGS) is a disruptive technology that has found widespread acceptance in the life sciences research community. The high throughput and low cost of sequencing has encouraged researchers to undertake ambitious genomic projects, especially in de novo genome sequencing. Currently, NGS systems generate sequence data as short reads and de novo genome assembly using these short reads is computationally very intensive. Due to lower cost of sequencing and higher throughput, NGS systems now provide the ability to sequence genomes at high depth. However, currently no report is available highlighting the impact of high sequence depth on genome assembly using real data sets and multiple assembly algorithms. Recently, some studies have evaluated the impact of sequence coverage, error rate and average read length on genome assembly using multiple assembly algorithms, however, these evaluations were performed using simulated datasets. One limitation of using simulated datasets is that variables such as error rates, read length and coverage which are known to impact genome assembly are carefully controlled. Hence, this study was undertaken to identify the minimum depth of sequencing required for de novo assembly for different sized genomes using graph based assembly algorithms and real datasets. Illumina reads for E.coli (4.6 MB) S.kudriavzevii (11.18 MB) and C.elegans (100 MB) were assembled using SOAPdenovo, Velvet, ABySS, Meraculous and IDBA-UD. Our analysis shows that 50X is the optimum read depth for assembling these genomes using all assemblers except Meraculous which requires 100X read depth. Moreover, our analysis shows that de novo assembly from 50X read data requires only 6-40 GB RAM depending on the genome size and assembly algorithm used. We believe that this information can be extremely valuable for researchers in designing experiments and multiplexing which will enable optimum utilization of sequencing as well as analysis resources.

  14. Design of Safety Injection Tanks Using Axiomatic Design and TRIZ

    International Nuclear Information System (INIS)

    Heo, Gyunyoung; Jeong, Yong Hoon

    2008-01-01

    Design can be categorized into two steps: 'synthesis' and 'analysis'. While synthesis is the process of decision-making on design parameters, analysis is the process of optimizing the parameters selected. It is known from experience that the mistakes made in the synthesis process are hardly corrected in the analysis process. 'Systematic synthesis' is, therefore, easy to overlook but an important topic. 'Systematic' is interpreted as 'minimizing' uncertainty and subjectivity. This paper will introduce the design product achieved by using Axiomatic Design (AD) and TRIZ (Theory of Inventive Problem Solving romanized acronym for Russian), which is a new design of Safety Injection Tank (SIT). In designing a large-capacity SIT which should play an important role in mitigating the large break loss of coolant accidents, there are three issues: 1) the excessively large plenum for pressurized nitrogen gas; 2) the difficulties maintaining the high initial injection flow rate; and 3) the non-condensable nitrogen gas in the coolant. This study proposes a conceptual idea for SITs that are pressurized by the chemical reaction of solid propellants. The AD theory and the principles of TRIZ enable new approach in problem-solving for those three issues in an innovative way. The paper made an effort to clarify the systematic synthesis process to reach the final design solution. (authors)

  15. A glance at quality score: implication for de novo transcriptome reconstruction of Illumina reads

    Directory of Open Access Journals (Sweden)

    Stanley Kimbung Mbandi

    2014-02-01

    Full Text Available Downstream analyses of short-reads from next-generation sequencing platforms are often preceded by a pre-processing step that removes uncalled and wrongly called bases. Standard approaches rely on their associated base quality scores to retain the read or a portion of it when the score is above a predefined threshold. It is difficult to differentiate sequencing error from biological variation without a reference using quality scores. The effects of quality score based trimming have not been systematically studied in de novo transcriptome assembly. Using RNA-Seq data produced from Illumina, we teased out the effects of quality score base filtering or trimming on de novo transcriptome reconstruction. We showed that assemblies produced from reads subjected to different quality score thresholds contain truncated and missing transfrags when compared to those from untrimmed reads. Our data supports the fact that de novo assembling of untrimmed data is challenging for de Bruijn graph assemblers. However, our results indicates that comparing the assemblies from untrimmed and trimmed read subsets can suggest appropriate filtering parameters and enable selection of the optimum de novo transcriptome assembly in non-model organisms.

  16. Between generative prototyping and work of synthesis in design: Interplay and adding value in the early concept development

    DEFF Research Database (Denmark)

    Cramer-Petersen, Claus Lundgaard

    2013-01-01

    The paper analyzes a case in which generative prototypes are applied as part of a participatory design methodology to elicit insights from practitioners, and how these insights are translated and represented, to inform the following work of synthesis in design. In literature, arguments are made...... iterations. The paper concludes, that the methodology can frame a process of eliciting explicit and implicit knowledge from different sources, but that the designer, as being part of the entire process, comes to hold ‘sticky’ knowledge that difficult to transfer, which implicitly influences the design...

  17. Design and Synthesis of Distillation Systems using a Driving Force Based Approach

    DEFF Research Database (Denmark)

    Bek-Pedersen, Erik; Gani, Rafiqul

    2004-01-01

    A new integrated framework for synthesis, design and operation of distillation-based separation schemes is presented here. This framework is based on the driving force approach, which provides a measure of the differences in chemical/physical properties between two co-existing phases...... in a separation unit. A set of algorithms has been developed within this framework for design of simple as well as complex distillation columns, for the sequencing of distillation trains, for the determination of appropriate conditions of operation and for retrofit of distillation columns. The main feature of all...... these algorithms is that they provide a simple "visual" method to obtain near-optimal solutions in terms of energy consumption without rigorous simulation and/or optimisation. Several illustrative examples highlighting the application of the integrated approach are also presented. (C) 2003 Published by Elsevier B.V....

  18. 76 FR 68767 - Draft Guidance for Industry and Food and Drug Administration Staff; De Novo Classification...

    Science.gov (United States)

    2011-11-07

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES Food and Drug Administration [Docket No. FDA-2011-D-0689] Draft Guidance for Industry and Food and Drug Administration Staff; De Novo Classification Process... for Industry and Food and Drug Administration Staff; De Novo Classification Process (Evaluation of...

  19. The relationship between protein synthesis and protein degradation in object recognition memory.

    Science.gov (United States)

    Furini, Cristiane R G; Myskiw, Jociane de C; Schmidt, Bianca E; Zinn, Carolina G; Peixoto, Patricia B; Pereira, Luiza D; Izquierdo, Ivan

    2015-11-01

    For decades there has been a consensus that de novo protein synthesis is necessary for long-term memory. A second round of protein synthesis has been described for both extinction and reconsolidation following an unreinforced test session. Recently, it was shown that consolidation and reconsolidation depend not only on protein synthesis but also on protein degradation by the ubiquitin-proteasome system (UPS), a major mechanism responsible for protein turnover. However, the involvement of UPS on consolidation and reconsolidation of object recognition memory remains unknown. Here we investigate in the CA1 region of the dorsal hippocampus the involvement of UPS-mediated protein degradation in consolidation and reconsolidation of object recognition memory. Animals with infusion cannulae stereotaxically implanted in the CA1 region of the dorsal hippocampus, were exposed to an object recognition task. The UPS inhibitor β-Lactacystin did not affect the consolidation and the reconsolidation of object recognition memory at doses known to affect other forms of memory (inhibitory avoidance, spatial learning in a water maze) while the protein synthesis inhibitor anisomycin impaired the consolidation and the reconsolidation of the object recognition memory. However, β-Lactacystin was able to reverse the impairment caused by anisomycin on the reconsolidation process in the CA1 region of the hippocampus. Therefore, it is possible to postulate a direct link between protein degradation and protein synthesis during the reconsolidation of the object recognition memory. Copyright © 2015 Elsevier B.V. All rights reserved.

  20. De novo insertions and deletions of predominantly paternal origin are associated with autism spectrum disorder

    Science.gov (United States)

    Dong, Shan; Walker, Michael F.; Carriero, Nicholas J.; DiCola, Michael; Willsey, A. Jeremy; Ye, Adam Y.; Waqar, Zainulabedin; Gonzalez, Luis E.; Overton, John D.; Frahm, Stephanie; Keaney, John F.; Teran, Nicole A.; Dea, Jeanselle; Mandell, Jeffrey D.; Bal, Vanessa Hus; Sullivan, Catherine A.; DiLullo, Nicholas M.; Khalil, Rehab O.; Gockley, Jake; Yuksel, Zafer; Sertel, Sinem M.; Ercan-Sencicek, A. Gulhan; Gupta, Abha R.; Mane, Shrikant M.; Sheldon, Michael; Brooks, Andrew I.; Roeder, Kathryn; Devlin, Bernie; State, Matthew W.; Wei, Liping; Sanders, Stephan J.

    2014-01-01

    SUMMARY Whole-exome sequencing (WES) studies have demonstrated the contribution of de novo loss-of-function single nucleotide variants to autism spectrum disorders (ASD). However, challenges in the reliable detection of de novo insertions and deletions (indels) have limited inclusion of these variants in prior analyses. Through the application of a robust indel detection method to WES data from 787 ASD families (2,963 individuals), we demonstrate that de novo frameshift indels contribute to ASD risk (OR=1.6; 95%CI=1.0-2.7; p=0.03), are more common in female probands (p=0.02), are enriched among genes encoding FMRP targets (p=6×10−9), and arise predominantly on the paternal chromosome (p<0.001). Based on mutation rates in probands versus unaffected siblings, de novo frameshift indels contribute to risk in approximately 3.0% of individuals with ASD. Finally, through observing clustering of mutations in unrelated probands, we report two novel ASD-associated genes: KMT2E (MLL5), a chromatin regulator, and RIMS1, a regulator of synaptic vesicle release. PMID:25284784

  1. Design, Synthesis and Evaluation of 2,5-Diketopiperazines as Inhibitors of the MDM2-p53 Interaction.

    Directory of Open Access Journals (Sweden)

    Mariell Pettersson

    Full Text Available The transcription factor p53 is the main tumour suppressor in cells and many cancer types have p53 mutations resulting in a loss of its function. In tumours that retain wild-type p53 function, p53 activity is down-regulated by MDM2 (human murine double minute 2 via a direct protein-protein interaction. We have designed and synthesised two series of 2,5-diketopiperazines as inhibitors of the MDM2-p53 interaction. The first set was designed to directly mimic the α-helical region of the p53 peptide, containing key residues in the i, i+4 and i+7 positions of a natural α-helix. Conformational analysis indicated that 1,3,6-trisubstituted 2,5-diketopiperazines were able to place substituents in the same spatial orientation as an α-helix template. The key step of the synthesis involved the cyclisation of substituted dipeptides. The other set of tetrasubstituted 2,5-diketopiperazines were designed based on structure-based docking studies and the Ugi multicomponent reaction was used for the synthesis. This latter set comprised the most potent inhibitors which displayed micromolar IC50-values in a biochemical fluorescence polarisation assay.

  2. Green Synthesis of Ultraviolet Absorber 2-Ethylhexyl Salicylate: Experimental Design and Artificial Neural Network Modeling

    Directory of Open Access Journals (Sweden)

    Shang-Ming Huang

    2017-11-01

    Full Text Available 2-Ethylhexyl salicylate, an ultraviolet filter, is widely used to protect skin against sunlight-induced harmful effects in the cosmetic industry. In this study, the green synthesis of 2-ethylhexyl salicylate using immobilized lipase through a solvent-free and reduced pressure evaporation system was investigated. A Box–Behnken design was employed to develop an artificial neural network (ANN model. The parameters for an optimal architecture of an ANN were set out: a quick propagation algorithm, a hyperbolic tangent transfer function, 10,000 iterations, and six nodes within the hidden layer. The best-fitting performance of the ANN was determined by the coefficient of determination and the root-mean-square error between the correlation of predicted and experimental data, indicating that the ANN displayed excellent data-fitting properties. Finally, the experimental conditions of synthesis were well established with the optimal parameters to obtain a high conversion of 2-ethylhexyl salicylate. In conclusion, this study efficiently replaces the traditional solvents with a green process for the synthesis of 2-ethylhexyl salicylate to avoid environmental contamination, and this process is well-modeled by a methodological ANN for optimization, which might be a benefit for industrial production.

  3. De novo-based transcriptome profiling of male-sterile and fertile watermelon lines.

    Science.gov (United States)

    Rhee, Sun-Ju; Kwon, Taehyung; Seo, Minseok; Jang, Yoon Jeong; Sim, Tae Yong; Cho, Seoae; Han, Sang-Wook; Lee, Gung Pyo

    2017-01-01

    The whole-genome sequence of watermelon (Citrullus lanatus (Thunb.) Matsum. & Nakai), a valuable horticultural crop worldwide, was released in 2013. Here, we compared a de novo-based approach (DBA) to a reference-based approach (RBA) using RNA-seq data, to aid in efforts to improve the annotation of the watermelon reference genome and to obtain biological insight into male-sterility in watermelon. We applied these techniques to available data from two watermelon lines: the male-sterile line DAH3615-MS and the male-fertile line DAH3615. Using DBA, we newly annotated 855 watermelon transcripts, and found gene functional clusters predicted to be related to stimulus responses, nucleic acid binding, transmembrane transport, homeostasis, and Golgi/vesicles. Among the DBA-annotated transcripts, 138 de novo-exclusive differentially-expressed genes (DEDEGs) related to male sterility were detected. Out of 33 randomly selected newly annotated transcripts and DEDEGs, 32 were validated by RT-qPCR. This study demonstrates the usefulness and reliability of the de novo transcriptome assembly in watermelon, and provides new insights for researchers exploring transcriptional blueprints with regard to the male sterility.

  4. TRANSPORT OF PATIENTS FOR PRIMARY PTCA FROM GENERAL HOSPITAL NOVO MESTO TO LJUBLJANA IN 2002

    Directory of Open Access Journals (Sweden)

    Renata Okrajšek

    2004-12-01

    Full Text Available Background. The treatment of acute coronary syndrome (ACS with ST-segment elevation with primary percutaneous transluminal coronary angioplasty (PTCA is the best way to treat these patients. Primary PTCA is also practicable with patients who are admitted into institution without catheter laboratory. The transport of patients into the tertiary institution is safe, but it is important to keep the time of ischemia as short as possible and to reach the time interval of door-balloon as recommended by the guidelines. The ACS patients with ST-segment elevation that were directed into General Hospital Novo mesto after examination at the internistic emergency department have been redirected to KC Ljubljana for realization of PTCA since October 2001.Methods. A prospective analysis of patients with ACS with STsegment elevation, who had been transferred from General Hospital Novo mesto to KC Ljubljana in the period from January 1, 2002 to December 31, 2002 to have a primary PTCA, was performed. The analysis comprised the following: the time interval of handling the patients at Internistic department of General Hospital Novo mesto, the time of transport of patients to Ljubljana and total time interval from the arrival of patients to General Hospital Novo mesto to the first inflation of balloon in Ljubljana. We monitored the complications that occurred during the treatment of the patients.Results. In the above mentioned period 29 patients (24 males and 5 females were transported from the General Hospital Novo mesto to the KC Ljubljana to have a primary PTCA performed. The total time interval measured between the patients’ arrival to General Hospital Novo mesto to the first inflation of balloon in Ljubljana in the year 2002 was 145 minutes, which is 17 minutes better than in the previous period. The time interval recommended by the guidelines was achieved with four patients.Conclusions. By recognizing the problems that had encountered with directing the

  5. Illumina-based de novo transcriptome sequencing and analysis

    Indian Academy of Sciences (India)

    In the present study, we used Illumina HiSeq technology to perform de novo assembly of heart and musk gland transcriptomes from the Chinese forest musk deer. A total of 239,383 transcripts and 176,450 unigenes were obtained, of which 37,329 unigenes were matched to known sequences in the NCBI nonredundant ...

  6. De novo design of chiral organotin cancer drug candidates: validation of enantiopreferential binding to molecular target DNA and 5'-GMP by UV-visible, fluorescence, (1)H and (31)P NMR.

    Science.gov (United States)

    Arjmand, Farukh; Sharma, Girish Chandra; Sayeed, Fatima; Muddassir, Mohd; Tabassum, Sartaj

    2011-12-02

    N,N-bis[(R-/S-)-1-benzyl-2-ethoxyethane] tin (IV) complexes were synthesized by applying de novo design strategy by the condensation reaction of (R-/S-)2-amino-2-phenylethanol and dibromoethane in presence of dimethyltin dichloride and thoroughly characterized by elemental analysis, conductivity measurements, IR, ESI-MS, (1)H, (13)C and (119)Sn, multinuclear NMR spectroscopy and XRD study. Enantioselective and specific binding profile of R-enantiomer 1 in comparison to S-enantiomer 2 with ultimate molecular target CT-DNA was validated by UV-visible, fluorescence, circular dichroism, (1)H and (31)P NMR techniques. This was further corroborated well by interaction of 1 and 2 with 5'-GMP. Copyright © 2011 Elsevier B.V. All rights reserved.

  7. Sequential logic analysis and synthesis

    CERN Document Server

    Cavanagh, Joseph

    2007-01-01

    Until now, there was no single resource for actual digital system design. Using both basic and advanced concepts, Sequential Logic: Analysis and Synthesis offers a thorough exposition of the analysis and synthesis of both synchronous and asynchronous sequential machines. With 25 years of experience in designing computing equipment, the author stresses the practical design of state machines. He clearly delineates each step of the structured and rigorous design principles that can be applied to practical applications. The book begins by reviewing the analysis of combinatorial logic and Boolean a

  8. De novo biosynthesis of anthocyanins in Saccharomyces cerevisiae.

    Science.gov (United States)

    Eichenberger, Michael; Hansson, Anders; Fischer, David; Dürr, Lara; Naesby, Michael

    2018-06-01

    Anthocyanins (ACNs) are plant secondary metabolites responsible for most of the red, purple and blue colors of flowers, fruits and vegetables. They are increasingly used in the food and beverage industry as natural alternative to artificial colorants. Production of these compounds by fermentation of microorganisms would provide an attractive alternative. In this study, Saccharomyces cerevisiae was engineered for de novo production of the three basic anthocyanins, as well as the three main trans-flavan-3-ols. Enzymes from different plant sources were screened and efficient variants found for most steps of the biosynthetic pathway. However, the anthocyanidin synthase was identified as a major obstacle to efficient production. In yeast, this enzyme converts the majority of its natural substrates leucoanthocyanidins into the off-pathway flavonols. Nonetheless, de novo biosynthesis of ACNs was shown for the first time in yeast and for the first time in a single microorganism. It provides a framework for optimizing the activity of anthocyanidin synthase and represents an important step towards sustainable industrial production of these highly relevant molecules in yeast.

  9. Os novos horizontes da narrativa publicitária: haiku ou (r)evolução?

    OpenAIRE

    Alves, Márcia Renata Queirós da Silva

    2014-01-01

    Relatório de atividade profissional de mestrado em Ciências da Comunicação A publicidade foi acompanhando os novos estilos de vida e hábitos do universo do consumo, tornando-se mais imediata, concisa e intuitiva. Vivemos na era dos elevator pitch ou elevator speech 1, como também são conhecidos, dos igniting talks (eventos de apresentação com regras rígidas de duração – 5 minutos/20 slides), do easy-friendly (expressão em voga transversal ao design, à arquitetura e à arquitetura d...

  10. Design of Safety Injection Tanks Using Axiomatic Design and TRIZ

    Energy Technology Data Exchange (ETDEWEB)

    Heo, Gyunyoung [Kyung Hee University, 1 Seocheon-dong, Giheung-gu, Yongin-si, Gyeonggi-do, 446-701 (Korea, Republic of); Jeong, Yong Hoon [Korea Advanced Institute of Science and Technology, 373-1 Guseong-dong, Yuseong-gu, Daejeon, 305-701 (Korea, Republic of)

    2008-07-01

    Design can be categorized into two steps: 'synthesis' and 'analysis'. While synthesis is the process of decision-making on design parameters, analysis is the process of optimizing the parameters selected. It is known from experience that the mistakes made in the synthesis process are hardly corrected in the analysis process. 'Systematic synthesis' is, therefore, easy to overlook but an important topic. 'Systematic' is interpreted as 'minimizing' uncertainty and subjectivity. This paper will introduce the design product achieved by using Axiomatic Design (AD) and TRIZ (Theory of Inventive Problem Solving romanized acronym for Russian), which is a new design of Safety Injection Tank (SIT). In designing a large-capacity SIT which should play an important role in mitigating the large break loss of coolant accidents, there are three issues: 1) the excessively large plenum for pressurized nitrogen gas; 2) the difficulties maintaining the high initial injection flow rate; and 3) the non-condensable nitrogen gas in the coolant. This study proposes a conceptual idea for SITs that are pressurized by the chemical reaction of solid propellants. The AD theory and the principles of TRIZ enable new approach in problem-solving for those three issues in an innovative way. The paper made an effort to clarify the systematic synthesis process to reach the final design solution. (authors)

  11. Safe taste memory consolidation is disrupted by a protein synthesis inhibitor in the nucleus accumbens shell.

    Science.gov (United States)

    Pedroza-Llinás, R; Ramírez-Lugo, L; Guzmán-Ramos, K; Zavala-Vega, S; Bermúdez-Rattoni, F

    2009-07-01

    Consolidation is the process by which a new memory is stabilized over time, and is dependent on de novo protein synthesis. A useful model for studying memory formation is gustatory memory, a type of memory in which a novel taste may become either safe by not being followed by negative consequences (attenuation of neophobia, AN), or aversive by being followed by post-digestive malaise (conditioned taste aversion, CTA). Here we evaluated the effects of the administration of a protein synthesis inhibitor in the nucleus accumbens (NAc) shell for either safe or aversive taste memory trace consolidation. To test the effects on CTA and AN of protein synthesis inhibition, anisomycin (100microg/microl) was bilaterally infused into the NAc shell of Wistar rats' brains. We found that post-trial protein synthesis blockade impaired the long-term safe taste memory. However, protein synthesis inhibition failed to disrupt the long-term memory of CTA. In addition, we infused anisomycin in the NAc shell after the pre-exposure to saccharin in a latent inhibition of aversive taste. We found that the protein synthesis inhibition impaired the consolidation of safe taste memory, allowing the aversive taste memory to form and consolidate. Our results suggest that protein synthesis is required in the NAc shell for consolidation of safe but not aversive taste memories, supporting the notion that consolidation of taste memory is processed in several brain regions in parallel, and implying that inhibitory interactions between both taste memory traces do occur.

  12. A TURBO-GENERATOR DESIGN SYNTHESIS BASED ON THE NUMERICAL-FIELD CALCULATIONS AT VARYING THE NUMBER OF STATOR SLOTS

    Directory of Open Access Journals (Sweden)

    V. I. Milykh

    2016-12-01

    Full Text Available Purpose. The work is dedicated to the presentation of the principle of construction and implementation of an automated synthesis system of the turbo-generator (TG electromagnetic system in the case of its modernization. This is done on the example of changing the number of the stator core slots. Methodology. The basis of the synthesis is a TG basic construction. Its structure includes the mathematical and physical-geometrical models, as well as the calculation model for the FEMM software environment, providing the numerical calculations of the magnetic fields and electromagnetic parameters of TG. The mathematical model links the changing and basic dimensions and parameters of the electromagnetic system, provided that the TG power parameters are ensured. The physical-geometrical model is the geometric mapping of the electromagnetic system with the specified physical properties of its elements. This model converts the TG electromagnetic system in a calculation model for the FEMM program. Results. Testing of the created synthesis system is carried out on the example of the 340 MW TG. The geometric, electromagnetic and power parameters of its basic construction and its new variants at the different numbers of the stator slots are compared. The harmonic analysis of the temporal function of the stator winding EMF is also made for the variants being compared. Originality. The mathematical model, relating the new and base parameters of TG at the changing of the number of the stator slots is created. A Lua script, providing the numerical-field calculations of the TG electromagnetic parameters in the FEMM software environment is worked out. Construction of the constructive and calculation models, the numerical-field calculations and delivery of results are performed by a computer automatically, that ensures high efficiency of the TG design process. Practical value. The considered version of the TG modernization on the example of changing the number of the

  13. Structural and functional properties of designed globins

    Indian Academy of Sciences (India)

    De novo design of artificial proteins is an essential approach to elucidate the principles of protein architecture and to understand specific functions of natural proteins and also to yield novel molecules for medical and industrial aims. We have designed artificial sequences of 153 amino acids to fit the main-chain framework of ...

  14. Synthesis and applications of {sup 13}C glycerol

    Energy Technology Data Exchange (ETDEWEB)

    Stocking, E.; Khalsa, O.; Martinez, R.A.; Silks, L.A. III [Los Alamos National Laboratory, NM (United States)

    1994-12-01

    Due in part to the use of labeled glycerol for the {sup 13}C enrichment of biomolecules, we are currently developing new synthetic routes to various isotopomers of glycerol. Judging from our experience, traditional methods of glycerol synthesis are not easily adapted for isotopic enrichment and/or have poor overall yields (12 to 15%). Furthermore, the use of glycerol for enrichment can be prohibitively expensive and its availability is limited by the level of demand. We are presently developing a short de novo synthesis of glycerol from carbon dioxide ({approximately}53% overall yield for four steps) and are examining the feasibility of synthesizing site-specific {sup 13}C-labeled glycerol and dihydroxyacetone (DHA) from labeled methanol and carbon dioxide. One application of {sup 13}C glycerol we have examined is enzymatic conversion of glycerol to glyceraldehyde-3-monophosphate or dihydroxyacetone monophosphate (DHAP) with yields ranging from 25 to 50% (as determined by NMR spectroscopy). We are also pursuing the chemical conversion of {sup 13}C-labeled DHA to DHAP. We are especially interested in {sup 13}C-labeled DHAP because we are investigating its use as a chemo-enzymatic precursor for both labeled 2-deoxyribose and 2-deoxyribonucleic acids.

  15. Abscisic Acid Negatively Regulates Elicitor-Induced Synthesis of Capsidiol in Wild Tobacco1[W

    Science.gov (United States)

    Mialoundama, Alexis Samba; Heintz, Dimitri; Debayle, Delphine; Rahier, Alain; Camara, Bilal; Bouvier, Florence

    2009-01-01

    In the Solanaceae, biotic and abiotic elicitors induce de novo synthesis of sesquiterpenoid stress metabolites known as phytoalexins. Because plant hormones play critical roles in the induction of defense-responsive genes, we have explored the effect of abscisic acid (ABA) on the synthesis of capsidiol, the major wild tobacco (Nicotiana plumbaginifolia) sesquiterpenoid phytoalexin, using wild-type plants versus nonallelic mutants Npaba2 and Npaba1 that are deficient in ABA synthesis. Npaba2 and Npaba1 mutants exhibited a 2-fold higher synthesis of capsidiol than wild-type plants when elicited with either cellulase or arachidonic acid or when infected by Botrytis cinerea. The same trend was observed for the expression of the capsidiol biosynthetic genes 5-epi-aristolochene synthase and 5-epi-aristolochene hydroxylase. Treatment of wild-type plants with fluridone, an inhibitor of the upstream ABA pathway, recapitulated the behavior of Npaba2 and Npaba1 mutants, while the application of exogenous ABA reversed the enhanced synthesis of capsidiol in Npaba2 and Npaba1 mutants. Concomitant with the production of capsidiol, we observed the induction of ABA 8′-hydroxylase in elicited plants. In wild-type plants, the induction of ABA 8′-hydroxylase coincided with a decrease in ABA content and with the accumulation of ABA catabolic products such as phaseic acid and dihydrophaseic acid, suggesting a negative regulation exerted by ABA on capsidiol synthesis. Collectively, our data indicate that ABA is not required per se for the induction of capsidiol synthesis but is essentially implicated in a stress-response checkpoint to fine-tune the amplification of capsidiol synthesis in challenged plants. PMID:19420326

  16. Designing the molecular future

    OpenAIRE

    Schneider Gisbert

    2011-01-01

    Approximately 25 years ago the first computer applications were conceived for the purpose of automated 'de novo' drug design prominent pioneering tools being ALADDIN CAVEAT GENOA and DYLOMMS. Many of these early concepts were enabled by innovative techniques for ligand receptor interaction modeling like GRID MCSS DOCK and CoMFA which still provide the theoretical framework for several more recently developed molecular design algorithms. After a first wave of software tools and groundbreaking ...

  17. Designing Selectivity in Metal-Semiconductor Nanocrystals: Synthesis, Characterization, and Self-Assembly

    Science.gov (United States)

    Pavlopoulos, Nicholas George

    This dissertation contains six chapters detailing recent advances that have been made in the synthesis and characterization of metal-semiconductor hybrid nanocrystals (HNCs), and the applications of these materials. Primarily focused on the synthesis of well-defined II-VI semiconductor nanorod (NR) and tetrapod (TP) based constructs of interest for photocatalytic and solar energy applications, the research described herein discusses progress towards the realization of key design rules for the synthesis of functional semiconductor nanocrystals (NCs). As such, a blend of novel synthesis, advanced characterization, and direct application of heterostructured nanoparticles are presented. The first chapter is a review summarizing the design, synthesis, properties, and applications of multicomponent nanomaterials composed of disparate semiconductor and metal domains. By coupling two compositionally distinct materials onto a single nanocrystal, synergistic properties can arise that are not present in the isolated components, ranging from self-assembly to photocatalysis. For semiconductor nanomaterials, this was first realized in the ability to tune nanomaterial dimensions from 0-D quantum dot (QD) structures to cylindrical (NR) and branched (TP) structures by exploitation of advanced colloidal synthesis techniques and understandings of NC facet reactivities. The second chapter is focused on the synthesis and characterization of well-defined CdSe-seeded-CdS (CdSe CdS) NR systems synthesized by overcoating of wurtzite (W) CdSe quantum dots with W-CdS shells. 1-dimensional NRs have been interesting constructs for applications such as solar concentrators, optical gains, and photocatalysis. Through synthetic control over CdSe CdS NR systems, materials with small and large CdSe seeds were prepared, and for each seed size, multiple NR lengths were prepared. Through transient absorption studies, it was found that band alignment did not affect the efficiency of charge localization

  18. Associations between Familial Rates of Psychiatric Disorders and De Novo Genetic Mutations in Autism

    Directory of Open Access Journals (Sweden)

    Kyleen Luhrs

    2017-01-01

    Full Text Available The purpose of this study was to examine the confluence of genetic and familial risk factors in children with Autism Spectrum Disorder (ASD with distinct de novo genetic events. We hypothesized that gene-disrupting mutations would be associated with reduced rates of familial psychiatric disorders relative to structural mutations. Participants included families of children with ASD in four groups: de novo duplication copy number variations (DUP, n=62, de novo deletion copy number variations (DEL, n=74, de novo likely gene-disrupting mutations (LGDM, n=267, and children without a known genetic etiology (NON, n=2111. Familial rates of psychiatric disorders were calculated from semistructured interviews. Results indicated overall increased rates of psychiatric disorders in DUP families compared to DEL and LGDM families, specific to paternal psychiatric histories, and particularly evident for depressive disorders. Higher rates of depressive disorders in maternal psychiatric histories were observed overall compared to paternal histories and higher rates of anxiety disorders were observed in paternal histories for LGDM families compared to DUP families. These findings support the notion of an additive contribution of genetic etiology and familial factors are associated with ASD risk and highlight critical need for continued work targeting these relationships.

  19. Sequencing and de novo assembly of 150 genomes from Denmark as a population reference

    DEFF Research Database (Denmark)

    Maretty, Lasse; Jensen, Jacob Malte; Petersen, Bent

    2017-01-01

    Hundreds of thousands of human genomes are now being sequenced to characterize genetic variation and use this information to augment association mapping studies of complex disorders and other phenotypic traits. Genetic variation is identified mainly by mapping short reads to the reference genome......-coverage sequencing with mate-pair libraries extending up to 20 kilobases. We report de novo assemblies of 150 individuals (50 trios) from the GenomeDenmark project. The quality of these assemblies is similar to those obtained using the more expensive long-read technology. We use the assemblies to identify a rich set...... or by performing local assembly. However, these approaches are biased against discovery of structural variants and variation in the more complex parts of the genome. Hence, large-scale de novo assembly is needed. Here we show that it is possible to construct excellent de novo assemblies from high...

  20. Sequencing and de novo assembly of 150 genomes from Denmark as a population reference

    DEFF Research Database (Denmark)

    Maretty, Lasse; Jensen, Jacob Malte; Petersen, Bent

    2017-01-01

    Hundreds of thousands of human genomes are now being sequenced to characterize genetic variation and use this information to augment association mapping studies of complex disorders and other phenotypic traits. Genetic variation is identified mainly by mapping short reads to the reference genome...... or by performing local assembly. However, these approaches are biased against discovery of structural variants and variation in the more complex parts of the genome. Hence, large-scale de novo assembly is needed. Here we show that it is possible to construct excellent de novo assemblies from high......-coverage sequencing with mate-pair libraries extending up to 20 kilobases. We report de novo assemblies of 150 individuals (50 trios) from the GenomeDenmark project. The quality of these assemblies is similar to those obtained using the more expensive long-read technology. We use the assemblies to identify a rich set...

  1. Instrument Modeling and Synthesis

    Science.gov (United States)

    Horner, Andrew B.; Beauchamp, James W.

    During the 1970s and 1980s, before synthesizers based on direct sampling of musical sounds became popular, replicating musical instruments using frequency modulation (FM) or wavetable synthesis was one of the “holy grails” of music synthesis. Synthesizers such as the Yamaha DX7 allowed users great flexibility in mixing and matching sounds, but were notoriously difficult to coerce into producing sounds like those of a given instrument. Instrument design wizards practiced the mysteries of FM instrument design.

  2. Genome-wide prediction models that incorporate de novo GWAS are a powerful new tool for tropical rice improvement

    Science.gov (United States)

    Spindel, J E; Begum, H; Akdemir, D; Collard, B; Redoña, E; Jannink, J-L; McCouch, S

    2016-01-01

    To address the multiple challenges to food security posed by global climate change, population growth and rising incomes, plant breeders are developing new crop varieties that can enhance both agricultural productivity and environmental sustainability. Current breeding practices, however, are unable to keep pace with demand. Genomic selection (GS) is a new technique that helps accelerate the rate of genetic gain in breeding by using whole-genome data to predict the breeding value of offspring. Here, we describe a new GS model that combines RR-BLUP with markers fit as fixed effects selected from the results of a genome-wide-association study (GWAS) on the RR-BLUP training data. We term this model GS + de novo GWAS. In a breeding population of tropical rice, GS + de novo GWAS outperformed six other models for a variety of traits and in multiple environments. On the basis of these results, we propose an extended, two-part breeding design that can be used to efficiently integrate novel variation into elite breeding populations, thus expanding genetic diversity and enhancing the potential for sustainable productivity gains. PMID:26860200

  3. De novo point mutations in patients diagnosed with ataxic cerebral palsy.

    Science.gov (United States)

    Parolin Schnekenberg, Ricardo; Perkins, Emma M; Miller, Jack W; Davies, Wayne I L; D'Adamo, Maria Cristina; Pessia, Mauro; Fawcett, Katherine A; Sims, David; Gillard, Elodie; Hudspith, Karl; Skehel, Paul; Williams, Jonathan; O'Regan, Mary; Jayawant, Sandeep; Jefferson, Rosalind; Hughes, Sarah; Lustenberger, Andrea; Ragoussis, Jiannis; Jackson, Mandy; Tucker, Stephen J; Németh, Andrea H

    2015-07-01

    Cerebral palsy is a sporadic disorder with multiple likely aetiologies, but frequently considered to be caused by birth asphyxia. Genetic investigations are rarely performed in patients with cerebral palsy and there is little proven evidence of genetic causes. As part of a large project investigating children with ataxia, we identified four patients in our cohort with a diagnosis of ataxic cerebral palsy. They were investigated using either targeted next generation sequencing or trio-based exome sequencing and were found to have mutations in three different genes, KCNC3, ITPR1 and SPTBN2. All the mutations were de novo and associated with increased paternal age. The mutations were shown to be pathogenic using a combination of bioinformatics analysis and in vitro model systems. This work is the first to report that the ataxic subtype of cerebral palsy can be caused by de novo dominant point mutations, which explains the sporadic nature of these cases. We conclude that at least some subtypes of cerebral palsy may be caused by de novo genetic mutations and patients with a clinical diagnosis of cerebral palsy should be genetically investigated before causation is ascribed to perinatal asphyxia or other aetiologies. © The Author (2015). Published by Oxford University Press on behalf of the Guarantors of Brain.

  4. Model-Based GUI Testing Using Uppaal at Novo Nordisk

    DEFF Research Database (Denmark)

    H. Hjort, Ulrik; Rasmussen, Jacob Illum; Larsen, Kim Guldstrand

    2009-01-01

    This paper details a collaboration between Aalborg University and Novo Nordiskin developing an automatic model-based test generation tool for system testing of the graphical user interface of a medical device on an embedded platform. The tool takes as input an UML Statemachine model and generates...

  5. De novo fatty acid biosynthesis contributes significantly to establishment of a bioenergetically favorable environment for vaccinia virus infection.

    Science.gov (United States)

    Greseth, Matthew D; Traktman, Paula

    2014-03-01

    The poxvirus life cycle, although physically autonomous from the host nucleus, is nevertheless dependent upon cellular functions. A requirement for de novo fatty acid biosynthesis was implied by our previous demonstration that cerulenin, a fatty acid synthase inhibitor, impaired vaccinia virus production. Here we show that additional inhibitors of this pathway, TOFA and C75, reduce viral yield significantly, with partial rescue provided by exogenous palmitate, the pathway's end-product. Palmitate's major role during infection is not for phospholipid synthesis or protein palmitoylation. Instead, the mitochondrial import and β-oxidation of palmitate are essential, as shown by the impact of etomoxir and trimetazidine, which target these two processes respectively. Moreover, the impact of these inhibitors is exacerbated in the absence of exogenous glucose, which is otherwise dispensable for infection. In contrast to glucose, glutamine is essential for productive viral infection, providing intermediates that sustain the TCA cycle (anaplerosis). Cumulatively, these data suggest that productive infection requires the mitochondrial β-oxidation of palmitate which drives the TCA cycle and energy production. Additionally, infection causes a significant rise in the cellular oxygen consumption rate (ATP synthesis) that is ablated by etomoxir. The biochemical progression of the vaccinia life cycle is not impaired in the presence of TOFA, C75, or etomoxir, although the levels of viral DNA and proteins synthesized are somewhat diminished. However, by reversibly arresting infections at the onset of morphogenesis, and then monitoring virus production after release of the block, we determined that virion assembly is highly sensitive to TOFA and C75. Electron microscopic analysis of cells released into C75 revealed fragmented aggregates of viroplasm which failed to be enclosed by developing virion membranes. Taken together, these data indicate that vaccinia infection, and in

  6. De novo fatty acid biosynthesis contributes significantly to establishment of a bioenergetically favorable environment for vaccinia virus infection.

    Directory of Open Access Journals (Sweden)

    Matthew D Greseth

    2014-03-01

    Full Text Available The poxvirus life cycle, although physically autonomous from the host nucleus, is nevertheless dependent upon cellular functions. A requirement for de novo fatty acid biosynthesis was implied by our previous demonstration that cerulenin, a fatty acid synthase inhibitor, impaired vaccinia virus production. Here we show that additional inhibitors of this pathway, TOFA and C75, reduce viral yield significantly, with partial rescue provided by exogenous palmitate, the pathway's end-product. Palmitate's major role during infection is not for phospholipid synthesis or protein palmitoylation. Instead, the mitochondrial import and β-oxidation of palmitate are essential, as shown by the impact of etomoxir and trimetazidine, which target these two processes respectively. Moreover, the impact of these inhibitors is exacerbated in the absence of exogenous glucose, which is otherwise dispensable for infection. In contrast to glucose, glutamine is essential for productive viral infection, providing intermediates that sustain the TCA cycle (anaplerosis. Cumulatively, these data suggest that productive infection requires the mitochondrial β-oxidation of palmitate which drives the TCA cycle and energy production. Additionally, infection causes a significant rise in the cellular oxygen consumption rate (ATP synthesis that is ablated by etomoxir. The biochemical progression of the vaccinia life cycle is not impaired in the presence of TOFA, C75, or etomoxir, although the levels of viral DNA and proteins synthesized are somewhat diminished. However, by reversibly arresting infections at the onset of morphogenesis, and then monitoring virus production after release of the block, we determined that virion assembly is highly sensitive to TOFA and C75. Electron microscopic analysis of cells released into C75 revealed fragmented aggregates of viroplasm which failed to be enclosed by developing virion membranes. Taken together, these data indicate that vaccinia

  7. Systematic network synthesis and design: Problem formulation, superstructure generation, data management and solution

    DEFF Research Database (Denmark)

    Quaglia, Alberto; Gargalo, Carina L.; Chairakwongsa, Siwanat

    2015-01-01

    when large problems are considered. In an earlier work, we proposed a computer-aided framework for synthesis and design of process networks. In this contribution, we expand the framework by including methods and tools developed to structure, automate and simplify the mathematical formulation......The developments obtained in recent years in the field of mathematical programming considerably reduced the computational time and resources needed to solve large and complex Mixed Integer Non Linear Programming (MINLP) problems. Nevertheless, the application of these methods in industrial practice...... is still limited by the complexity associated with the mathematical formulation of some problems. In particular, the tasks of design space definition and representation as superstructure, as well as the data collection, validation and handling may become too complex and cumbersome to execute, especially...

  8. Long-term memory for instrumental responses does not undergo protein synthesis-dependent reconsolidation upon retrieval.

    Science.gov (United States)

    Hernandez, Pepe J; Kelley, Ann E

    2004-01-01

    Recent evidence indicates that certain forms of memory, upon recall, may return to a labile state requiring the synthesis of new proteins in order to preserve or reconsolidate the original memory trace. While the initial consolidation of "instrumental memories" has been shown to require de novo protein synthesis in the nucleus accumbens, it is not known whether memories of this type undergo protein synthesis-dependent reconsolidation. Here we show that low doses of the protein synthesis inhibitor anisomycin (ANI; 5 or 20 mg/kg) administered systemically in rats immediately after recall of a lever-pressing task potently impaired performance on the following daily test sessions. We determined that the nature of this impairment was attributable to conditioned taste aversion (CTA) to the sugar reinforcer used in the task rather than to mnemonic or motoric impairments. However, by substituting a novel flavored reinforcer (chocolate pellets) prior to the administration of doses of ANI (150 or 210 mg/kg) previously shown to cause amnesia, a strong CTA to chocolate was induced sparing any aversion to sugar. Importantly, when sugar was reintroduced on the following session, we found that memory for the task was not significantly affected by ANI. Thus, these data suggest that memory for a well-learned instrumental response does not require protein synthesis-dependent reconsolidation as a means of long-term maintenance.

  9. Infant Mortality in Novo Hamburgo: Associated Factors and Cardiovascular Causes

    Directory of Open Access Journals (Sweden)

    Camila de Andrade Brum

    2015-04-01

    Full Text Available Background: Infant mortality has decreased in Brazil, but remains high as compared to that of other developing countries. In 2010, the Rio Grande do Sul state had the lowest infant mortality rate in Brazil. However, the municipality of Novo Hamburgo had the highest infant mortality rate in the Porto Alegre metropolitan region. Objective: To describe the causes of infant mortality in the municipality of Novo Hamburgo from 2007 to 2010, identifying which causes were related to heart diseases and if they were diagnosed in the prenatal period, and to assess the access to healthcare services. Methods: This study assessed infants of the municipality of Novo Hamburgo, who died, and whose data were collected from the infant death investigation records. Results: Of the 157 deaths in that period, 35.3% were reducible through diagnosis and early treatment, 25% were reducible through partnership with other sectors, 19.2% were non-preventable, 11.5% were reducible by means of appropriate pregnancy monitoring, 5.1% were reducible through appropriate delivery care, and 3.8% were ill defined. The major cause of death related to heart disease (13.4%, which was significantly associated with the variables ‘age at death’, ‘gestational age’ and ‘birth weight’. Regarding access to healthcare services, 60.9% of the pregnant women had a maximum of six prenatal visits. Conclusion: It is mandatory to enhance prenatal care and newborn care at hospitals and basic healthcare units to prevent infant mortality.

  10. Infant Mortality in Novo Hamburgo: Associated Factors and Cardiovascular Causes

    Energy Technology Data Exchange (ETDEWEB)

    Brum, Camila de Andrade [Instituto de Cardiologia/Fundação Universitária de Cardiologia (IC/FUC), Porto Alegre, RS (Brazil); Stein, Airton Tetelbom [Universidade Federal de Ciências da Saúde de Porto Alegre (UFCSPA), Porto Alegre, RS (Brazil); Grupo Hospitalar Conceição (GHC), Porto Alegre, RS (Brazil); Universidade Luterana do Brasil (ULBRA), Porto Alegre, RS (Brazil); Pellanda, Lucia Campos, E-mail: luciapell.pesquisa@cardiologia.org.br [Instituto de Cardiologia/Fundação Universitária de Cardiologia (IC/FUC), Porto Alegre, RS (Brazil); Universidade Federal de Ciências da Saúde de Porto Alegre (UFCSPA), Porto Alegre, RS (Brazil)

    2015-04-15

    Infant mortality has decreased in Brazil, but remains high as compared to that of other developing countries. In 2010, the Rio Grande do Sul state had the lowest infant mortality rate in Brazil. However, the municipality of Novo Hamburgo had the highest infant mortality rate in the Porto Alegre metropolitan region. To describe the causes of infant mortality in the municipality of Novo Hamburgo from 2007 to 2010, identifying which causes were related to heart diseases and if they were diagnosed in the prenatal period, and to assess the access to healthcare services. This study assessed infants of the municipality of Novo Hamburgo, who died, and whose data were collected from the infant death investigation records. Of the 157 deaths in that period, 35.3% were reducible through diagnosis and early treatment, 25% were reducible through partnership with other sectors, 19.2% were non-preventable, 11.5% were reducible by means of appropriate pregnancy monitoring, 5.1% were reducible through appropriate delivery care, and 3.8% were ill defined. The major cause of death related to heart disease (13.4%), which was significantly associated with the variables ‘age at death’, ‘gestational age’ and ‘birth weight’. Regarding access to healthcare services, 60.9% of the pregnant women had a maximum of six prenatal visits. It is mandatory to enhance prenatal care and newborn care at hospitals and basic healthcare units to prevent infant mortality.

  11. Infant Mortality in Novo Hamburgo: Associated Factors and Cardiovascular Causes

    International Nuclear Information System (INIS)

    Brum, Camila de Andrade; Stein, Airton Tetelbom; Pellanda, Lucia Campos

    2015-01-01

    Infant mortality has decreased in Brazil, but remains high as compared to that of other developing countries. In 2010, the Rio Grande do Sul state had the lowest infant mortality rate in Brazil. However, the municipality of Novo Hamburgo had the highest infant mortality rate in the Porto Alegre metropolitan region. To describe the causes of infant mortality in the municipality of Novo Hamburgo from 2007 to 2010, identifying which causes were related to heart diseases and if they were diagnosed in the prenatal period, and to assess the access to healthcare services. This study assessed infants of the municipality of Novo Hamburgo, who died, and whose data were collected from the infant death investigation records. Of the 157 deaths in that period, 35.3% were reducible through diagnosis and early treatment, 25% were reducible through partnership with other sectors, 19.2% were non-preventable, 11.5% were reducible by means of appropriate pregnancy monitoring, 5.1% were reducible through appropriate delivery care, and 3.8% were ill defined. The major cause of death related to heart disease (13.4%), which was significantly associated with the variables ‘age at death’, ‘gestational age’ and ‘birth weight’. Regarding access to healthcare services, 60.9% of the pregnant women had a maximum of six prenatal visits. It is mandatory to enhance prenatal care and newborn care at hospitals and basic healthcare units to prevent infant mortality

  12. Design, synthesis, and characterization of fluorine-free PAGs for 193-nm lithography

    Science.gov (United States)

    Liu, Sen; Glodde, Martin; Varanasi, Pushkara R.

    2010-04-01

    Photoacid generators (PAGs) are a key component in chemically amplified resists used in photolithography. Perfluorooctanesulfonates (PFOS) and other perfluoroalkylsulfonates (PFAS) have been well adopted as PAGs in 193 nm photoresist. Recently, concerns have been raised about their environmental impact due to their chemical persistency, bioaccumulation and toxicity. It is a general interest to find environmentally benign PAGs that are free of fluorine atoms. Here we describe the design, synthesis and characterization of a series of novel fluorine-free onium salts as PAGs for 193 nm photoresists. These PAGs demonstrated desirable physical and lithography properties when compared with PFAS-based PAGs for both dry and immersion exposures.

  13. Mass Spectrometry Analysis Coupled with de novo Sequencing Reveals Amino Acid Substitutions in Nucleocapsid Protein from Influenza A Virus

    Directory of Open Access Journals (Sweden)

    Zijian Li

    2014-02-01

    Full Text Available Amino acid substitutions in influenza A virus are the main reasons for both antigenic shift and virulence change, which result from non-synonymous mutations in the viral genome. Nucleocapsid protein (NP, one of the major structural proteins of influenza virus, is responsible for regulation of viral RNA synthesis and replication. In this report we used LC-MS/MS to analyze tryptic digestion of nucleocapsid protein of influenza virus (A/Puerto Rico/8/1934 H1N1, which was isolated and purified by SDS poly-acrylamide gel electrophoresis. Thus, LC-MS/MS analyses, coupled with manual de novo sequencing, allowed the determination of three substituted amino acid residues R452K, T423A and N430T in two tryptic peptides. The obtained results provided experimental evidence that amino acid substitutions resulted from non-synonymous gene mutations could be directly characterized by mass spectrometry in proteins of RNA viruses such as influenza A virus.

  14. Heterologous aggregates promote de novo prion appearance via more than one mechanism.

    Directory of Open Access Journals (Sweden)

    Fatih Arslan

    2015-01-01

    Full Text Available Prions are self-perpetuating conformational variants of particular proteins. In yeast, prions cause heritable phenotypic traits. Most known yeast prions contain a glutamine (Q/asparagine (N-rich region in their prion domains. [PSI+], the prion form of Sup35, appears de novo at dramatically enhanced rates following transient overproduction of Sup35 in the presence of [PIN+], the prion form of Rnq1. Here, we establish the temporal de novo appearance of Sup35 aggregates during such overexpression in relation to other cellular proteins. Fluorescently-labeled Sup35 initially forms one or a few dots when overexpressed in [PIN+] cells. One of the dots is perivacuolar, colocalizes with the aggregated Rnq1 dot and grows into peripheral rings/lines, some of which also colocalize with Rnq1. Sup35 dots that are not near the vacuole do not always colocalize with Rnq1 and disappear by the time rings start to grow. Bimolecular fluorescence complementation failed to detect any interaction between Sup35-VN and Rnq1-VC in [PSI+][PIN+] cells. In contrast, all Sup35 aggregates, whether newly induced or in established [PSI+], completely colocalize with the molecular chaperones Hsp104, Sis1, Ssa1 and eukaryotic release factor Sup45. In the absence of [PIN+], overexpressed aggregating proteins such as the Q/N-rich Pin4C or the non-Q/N-rich Mod5 can also promote the de novo appearance of [PSI+]. Similar to Rnq1, overexpressed Pin4C transiently colocalizes with newly appearing Sup35 aggregates. However, no interaction was detected between Mod5 and Sup35 during [PSI+] induction in the absence of [PIN+]. While the colocalization of Sup35 and aggregates of Rnq1 or Pin4C are consistent with the model that the heterologous aggregates cross-seed the de novo appearance of [PSI+], the lack of interaction between Mod5 and Sup35 leaves open the possibility of other mechanisms. We also show that Hsp104 is required in the de novo appearance of [PSI+] aggregates in a [PIN

  15. Whole-Genome de novo Sequencing Of Quail And Grey Partridge

    DEFF Research Database (Denmark)

    Holm, Lars-Erik; Panitz, Frank; Burt, Dave

    2011-01-01

    The development in sequencing methods has made it possible to perform whole genome de novo sequencing of species without large commercial interests. Within the EU-financed QUANTOMICS project (KBBE-2A-222664), we have performed de novo sequencing of quail (Coturnix coturnix) and grey partridge...... (Perdix perdix) on a Genome Analyzer GAII (Illumina) using paired-end sequencing. The amount of generated sequences amounts to 8 to 9 Gb for each species. The analysis and assembly of the generated sequences is ongoing. Access to the whole genome sequence from these two species will enable enhanced...... comparative studies towards the chicken genome and will aid in identifying evolutionarily conserved sequences within the Galliformes. The obtained sequences from quail and partridge represent a beginning of generating the whole genome sequence for these species. The continuation of establishing the genome...

  16. De novo transcriptome sequencing and digital gene expression analysis predict biosynthetic pathway of rhynchophylline and isorhynchophylline from Uncaria rhynchophylla, a non-model plant with potent anti-alzheimer's properties.

    Science.gov (United States)

    Guo, Qianqian; Ma, Xiaojun; Wei, Shugen; Qiu, Deyou; Wilson, Iain W; Wu, Peng; Tang, Qi; Liu, Lijun; Dong, Shoukun; Zu, Wei

    2014-08-12

    The major medicinal alkaloids isolated from Uncaria rhynchophylla (gouteng in chinese) capsules are rhynchophylline (RIN) and isorhynchophylline (IRN). Extracts containing these terpene indole alkaloids (TIAs) can inhibit the formation and destabilize preformed fibrils of amyloid β protein (a pathological marker of Alzheimer's disease), and have been shown to improve the cognitive function of mice with Alzheimer-like symptoms. The biosynthetic pathways of RIN and IRN are largely unknown. In this study, RNA-sequencing of pooled Uncaria capsules RNA samples taken at three developmental stages that accumulate different amount of RIN and IRN was performed. More than 50 million high-quality reads from a cDNA library were generated and de novo assembled. Sequences for all of the known enzymes involved in TIAs synthesis were identified. Additionally, 193 cytochrome P450 (CYP450), 280 methyltransferase and 144 isomerase genes were identified, that are potential candidates for enzymes involved in RIN and IRN synthesis. Digital gene expression profile (DGE) analysis was performed on the three capsule developmental stages, and based on genes possessing expression profiles consistent with RIN and IRN levels; four CYP450s, three methyltransferases and three isomerases were identified as the candidates most likely to be involved in the later steps of RIN and IRN biosynthesis. A combination of de novo transcriptome assembly and DGE analysis was shown to be a powerful method for identifying genes encoding enzymes potentially involved in the biosynthesis of important secondary metabolites in a non-model plant. The transcriptome data from this study provides an important resource for understanding the formation of major bioactive constituents in the capsule extract from Uncaria, and provides information that may aid in metabolic engineering to increase yields of these important alkaloids.

  17. De novo assembly of plant body plan: a step ahead of Deadpool.

    Science.gov (United States)

    Kareem, Abdul; Radhakrishnan, Dhanya; Sondhi, Yash; Aiyaz, Mohammed; Roy, Merin V; Sugimoto, Kaoru; Prasad, Kalika

    2016-08-01

    While in the movie Deadpool it is possible for a human to recreate an arm from scratch, in reality plants can even surpass that. Not only can they regenerate lost parts, but also the whole plant body can be reborn from a few existing cells. Despite the decades old realization that plant cells possess the ability to regenerate a complete shoot and root system, it is only now that the underlying mechanisms are being unraveled. De novo plant regeneration involves the initiation of regenerative mass, acquisition of the pluripotent state, reconstitution of stem cells and assembly of regulatory interactions. Recent studies have furthered our understanding on the making of a complete plant system in the absence of embryonic positional cues. We review the recent studies probing the molecular mechanisms of de novo plant regeneration in response to external inductive cues and our current knowledge of direct reprogramming of root to shoot and vice versa. We further discuss how de novo regeneration can be exploited to meet the demands of green culture industries and to serve as a general model to address the fundamental questions of regeneration across the plant kingdom.

  18. Design, synthesis, and biological evaluation of achiral analogs of duocarmycin SA.

    Science.gov (United States)

    Daniell, Kristen; Stewart, Michelle; Madsen, Erik; Le, Minh; Handl, Heather; Brooks, Natalie; Kiakos, Konstantinos; Hartley, John A; Lee, Moses

    2005-01-03

    The design, synthesis, as well as biochemical and biological evaluation of two novel achiral analogs of duocarmycin SA (DUMSA), 1 and 2, are described. Like CC-1065 and adozelesin, compounds 1 and 2 covalently reacted with adenine-N3 in AT-rich sequences and led to the formation of DNA strand breaks upon heating. The cytotoxicity of compounds 1 and 2 against human cancer cells (K562, LS174T) was determined using a MTT assay giving IC(50) values in the low nanomolar. Further cytotoxicity screening of compound 2 conducted by the NCI against a panel of 60 different human cancer cell lines indicated that it was particularly active against several solid tumor cells lines derived from the lung, colon, CNS, skin, and breast.

  19. Industrial scale gene synthesis.

    Science.gov (United States)

    Notka, Frank; Liss, Michael; Wagner, Ralf

    2011-01-01

    The most recent developments in the area of deep DNA sequencing and downstream quantitative and functional analysis are rapidly adding a new dimension to understanding biochemical pathways and metabolic interdependencies. These increasing insights pave the way to designing new strategies that address public needs, including environmental applications and therapeutic inventions, or novel cell factories for sustainable and reconcilable energy or chemicals sources. Adding yet another level is building upon nonnaturally occurring networks and pathways. Recent developments in synthetic biology have created economic and reliable options for designing and synthesizing genes, operons, and eventually complete genomes. Meanwhile, high-throughput design and synthesis of extremely comprehensive DNA sequences have evolved into an enabling technology already indispensable in various life science sectors today. Here, we describe the industrial perspective of modern gene synthesis and its relationship with synthetic biology. Gene synthesis contributed significantly to the emergence of synthetic biology by not only providing the genetic material in high quality and quantity but also enabling its assembly, according to engineering design principles, in a standardized format. Synthetic biology on the other hand, added the need for assembling complex circuits and large complexes, thus fostering the development of appropriate methods and expanding the scope of applications. Synthetic biology has also stimulated interdisciplinary collaboration as well as integration of the broader public by addressing socioeconomic, philosophical, ethical, political, and legal opportunities and concerns. The demand-driven technological achievements of gene synthesis and the implemented processes are exemplified by an industrial setting of large-scale gene synthesis, describing production from order to delivery. Copyright © 2011 Elsevier Inc. All rights reserved.

  20. When less is more: 'slicing' sequencing data improves read decoding accuracy and de novo assembly quality.

    Science.gov (United States)

    Lonardi, Stefano; Mirebrahim, Hamid; Wanamaker, Steve; Alpert, Matthew; Ciardo, Gianfranco; Duma, Denisa; Close, Timothy J

    2015-09-15

    As the invention of DNA sequencing in the 70s, computational biologists have had to deal with the problem of de novo genome assembly with limited (or insufficient) depth of sequencing. In this work, we investigate the opposite problem, that is, the challenge of dealing with excessive depth of sequencing. We explore the effect of ultra-deep sequencing data in two domains: (i) the problem of decoding reads to bacterial artificial chromosome (BAC) clones (in the context of the combinatorial pooling design we have recently proposed), and (ii) the problem of de novo assembly of BAC clones. Using real ultra-deep sequencing data, we show that when the depth of sequencing increases over a certain threshold, sequencing errors make these two problems harder and harder (instead of easier, as one would expect with error-free data), and as a consequence the quality of the solution degrades with more and more data. For the first problem, we propose an effective solution based on 'divide and conquer': we 'slice' a large dataset into smaller samples of optimal size, decode each slice independently, and then merge the results. Experimental results on over 15 000 barley BACs and over 4000 cowpea BACs demonstrate a significant improvement in the quality of the decoding and the final assembly. For the second problem, we show for the first time that modern de novo assemblers cannot take advantage of ultra-deep sequencing data. Python scripts to process slices and resolve decoding conflicts are available from http://goo.gl/YXgdHT; software Hashfilter can be downloaded from http://goo.gl/MIyZHs stelo@cs.ucr.edu or timothy.close@ucr.edu Supplementary data are available at Bioinformatics online. © The Author 2015. Published by Oxford University Press. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  1. Synthesis imaging in radio astronomy

    International Nuclear Information System (INIS)

    Perley, R.A.; Schwab, F.R.; Bridle, A.H.

    1989-01-01

    Recent advances in techniques and instrumentation for radio synthesis imaging in astronomy are discussed in a collection of review essays. Topics addressed include coherence in radio astronomy, the interferometer in practice, primary antenna elements, cross correlators, calibration and editing, sensitivity, deconvolution, self-calibration, error recognition, and image analysis. Consideration is given to wide-field imaging (bandwidth and time-average smearing, noncoplanar arrays, and mosaicking), high-dynamic-range imaging, spectral-line imaging, VLBI, solar imaging with a synthesis telescope, synthesis imaging of spatially coherent objects, noise in images of very bright sources, synthesis observing strategies, and the design of aperture-synthesis arrays

  2. De novo mutations in the genome organizer CTCF cause intellectual disability

    DEFF Research Database (Denmark)

    Gregor, Anne; Oti, Martin; Kouwenhoven, Evelyn N

    2013-01-01

    An increasing number of genes involved in chromatin structure and epigenetic regulation has been implicated in a variety of developmental disorders, often including intellectual disability. By trio exome sequencing and subsequent mutational screening we now identified two de novo frameshift...... mutations and one de novo missense mutation in CTCF in individuals with intellectual disability, microcephaly, and growth retardation. Furthermore, an individual with a larger deletion including CTCF was identified. CTCF (CCCTC-binding factor) is one of the most important chromatin organizers in vertebrates...... and is involved in various chromatin regulation processes such as higher order of chromatin organization, enhancer function, and maintenance of three-dimensional chromatin structure. Transcriptome analyses in all three individuals with point mutations revealed deregulation of genes involved in signal transduction...

  3. An ATP synthase harboring an atypical γ-subunit is involved in ATP synthesis in tomato fruit chromoplasts.

    Science.gov (United States)

    Pateraki, Irini; Renato, Marta; Azcón-Bieto, Joaquín; Boronat, Albert

    2013-04-01

    Chromoplasts are non-photosynthetic plastids specialized in the synthesis and accumulation of carotenoids. During fruit ripening, chloroplasts differentiate into photosynthetically inactive chromoplasts in a process characterized by the degradation of the thylakoid membranes, and by the active synthesis and accumulation of carotenoids. This transition renders chromoplasts unable to photochemically synthesize ATP, and therefore these organelles need to obtain the ATP required for anabolic processes through alternative sources. It is widely accepted that the ATP used for biosynthetic processes in non-photosynthetic plastids is imported from the cytosol or is obtained through glycolysis. In this work, however, we show that isolated tomato (Solanum lycopersicum) fruit chromoplasts are able to synthesize ATP de novo through a respiratory pathway using NADPH as an electron donor. We also report the involvement of a plastidial ATP synthase harboring an atypical γ-subunit induced during ripening, which lacks the regulatory dithiol domain present in plant and algae chloroplast γ-subunits. Silencing of this atypical γ-subunit during fruit ripening impairs the capacity of isolated chromoplast to synthesize ATP de novo. We propose that the replacement of the γ-subunit present in tomato leaf and green fruit chloroplasts by the atypical γ-subunit lacking the dithiol domain during fruit ripening reflects evolutionary changes, which allow the operation of chromoplast ATP synthase under the particular physiological conditions found in this organelle. © 2013 The Authors The Plant Journal © 2013 Blackwell Publishing Ltd.

  4. Rational modular design of metabolic network for efficient production of plant polyphenol pinosylvin.

    Science.gov (United States)

    Wu, Junjun; Zhang, Xia; Zhu, Yingjie; Tan, Qinyu; He, Jiacheng; Dong, Mingsheng

    2017-05-03

    Efficient biosynthesis of the plant polyphenol pinosylvin, which has numerous applications in nutraceuticals and pharmaceuticals, is necessary to make biological production economically viable. To this end, an efficient Escherichia coli platform for pinosylvin production was developed via a rational modular design approach. Initially, different candidate pathway enzymes were screened to construct de novo pinosylvin pathway directly from D-glucose. A comparative analysis of pathway intermediate pools identified that this initial construct led to the intermediate cinnamic acid accumulation. The pinosylvin synthetic pathway was then divided into two new modules separated at cinnamic acid. Combinatorial optimization of transcriptional and translational levels of these two modules resulted in a 16-fold increase in pinosylvin titer. To further improve the concentration of the limiting precursor malonyl-CoA, the malonyl-CoA synthesis module based on clustered regularly interspaced short palindromic repeats interference was assembled and optimized with other two modules. The final pinosylvin titer was improved to 281 mg/L, which was the highest pinosylvin titer even directly from D-glucose without any additional precursor supplementation. The rational modular design approach described here could bolster our capabilities in synthetic biology for value-added chemical production.

  5. Identification of sugarcane genes involved in the purine synthesis pathway

    Directory of Open Access Journals (Sweden)

    Mario A. Jancso

    2001-12-01

    Full Text Available Nucleotide synthesis is of central importance to all cells. In most organisms, the purine nucleotides are synthesized de novo from non-nucleotide precursors such as amino acids, ammonia and carbon dioxide. An understanding of the enzymes involved in sugarcane purine synthesis opens the possibility of using these enzymes as targets for chemicals which may be effective in combating phytopathogen. Such an approach has already been applied to several parasites and types of cancer. The strategy described in this paper was applied to identify sugarcane clusters for each step of the de novo purine synthesis pathway. Representative sequences of this pathway were chosen from the National Center for Biotechnology Information (NCBI database and used to search the translated sugarcane expressed sequence tag (SUCEST database using the available basic local alignment search tool (BLAST facility. Retrieved clusters were further tested for the statistical significance of the alignment by an implementation (PRSS3 of the Monte Carlo shuffling algorithm calibrated using known protein sequences of divergent taxa along the phylogenetic tree. The sequences were compared to each other and to the sugarcane clusters selected using BLAST analysis, with the resulting table of p-values indicating the degree of divergence of each enzyme within different taxa and in relation to the sugarcane clusters. The results obtained by this strategy allowed us to identify the sugarcane proteins participating in the purine synthesis pathway.A via de síntese de purino nucleotídeos é considerada uma via de central importância para todas as células. Na maioria dos organismos, os purino nucleotídeos são sintetizados ''de novo'' a partir de precursores não-nucleotídicos como amino ácidos, amônia e dióxido de carbono. O conhecimento das enzimas envolvidas na via de síntese de purinas da cana-de-açúcar vai abrir a possibilidade do uso dessas enzimas como alvos no desenho

  6. De Novo generation of molecular structures using optimization to select graphs on a given lattice

    DEFF Research Database (Denmark)

    Bywater, R.P.; Poulsen, Thomas Agersten; Røgen, Peter

    2004-01-01

    A recurrent problem in organic chemistry is the generation of new molecular structures that conform to some predetermined set of structural constraints that are imposed in an endeavor to build certain required properties into the newly generated structure. An example of this is the pharmacophore...... model, used in medicinal chemistry to guide de novo design or selection of suitable structures from compound databases. We propose here a method that efficiently links up a selected number of required atom positions while at the same time directing the emergent molecular skeleton to avoid forbidden...... positions. The linkage process takes place on a lattice whose unit step length and overall geometry is designed to match typical architectures of organic molecules. We use an optimization method to select from the many different graphs possible. The approach is demonstrated in an example where crystal...

  7. N-arylmethylideneaminophthalimide: Design, synthesis and evaluation as analgesic and anti-inflammatory agents.

    Science.gov (United States)

    Banarouei, Nasimossadat; Davood, Asghar; Shafaroodi, Hamed; Saeedi, Ghazaleh; Shafiee, Abbas

    2018-04-23

    N-aryl derivatives of phthalimide and 4-nitro phthalimide have demonstrated cyclooxygenase inhibitory activity. Also they possess an excellent analgesic and anti-inflammatory activity. In this work, a new series of N-arylmethylideneamino derivatives of phthalimide and 4-nitro phthalimide were designed and synthesized. The designed compounds were synthesized by condensation of the appropriate aldehyde and N-aminophthalimide in ethanol at room temperature at PH around 3. Their analgesic and anti-inflammatory activity were evaluated by acetic acid-induced pain test and carrageenan-induced paw edema test in mice and rats, respectively. The details of the synthesis and chemical characterization of the analogs are described. In vivo screening showed compounds 3a, 3b, 3f and 3h were the most potent analgesic compounds. In addition compounds 3a, 3c, 3d, 3e and 3j indicated comparable anti-inflammatory activity to indomethacin as reference drug. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  8. Design, Synthesis and Biological Activity of Novel Reversible Peptidyl FVIIa Inhibitors Rh-Catalyzed Enantioselective Synthesis of Diaryl Amines

    DEFF Research Database (Denmark)

    Storgaard, Morten

    functional group tolerance. Unfortunately, these -aryl tetramic acids were too unreactive and ring opening toward the synthesis of the building block did not succeed. However, -aryl tetramic acids are still interesting compounds due to their potential biological activity. The building block 3.15 (P1......-catalyzed enantioselective synthesis of diaryl amines, which is an important class of compounds (Chapter 4). For example it is found in the third generation anti-histaminic agent levocetirizine. Development of efficient synthetic routes is therefore of considerably interest. The rhodium-catalyzed enantioselective synthesis...

  9. De novo status epilepticus is associated with adverse outcome: An 11-year retrospective study in Hong Kong.

    Science.gov (United States)

    Lui, Hoi Ki Kate; Hui, Kwok Fai; Fong, Wing Chi; Ip, Chun Tak; Lui, Hiu Tung Colin

    2016-08-01

    To identify predictors of poor clinical outcome in patients presenting to the intensive care units with status epilepticus (SE), in particular for patients presenting with de novo status epileptics. A retrospective review was performed on patients admitted to the intensive care units with status epilepticus in two hospitals in Hong Kong over an 11-year period from 2003 to 2013. A total of 87 SE cases were analyzed. The mean age of patients was 49.3 years (SD 14.9 years). Eighteen subjects (20.7%) had breakthrough seizure, which was the most common etiology for the status epilepticus episodes. Seventy-eight subjects (89.7%) had convulsive status epilepticus (CSE) and 9 subjects (10.3%) had non-convulsive status epilepticus (NCSE) on presentation. The 30-day mortality rate of all subjects was 18.4%. Non-convulsive status epilepticus was more common in patients with de novo status epilepticus when compared to those with existing history of epilepsy (15.5% Vs. 0%, p=0.03). Patients with de novo status epilepticus were older (52 Vs 43, p=0.009). De novo status epilepticus was associated with longer status duration (median 2.5 days, IQR 5 days), longer ICU stay (median 7.5 days, IQR 9 days) and poorer outcome (OR 4.15, 95% CI 1.53-11.2). For patients presenting to intensive care units with status epilepticus, those with de novo status epileptics were older and were more likely to develop non-convulsive status epilepticus. De novo status epilepticus was associated with poorer outcome. Continuous EEG monitoring would help identifying NCSE and potentially help improving clinical outcomes. Copyright © 2016 British Epilepsy Association. Published by Elsevier Ltd. All rights reserved.

  10. Low Band Gap Polymers for Roll-to-Roll Coated Organic Photovoltaics – Design, Synthesis and Characterization

    DEFF Research Database (Denmark)

    Bundgaard, Eva; Hagemann, Ole; Jørgensen, Mikkel

    2011-01-01

    In this paper we present the design and synthesis of 25 new low band gap polymers. The polymers were characterized by UV-vis spectroscopy which showed optical band gaps of 2.0–0.9 eV. The polymers which were soluble enough were applied in organic photovoltaics, both small area devices with a spin...... coated active layer and in large area modules where all layers including the active layer were either roll-to-roll coated or printed. These experiments showed that the design of polymers compatible with roll-toroll coating is not straightforward and that there are various issues such as donor...

  11. Melhoramento do cafeeiro: XXXVIII. Observações sobre progênies do cultivar Mundo-Novo de Coffea arabica na estação experimental de Mococa Coffee breeding: XXXVIII-observation on progenies of the Mundo-Novo cultivars of Coffea arabica in the Mococa experimental station

    Directory of Open Access Journals (Sweden)

    Túlio R. Rocha

    1980-01-01

    Full Text Available Os dados analisados no experimento localizado em Mococa sobre a produtividade de 112 progênies dos cultivares Mundo-Novo S1 e S2, Bourbon-Amarelo, BourbonVermelho e Caturra-Vermelho de Coffea arabica no período de 1955 a 1971, indicaram que as de Mundo-Novo S1, de prefixos MP 474, MP 502, MP 469, MP 492 e MP 475, revelaram-se como as mais produtivas, assemelhando-se a algumas progênies 'Mundo--Novo' S2. Dentre estas, destacou-se a de prefixo MP 388-6, que atingiu o nível mais elevado de produção do experimento. As progênies de 'Mundo-Novo', em conjunto, produziram 44% a mais do que as de Bourbon-Amarelo e, estas, 60% a mais do que as de Bourbon-Vermelho e Caturra-Vermelho. A altura e o diâmetro da copa atingiram valores médios mais elevados para as progênies de 'Mundo-Novo'. Verificaram-se correlações positivas e altamente significativas entre altura média da planta e diâmetro médio da copa com a produção das progênies. As progênies mais produtivas revelaram rendimento (relação entre peso de café maduro e beneficiado de aproximadamente 6,0 e porcentagem de sementes normais, do tipo chato, acima de 80. Quanto ao tamanho das sementes do tipo chato, duas progênies 'Mundo-Novo' S1, MP 474 e MP 452, apresentaram peneira média maior, permi-tindo seleção de plantas com essa característica e com elevada produção.Coffee progenies of the Mundo-Novo cultivars of Coffea arabica were studied in an experiment located at the Mococa Experimental Station of the Instituto Agronômico in comparison with Bourbon-Amarelo, Bourbon-Vermelho and Caturra-Vermelho cultivars of the same species. During a period of 17 consecutive cropping years (1955-1971, Mundo-Novo yielded approximately 44% more than Bourbon-Amarelo and this cultivars yielded 60% more than Bourbon-Vermelho and Caturra-Vermelho. Among the 89 S1 'Mundo-Novo' progenies, MP 474, MP 502, MP 469, MP 492 and MP 475 yielded as much as the two best 'Mundo-Novo' S2 progenies. Greater

  12. Design experiment for Topo synthesis by using two process

    International Nuclear Information System (INIS)

    Meddour, L.; Loulou, M.; Megherbi, M.

    1997-02-01

    The main objectif of this work is the optimization of an organophosphorus compound synthesis. In this context, We have realized TO PO synthesis by means of two process: one based on POCL3, and the other on P CL3. To make in evidence the effects of three parameters (temperature, time and molar ratio from reactifs) it is necessary to carry eight experiences '23' factorial based on all the possible combinaisons of the minimum an d maximum values for the considerated parameters in their respectives variation ran ges

  13. Full load synthesis/design optimization of a hybrid SOFC-GT power plant

    International Nuclear Information System (INIS)

    Calise, F.; Dentice d' Accadia, M.; Vanoli, L.; Spakovsky, Michael R. von

    2007-01-01

    In this paper, the optimization of a hybrid solid oxide fuel cell-gas turbine (SOFC-GT) power plant is presented. The plant layout is based on an internal reforming SOFC stack; it also consists of a radial gas turbine, centrifugal compressors and plate-fin heat exchangers. In the first part of the paper, the bulk-flow model used to simulate the plant is presented. In the second part, a thermoeconomic model is developed by introducing capital cost functions. The whole plant is first simulated for a fixed configuration of the most important synthesis/design (S/D) parameters in order to establish a reference design configuration. Next a S/D optimization of the plant is carried out using a traditional single-level approach, based on a genetic algorithm. The optimization determined a set of S/D decision variable values with a capital cost significantly lower than that of the reference design, even though the net electrical efficiency for the optimal configuration was very close to that of the initial one. Furthermore, the optimization procedure dramatically reduced the SOFC active area and the compact heat exchanger areas

  14. Topology synthesis of large-displacement compliant mechanisms

    DEFF Research Database (Denmark)

    Pedersen, Claus B. Wittendorf; Buhl, Thomas; Sigmund, Ole

    2001-01-01

    This paper describes the use of topology optimization as a synthesis tool for the design of large-displacement compliant mechanisms. An objective function for the synthesis of large-displacement mechanisms is proposed together with a formulation for synthesis of path-generating compliant mechanisms...

  15. Modeling fructose-load-induced hepatic de-novo lipogenesis by model simplification

    Directory of Open Access Journals (Sweden)

    Richard J Allen

    2017-03-01

    Full Text Available Hepatic de-novo lipogenesis is a metabolic process implemented in the pathogenesis of type 2 diabetes. Clinically, the rate of this process can be ascertained by use of labeled acetate and stimulation by fructose administration. A systems pharmacology model of this process is desirable because it facilitates the description, analysis, and prediction of this experiment. Due to the multiple enzymes involved in de-novo lipogenesis, and the limited data, it is desirable to use single functional expressions to encapsulate the flux between multiple enzymes. To accomplish this we developed a novel simplification technique which uses the available information about the properties of the individual enzymes to bound the parameters of a single governing ‘transfer function’. This method should be applicable to any model with linear chains of enzymes that are well stimulated. We validated this approach with computational simulations and analytical justification in a limiting case. Using this technique we generated a simple model of hepatic de-novo lipogenesis in these experimental conditions that matched prior data. This model can be used to assess pharmacological intervention at specific points on this pathway. We have demonstrated this with prospective simulation of acetyl-CoA carboxylase inhibition. This simplification technique suggests how the constituent properties of an enzymatic chain of reactions gives rise to the sensitivity (to substrate of the pathway as a whole.

  16. Modeling fructose-load-induced hepatic de-novo lipogenesis by model simplification.

    Science.gov (United States)

    Allen, Richard J; Musante, Cynthia J

    2017-01-01

    Hepatic de-novo lipogenesis is a metabolic process implemented in the pathogenesis of type 2 diabetes. Clinically, the rate of this process can be ascertained by use of labeled acetate and stimulation by fructose administration. A systems pharmacology model of this process is desirable because it facilitates the description, analysis, and prediction of this experiment. Due to the multiple enzymes involved in de-novo lipogenesis, and the limited data, it is desirable to use single functional expressions to encapsulate the flux between multiple enzymes. To accomplish this we developed a novel simplification technique which uses the available information about the properties of the individual enzymes to bound the parameters of a single governing 'transfer function'. This method should be applicable to any model with linear chains of enzymes that are well stimulated. We validated this approach with computational simulations and analytical justification in a limiting case. Using this technique we generated a simple model of hepatic de-novo lipogenesis in these experimental conditions that matched prior data. This model can be used to assess pharmacological intervention at specific points on this pathway. We have demonstrated this with prospective simulation of acetyl-CoA carboxylase inhibition. This simplification technique suggests how the constituent properties of an enzymatic chain of reactions gives rise to the sensitivity (to substrate) of the pathway as a whole.

  17. Progression of MDS-UPDRS Scores Over Five Years in De Novo Parkinson Disease from the Parkinson's Progression Markers Initiative Cohort.

    Science.gov (United States)

    Holden, Samantha K; Finseth, Taylor; Sillau, Stefan H; Berman, Brian D

    2018-01-01

    The Movement Disorder Society Unified Parkinson Disease Rating Scale (MDS-UDPRS) is a commonly used tool to measure Parkinson disease (PD) progression. Longitudinal changes in MDS-UPDRS scores in de novo PD have not been established. Determine progression rates of MDS-UPDRS scores in de novo PD. 362 participants from the Parkinson's Progression Markers Initiative, a multicenter longitudinal cohort study of de novo PD, were included. Longitudinal progression of MDS-UPDRS total and subscale scores were modeled using mixed model regression. MDS-UPDRS scores increased in a linear fashion over five years in de novo PD. MDS-UPDRS total score increased an estimated 4.0 points/year, Part I 0.25 points/year, Part II 1.0 points/year, and Part III 2.4 points/year. The expected average progression of MDS-UPDRS scores in de novo PD from this study can assist in clinical monitoring and provide comparative data for detection of disease modification in treatment trials.

  18. De Novo Coding Variants Are Strongly Associated with Tourette Disorder

    DEFF Research Database (Denmark)

    Willsey, A Jeremy; Fernandez, Thomas V; Yu, Dongmei

    2017-01-01

    Whole-exome sequencing (WES) and de novo variant detection have proven a powerful approach to gene discovery in complex neurodevelopmental disorders. We have completed WES of 325 Tourette disorder trios from the Tourette International Collaborative Genetics cohort and a replication sample of 186 ...

  19. De Novo Insertions and Deletions of Predominantly Paternal Origin Are Associated with Autism Spectrum Disorder

    Directory of Open Access Journals (Sweden)

    Shan Dong

    2014-10-01

    Full Text Available Summary: Whole-exome sequencing (WES studies have demonstrated the contribution of de novo loss-of-function single-nucleotide variants (SNVs to autism spectrum disorder (ASD. However, challenges in the reliable detection of de novo insertions and deletions (indels have limited inclusion of these variants in prior analyses. By applying a robust indel detection method to WES data from 787 ASD families (2,963 individuals, we demonstrate that de novo frameshift indels contribute to ASD risk (OR = 1.6; 95% CI = 1.0–2.7; p = 0.03, are more common in female probands (p = 0.02, are enriched among genes encoding FMRP targets (p = 6 × 10−9, and arise predominantly on the paternal chromosome (p < 0.001. On the basis of mutation rates in probands versus unaffected siblings, we conclude that de novo frameshift indels contribute to risk in approximately 3% of individuals with ASD. Finally, by observing clustering of mutations in unrelated probands, we uncover two ASD-associated genes: KMT2E (MLL5, a chromatin regulator, and RIMS1, a regulator of synaptic vesicle release. : Insertions and deletions (indels have proven especially difficult to detect in exome sequencing data. Dong et al. now identify indels in exome data for 787 autism spectrum disorder (ASD families. They demonstrate association between de novo indels that alter the reading frame and ASD. Furthermore, by observing clustering of indels in unrelated probands, they uncover two additional ASD-associated genes: KMT2E (MLL5, a chromatin regulator, and RIMS1, a regulator of synaptic vesicle release.

  20. Novo-desenvolvimento, capital social e desigualdade social

    Directory of Open Access Journals (Sweden)

    Ana Cristina de Oliveira Oliveira

    2012-03-01

    Full Text Available Este artigo aborda a tendência de enfrentamento da desigualdade social a partir, no campo econômico, da versão do novo-desenvolvimentismo e, no campo político e ideológico, a partir da noção de capital social, na tentativa de realizar um "capitalismo com face mais humana". Discutiremos duas ordens de questões, considerando a especificidade da formação social brasileira de capitalismo dependente: 1 a “construção de Estados fortes” para

    assegurar as condições de acumulação do capital, ampliando as margens do mercado de consumo, aliviando a pobreza e controlando possíveis tensões políticas e 2 a difusão da necessidade de construir uma sociedade em harmonia, que se traduz na incorporação da ética empreendedora dos empresários em todas as esferas sociais. Entendemos que este escopo político-econômico revela uma nova pedagogia da hegemonia, sustentada numa suposta alternativa
    de gerenciamento das novas expressões da “questão social”, voltada para educar o conformismo e ocultar o conflito de classes.
    Palavras-chave:  questão social; novo-desenvolvimentismo; capital social; inclusão forçada

  1. De novo mutations in ATP1A3 cause alternating hemiplegia of childhood

    DEFF Research Database (Denmark)

    Heinzen, Erin L; Swoboda, Kathryn J; Hitomi, Yuki

    2012-01-01

    and their unaffected parents to identify de novo nonsynonymous mutations in ATP1A3 in all seven individuals. In a subsequent sequence analysis of ATP1A3 in 98 other patients with AHC, we found that ATP1A3 mutations were likely to be responsible for at least 74% of the cases; we also identified one inherited mutation...... affecting the level of protein expression. This work identifies de novo ATP1A3 mutations as the primary cause of AHC and offers insight into disease pathophysiology by expanding the spectrum of phenotypes associated with mutations in ATP1A3....

  2. Recurrent Neural Network Model for Constructive Peptide Design.

    Science.gov (United States)

    Müller, Alex T; Hiss, Jan A; Schneider, Gisbert

    2018-02-26

    We present a generative long short-term memory (LSTM) recurrent neural network (RNN) for combinatorial de novo peptide design. RNN models capture patterns in sequential data and generate new data instances from the learned context. Amino acid sequences represent a suitable input for these machine-learning models. Generative models trained on peptide sequences could therefore facilitate the design of bespoke peptide libraries. We trained RNNs with LSTM units on pattern recognition of helical antimicrobial peptides and used the resulting model for de novo sequence generation. Of these sequences, 82% were predicted to be active antimicrobial peptides compared to 65% of randomly sampled sequences with the same amino acid distribution as the training set. The generated sequences also lie closer to the training data than manually designed amphipathic helices. The results of this study showcase the ability of LSTM RNNs to construct new amino acid sequences within the applicability domain of the model and motivate their prospective application to peptide and protein design without the need for the exhaustive enumeration of sequence libraries.

  3. Process Design for Size-Controlled Flame Spray Synthesis of Li4Ti5O12 and Electrochemical Performance

    Directory of Open Access Journals (Sweden)

    Waser Oliver

    2017-03-01

    Full Text Available Inexpensive synthesis of electroceramic materials is required for efficient energy storage. Here the design of a scalable process, flame spray pyrolysis (FSP, for synthesis of size-controlled nanomaterials is investigated focusing on understanding the role of air entrainment (AE during their aerosol synthesis with emphasis on battery materials. The AE into the enclosed FSP reactor is analysed quantitatively by computational fluid dynamics (CFD and calculated temperatures are verified by Fourier transform infrared spectroscopy (FTIR. Various Li4Ti5O12 (LTO particle compositions are made and characterized by N2 adsorption, electron microscopy and X-ray diffraction while the electrochemical performance of LTO is tested at various charging rates. Increasing AE decreases recirculation in the enclosing tube leading to lower reactor temperatures and particle concentrations by air dilution as well as shorter and narrower residence time distributions. As a result, particle growth by coagulation - coalescence decreases leading to smaller primary particles that are mostly pure LTO exhibiting high C-rate performance with more than 120 mAh/g galvanostatic specific charge at 40C, outperforming commercial LTO. The effect of AE on FSP-made particle characteristics is demonstrated also in combustion synthesis of LiFePO4 and ZrO2.

  4. Dysplastic vs. Common Naevus-associated vs. De novo Melanomas: An Observational Retrospective Study of 1,021 Patients

    Directory of Open Access Journals (Sweden)

    Alejandro Martin-Gorgojo

    2018-03-01

    Full Text Available The aim of this case-case study was to determine the differences between dysplastic and common naevus-associated melanomas (NAM and de novo melanomas. A total of 1,021 prospectively collected patients with invasive cutaneous melanoma from an oncology referral centre were included in the study. Of these, 75.51% had de novo melanomas, 12.93% dysplastic NAM, and 11.56% common NAM. Dysplastic NAM, compared with de novo melanomas, were associated with intermittently photo-exposed sites, atypical melanocytic naevi, decreased tumour thickness, and presence of MC1R non-synonymous variants. Common NAM were more frequent on the trunk and of superficial spreading type. Comparison of dysplastic with common NAM showed significant difference only with regard to mitoses. Both subtypes of NAM shared less aggressive traits than de novo melanomas, albeit with no significant differences in survival after multivariate adjustment. In conclusion, NAM present with less aggressive traits, mostly due to a greater awareness among patients of changing moles than due to their intrinsic biological characteristics.

  5. The NOVO Network: the original scientific basis for its establishment and our R&D vision

    OpenAIRE

    Winkel, Jørgen; Edwards, Kasper; Dellve, L.; Schiller, B.; Westgaard, Rolf H.

    2017-01-01

    The NOVO network is a Nordic non-governmental professional association whose aims are to foster the scientific progress, knowledge and development of the working environment within Healthcare as an integrated part of production system development. The vision is a “Nordic Model for Sustainable Systems” in the healthcare sector. It was founded in 2006 in Copenhagen and was financially supported by the Nordic Council of Ministers from 2007 to 2015. The motivation to establish the NOVO Network ar...

  6. Workshop Synthesis: Stated Preference Surveys and Experimental Design, an Audit of the Journey so far and Future Research Perspectives

    DEFF Research Database (Denmark)

    Cherchi, Elisabetta; Hensher, David A.

    2015-01-01

    This paper is a synthesis of the discussions and ideas that were generated during the workshop on “Stated preference surveys and experimental design” at the 2014 Travel Survey Methods Conference in Leura (Australia). The workshop addressed the challenges related to the design and implementation o...

  7. A human-specific de novo protein-coding gene associated with human brain functions.

    Directory of Open Access Journals (Sweden)

    Chuan-Yun Li

    2010-03-01

    Full Text Available To understand whether any human-specific new genes may be associated with human brain functions, we computationally screened the genetic vulnerable factors identified through Genome-Wide Association Studies and linkage analyses of nicotine addiction and found one human-specific de novo protein-coding gene, FLJ33706 (alternative gene symbol C20orf203. Cross-species analysis revealed interesting evolutionary paths of how this gene had originated from noncoding DNA sequences: insertion of repeat elements especially Alu contributed to the formation of the first coding exon and six standard splice junctions on the branch leading to humans and chimpanzees, and two subsequent substitutions in the human lineage escaped two stop codons and created an open reading frame of 194 amino acids. We experimentally verified FLJ33706's mRNA and protein expression in the brain. Real-Time PCR in multiple tissues demonstrated that FLJ33706 was most abundantly expressed in brain. Human polymorphism data suggested that FLJ33706 encodes a protein under purifying selection. A specifically designed antibody detected its protein expression across human cortex, cerebellum and midbrain. Immunohistochemistry study in normal human brain cortex revealed the localization of FLJ33706 protein in neurons. Elevated expressions of FLJ33706 were detected in Alzheimer's brain samples, suggesting the role of this novel gene in human-specific pathogenesis of Alzheimer's disease. FLJ33706 provided the strongest evidence so far that human-specific de novo genes can have protein-coding potential and differential protein expression, and be involved in human brain functions.

  8. Genome sequencing of bacteria: sequencing, de novo assembly and rapid analysis using open source tools.

    Science.gov (United States)

    Kisand, Veljo; Lettieri, Teresa

    2013-04-01

    De novo genome sequencing of previously uncharacterized microorganisms has the potential to open up new frontiers in microbial genomics by providing insight into both functional capabilities and biodiversity. Until recently, Roche 454 pyrosequencing was the NGS method of choice for de novo assembly because it generates hundreds of thousands of long reads (tools for processing NGS data are increasingly free and open source and are often adopted for both their high quality and role in promoting academic freedom. The error rate of pyrosequencing the Alcanivorax borkumensis genome was such that thousands of insertions and deletions were artificially introduced into the finished genome. Despite a high coverage (~30 fold), it did not allow the reference genome to be fully mapped. Reads from regions with errors had low quality, low coverage, or were missing. The main defect of the reference mapping was the introduction of artificial indels into contigs through lower than 100% consensus and distracting gene calling due to artificial stop codons. No assembler was able to perform de novo assembly comparable to reference mapping. Automated annotation tools performed similarly on reference mapped and de novo draft genomes, and annotated most CDSs in the de novo assembled draft genomes. Free and open source software (FOSS) tools for assembly and annotation of NGS data are being developed rapidly to provide accurate results with less computational effort. Usability is not high priority and these tools currently do not allow the data to be processed without manual intervention. Despite this, genome assemblers now readily assemble medium short reads into long contigs (>97-98% genome coverage). A notable gap in pyrosequencing technology is the quality of base pair calling and conflicting base pairs between single reads at the same nucleotide position. Regardless, using draft whole genomes that are not finished and remain fragmented into tens of contigs allows one to characterize

  9. Type synthesis and preliminary design of devices supporting lower limb's rehabilitation.

    Science.gov (United States)

    Olinski, Michał; Lewandowski, Bogusz; Gronowicz, Antoni

    2015-01-01

    Based on the analysis of existing solutions, biomechanics of human lower limbs and anticipated applications, results of con- siderations concerning the necessary number of degrees of freedom for the designed device supporting rehabilitation of lower extremities are presented. An analysis was carried out in order to determine the innovative kinematic structure of the device, ensuring sufficient mobility and functionality while minimizing the number of degrees of freedom. With the aid of appropriate formalised meth- ods, for instance, type synthesis, a complete variety of solutions for leg joints were obtained in the form of basic and kinematic schemes, having the potential to find application in devices supporting lower limb rehabilitation. A 3D model of ankle joint module was built in Autodesk Inventor System, then imported to Adams and assembled into a moving numerical model of a mechanism. Several conducted simulations resulted in finding the required maximum stroke of the cylinders. A comparison of the angular ranges of ankle joint and similar devices with the ones achieved by the designed device indicated a sufficient reserve allowing not only movements typical of gait, but approximately achieving the passive range of motion for the ankle joint.

  10. Transferable coarse-grained potential for de novo protein folding and design.

    Directory of Open Access Journals (Sweden)

    Ivan Coluzza

    Full Text Available Protein folding and design are major biophysical problems, the solution of which would lead to important applications especially in medicine. Here we provide evidence of how a novel parametrization of the Caterpillar model may be used for both quantitative protein design and folding. With computer simulations it is shown that, for a large set of real protein structures, the model produces designed sequences with similar physical properties to the corresponding natural occurring sequences. The designed sequences require further experimental testing. For an independent set of proteins, previously used as benchmark, the correct folded structure of both the designed and the natural sequences is also demonstrated. The equilibrium folding properties are characterized by free energy calculations. The resulting free energy profiles not only are consistent among natural and designed proteins, but also show a remarkable precision when the folded structures are compared to the experimentally determined ones. Ultimately, the updated Caterpillar model is unique in the combination of its fundamental three features: its simplicity, its ability to produce natural foldable designed sequences, and its structure prediction precision. It is also remarkable that low frustration sequences can be obtained with such a simple and universal design procedure, and that the folding of natural proteins shows funnelled free energy landscapes without the need of any potentials based on the native structure.

  11. Hierarchical modeling and robust synthesis for the preliminary design of large scale complex systems

    Science.gov (United States)

    Koch, Patrick Nathan

    Large-scale complex systems are characterized by multiple interacting subsystems and the analysis of multiple disciplines. The design and development of such systems inevitably requires the resolution of multiple conflicting objectives. The size of complex systems, however, prohibits the development of comprehensive system models, and thus these systems must be partitioned into their constituent parts. Because simultaneous solution of individual subsystem models is often not manageable iteration is inevitable and often excessive. In this dissertation these issues are addressed through the development of a method for hierarchical robust preliminary design exploration to facilitate concurrent system and subsystem design exploration, for the concurrent generation of robust system and subsystem specifications for the preliminary design of multi-level, multi-objective, large-scale complex systems. This method is developed through the integration and expansion of current design techniques: (1) Hierarchical partitioning and modeling techniques for partitioning large-scale complex systems into more tractable parts, and allowing integration of subproblems for system synthesis, (2) Statistical experimentation and approximation techniques for increasing both the efficiency and the comprehensiveness of preliminary design exploration, and (3) Noise modeling techniques for implementing robust preliminary design when approximate models are employed. The method developed and associated approaches are illustrated through their application to the preliminary design of a commercial turbofan turbine propulsion system; the turbofan system-level problem is partitioned into engine cycle and configuration design and a compressor module is integrated for more detailed subsystem-level design exploration, improving system evaluation.

  12. Synthesizing Knowledge in Design Research

    DEFF Research Database (Denmark)

    Piirainen, Kalle A.

    2016-01-01

    The chapter discusses knowledge synthesis in design research, bringing together the perspectives of experimental design research, or Research in Design Context that is treated extensively elsewhere in this book, and Design Inclusive Research as well as Practice-based Design Research. Specific...... attention is paid to the question of how practice-based or problem-driven design research processes can be rigorous and yield contributions to knowledge. The main argument in this chapter is that a key to knowledge synthesis and scientific contribution is setting explicit design propositions...... that are instantiated within design artefacts, and evaluated rigorously. The chapter starts with a discussion of knowledge creation and synthesis within design research.Following this, the chapter moves on to focus on setting a methodological framework for deriving design propositions. Lastly the chapter elaborates...

  13. Phosphatidylserine biosynthesis in cultured Chinese hamster ovary cells. I. Inhibition of de novo phosphatidylserine biosynthesis by exogenous phosphatidylserine and its efficient incorporation

    International Nuclear Information System (INIS)

    Nishijima, M.; Kuge, O.; Akamatsu, Y.

    1986-01-01

    The effect of phosphatidylserine exogenously added to the medium on de novo biosynthesis of phosphatidylserine was investigated in cultured Chinese hamster ovary cells. When cells were cultured for several generations in medium supplemented with phosphatidylserine and 32 Pi, the incorporation of 32 Pi into cellular phosphatidylserine was remarkably inhibited, the degree of inhibition being dependent upon the concentration of added phosphatidylserine. 32 Pi uptake into cellular phosphatidylethanolamine was also partly reduced by the addition of exogenous phosphatidylserine, consistent with the idea that phosphatidylethanolamine is biosynthesized via decarboxylation of phosphatidylserine. However, incorporation of 32 Pi into phosphatidylcholine, sphingomyelin, and phosphatidylinositol was not significantly affected. In contrast, the addition of either phosphatidylcholine, sphingomyelin, phosphatidylethanolamine, or phosphatidylinositol to the medium did not inhibit endogenous biosynthesis of the corresponding phospholipid. Radiochemical and chemical analyses of the cellular phospholipid composition revealed that phosphatidylserine in cells grown with 80 microM phosphatidylserine was almost entirely derived from the added phospholipid. Phosphatidylserine uptake was also directly determined by using [ 3 H]serine-labeled phospholipid. Pulse and pulse-chase experiments with L-[U- 14 C] serine showed that when cells were cultured with 80 microM phosphatidylserine, the rate of synthesis of phosphatidylserine was reduced 3-5-fold. Enzyme assaying of extracts prepared from cells grown with and without phosphatidylserine indicated that the inhibition of de novo phosphatidylserine biosynthesis by the added phosphatidylserine appeared not to be caused by a reduction in the level of the enzyme involved in the base-exchange reaction between phospholipids and serine

  14. Spontaneous de novo vaginal adenosis resembling Bartholin’s ...

    African Journals Online (AJOL)

    Adebayo Alade Adewole

    Spontaneous de novo vaginal adenosis resembling Bartholin's cyst: A case report ... 6 by 5 cm. The cervix, uterus, adnexa and Pouch of Douglas (POD) were normal. .... of vaginal cancer.2–4 Although, DES exposed daughters have an.

  15. Plasma cholesterol and endogenous cholesterol synthesis during refeeding in anorexia nervosa.

    Science.gov (United States)

    Feillet, F; Feillet-Coudray, C; Bard, J M; Parra, H J; Favre, E; Kabuth, B; Fruchart, J C; Vidailhet, M

    2000-04-01

    Normal or high levels of cholesterol have been measured in patients with anorexia nervosa (AN). Given that cholesterol intake in AN is usually very low, the reasons for this anomaly are not clearly understood. We studied lipid and lipoprotein profiles and endogenous cholesterol synthesis, estimated by serum lathosterol, in a population of 14 girls with AN, before and during a period of 30 days refeeding. The initial body mass index (BMI) of the patients was 13.41+/-1.62 kg/m(2). No changes were observed during refeeding in endocrine parameters (ACTH, cortisol and estradiol). At Day 0 the lipids data measured here showed normal levels of triglycerides, and total cholesterol at the upper limits of the normal range (5.44+/-1 mmol/l). At this time, total and LDL cholesterol were negatively correlated with transthyretin and BMI. Serum lathosterol (a precursor in cholesterol synthesis pathway) increased significantly (5.99+/-1.75 (Day 0) vs. 8.39+/-2.96 (Day 30); P=0.02) while there was a significant decrease in apo B (0.79+/-0.33 (Day 0) vs. 0. 60+/-0.17 g/l (Day 30), P=0.02) with refeeding. Thus, patients with initial high cholesterol levels have the worst nutritional status and high cholesterol levels are not related to a de novo synthesis. This profile returns to normal with refeeding. An increase of cellular cholesterol uptake may be responsible for this apparently paradoxical evolution with increase of cholesterol synthesis and decrease of apo B during renutrition.

  16. Inducible Expression of the De-Novo Designed Antimicrobial Peptide SP1-1 in Tomato Confers Resistance to Xanthomonas campestris pv. vesicatoria.

    Directory of Open Access Journals (Sweden)

    Areli Herrera Diaz

    Full Text Available Antimicrobial peptides (AMPs are small peptides with less than 50 amino acids and are part of the innate immune response in almost all organisms, including bacteria, vertebrates, invertebrates and plants. AMPs are active against a broad-spectrum of pathogens. The inducible expression of AMPs in plants is a promising approach to combat plant pathogens with minimal negative side effects, such as phytotoxicity or infertility. In this study, inducible expression of the de-novo designed AMP SP1-1 in Micro Tom tomato protected tomato fruits against bacterial spot disease caused by Xanthomonas campestris pv. vesicatoria. The peptide SP1-1 was targeted to the apoplast which is the primary infection site for plant pathogens, by fusing SP1-1 peptide to the signal peptide RsAFP1 of radish (Raphanus sativus. The pathogen inducibility of the expression was enabled by using an optimized inducible 4XW2/4XS promoter. As a result, the tomato fruits of independently generated SP1-1 transgenic lines were significantly more resistant to X. campestris pv. vesicatoria than WT tomato fruits. In transgenic lines, bacterial infection was reduced up to 65% in comparison to the infection of WT plants. Our study demonstrates that the combination of the 4XW2/4XS cis-element from parsley with the synthetic antimicrobial peptide SP1-1 is a good alternative to protect tomato fruits against infections with X. campestris pv. vesicatoria.

  17. Hybrid design tools for conceptual design and design engineering processes: bridging the design gap: towards an intuitive design tool

    NARCIS (Netherlands)

    Wendrich, Robert E.

    2016-01-01

    Hybrid Design Tools; Representation; Computational Synthesis. Non-linear, non-explicit, non-standard thinking and ambiguity in design tools has a great impact on enhancement of creativity during ideation and conceptualization. Tacit-tangible representation based on a mere idiosyncratic and

  18. Design, synthesis and photochemical properties of the first examples of iminosugar clusters based on fluorescent cores

    Directory of Open Access Journals (Sweden)

    Mathieu L. Lepage

    2015-05-01

    Full Text Available The synthesis and photophysical properties of the first examples of iminosugar clusters based on a BODIPY or a pyrene core are reported. The tri- and tetravalent systems designed as molecular probes and synthesized by way of Cu(I-catalysed azide–alkyne cycloadditions are fluorescent analogues of potent pharmacological chaperones/correctors recently reported in the field of Gaucher disease and cystic fibrosis, two rare genetic diseases caused by protein misfolding.

  19. Immobilization of cadmium in soils by UV-mutated Bacillus subtilis 38 bioaugmentation and NovoGro amendment

    International Nuclear Information System (INIS)

    Jiang Chunxiao; Sun Hongwen; Sun Tieheng; Zhang Qingmin; Zhang Yanfeng

    2009-01-01

    Immobilization of cadmium (10 mg Cd per kilogram soil) in soil by bioaugmentation of a UV-mutated microorganism, Bacillus subtilis 38 accompanied with amendment of a bio-fertilizer, NovoGro was investigated using extractable cadmium (E-Cd) by DTPA. B. subtilis 38, the mutant with the strongest resistance against Cd, could bioaccumulate Cd four times greater than the original wild type. Single bioaugmentation of B. subtilis 38 (SB treatment) to soil however did not reduce E-Cd significantly, while the amendment of NovoGro (SN treatment) reduced E-Cd remarkably. Simultaneous application of B. subtilis 38 and NovoGro (SNB treatment) exhibited a synergetic effect compared to the single SB and SN treatment. The immobilization effect was significantly affected by temperature, soil moisture, and pH. It seems that the immobilization on Cd reached the maximum when environmental conditions favored the activity of microorganisms. Under the optimum conditions, after 90 days incubation, E-Cd was 3.34, 3.39, 2.25 and 0.87 mg kg -1 in the control soil, SB, SN and SNB soils, respectively. NovoGro not only showed a great capacity for Cd adsorption, but also promoted the growth of B. subtilis 38. This study provides a potential cost-effective technique for in situ remediation of Cd contaminated soils with bioaugmentation.

  20. Efficient Information and Data Management in Synthesis and Design of Processing Netorks

    DEFF Research Database (Denmark)

    Quaglia, Alberto; Sin, Gürkan; Gani, Rafiqul

    industrial use of EWO, therefore, methods and tools for efficient information and data management need to be developed. In this contribution, we present a systematic data architecture, which is integrated in our framework for synthesis and design of processing networks (Quaglia et al., submitted). The data...... studies. The case studies are selected from different industrial segments, such as food processing (soybean processing network), water and wastewater management (refinery wastewater treatment and reuse; municipal water treatment) and biorefinery....... a large number (typically 1000-100,000) of data (Quaglia et. al, submitted). As a result, EWO problem formulation is a time and resource intensive task. Moreover, compilation errors results in faulty problem specifications, and may compromise the quality of the obtained solution. In order to enable...

  1. De novo nonsense mutations in ASXL1 cause Bohring-Opitz syndrome

    NARCIS (Netherlands)

    Hoischen, Alexander; van Bon, Bregje W. M.; Rodríguez-Santiago, Benjamín; Gilissen, Christian; Vissers, Lisenka E. L. M.; de Vries, Petra; Janssen, Irene; van Lier, Bart; Hastings, Rob; Smithson, Sarah F.; Newbury-Ecob, Ruth; Kjaergaard, Susanne; Goodship, Judith; McGowan, Ruth; Bartholdi, Deborah; Rauch, Anita; Peippo, Maarit; Cobben, Jan M.; Wieczorek, Dagmar; Gillessen-Kaesbach, Gabriele; Veltman, Joris A.; Brunner, Han G.; de Vries, Bert B. B. A.

    2011-01-01

    Bohring-Opitz syndrome is characterized by severe intellectual disability, distinctive facial features and multiple congenital malformations. We sequenced the exomes of three individuals with Bohring-Opitz syndrome and in each identified heterozygous de novo nonsense mutations in ASXL1, which is

  2. Human native lipoprotein-induced de novo DNA methylation is associated with repression of inflammatory genes in THP-1 macrophages.

    Science.gov (United States)

    Rangel-Salazar, Rubén; Wickström-Lindholm, Marie; Aguilar-Salinas, Carlos A; Alvarado-Caudillo, Yolanda; Døssing, Kristina B V; Esteller, Manel; Labourier, Emmanuel; Lund, Gertrud; Nielsen, Finn C; Rodríguez-Ríos, Dalia; Solís-Martínez, Martha O; Wrobel, Katarzyna; Wrobel, Kazimierz; Zaina, Silvio

    2011-11-25

    We previously showed that a VLDL- and LDL-rich mix of human native lipoproteins induces a set of repressive epigenetic marks, i.e. de novo DNA methylation, histone 4 hypoacetylation and histone 4 lysine 20 (H4K20) hypermethylation in THP-1 macrophages. Here, we: 1) ask what gene expression changes accompany these epigenetic responses; 2) test the involvement of candidate factors mediating the latter. We exploited genome expression arrays to identify target genes for lipoprotein-induced silencing, in addition to RNAi and expression studies to test the involvement of candidate mediating factors. The study was conducted in human THP-1 macrophages. Native lipoprotein-induced de novo DNA methylation was associated with a general repression of various critical genes for macrophage function, including pro-inflammatory genes. Lipoproteins showed differential effects on epigenetic marks, as de novo DNA methylation was induced by VLDL and to a lesser extent by LDL, but not by HDL, and VLDL induced H4K20 hypermethylation, while HDL caused H4 deacetylation. The analysis of candidate factors mediating VLDL-induced DNA hypermethylation revealed that this response was: 1) surprisingly, mediated exclusively by the canonical maintenance DNA methyltransferase DNMT1, and 2) independent of the Dicer/micro-RNA pathway. Our work provides novel insights into epigenetic gene regulation by native lipoproteins. Furthermore, we provide an example of DNMT1 acting as a de novo DNA methyltransferase independently of canonical de novo enzymes, and show proof of principle that de novo DNA methylation can occur independently of a functional Dicer/micro-RNA pathway in mammals.

  3. An 11bp region with stem formation potential is essential for de novo DNA methylation of the RPS element.

    Directory of Open Access Journals (Sweden)

    Matthew Gentry

    Full Text Available The initiation of DNA methylation in Arabidopsis is controlled by the RNA-directed DNA methylation (RdDM pathway that uses 24nt siRNAs to recruit de novo methyltransferase DRM2 to the target site. We previously described the REPETITIVE PETUNIA SEQUENCE (RPS fragment that acts as a hot spot for de novo methylation, for which it requires the cooperative activity of all three methyltransferases MET1, CMT3 and DRM2, but not the RdDM pathway. RPS contains two identical 11nt elements in inverted orientation, interrupted by a 18nt spacer, which resembles the features of a stemloop structure. The analysis of deletion/substitution derivatives of this region showed that deletion of one 11nt element RPS is sufficient to eliminate de novo methylation of RPS. In addition, deletion of a 10nt region directly adjacent to one of the 11nt elements, significantly reduced de novo methylation. When both 11nt regions were replaced by two 11nt elements with altered DNA sequence but unchanged inverted repeat homology, DNA methylation was not affected, indicating that de novo methylation was not targeted to a specific DNA sequence element. These data suggest that de novo DNA methylation is attracted by a secondary structure to which the two 11nt elements contribute, and that the adjacent 10nt region influences the stability of this structure. This resembles the recognition of structural features by DNA methyltransferases in animals and suggests that similar mechanisms exist in plants.

  4. De novo complex intra chromosomal rearrangement after ICSI: characterisation by BACs micro array-CGH

    Directory of Open Access Journals (Sweden)

    Quimsiyeh Mazin

    2008-12-01

    Full Text Available Abstract Background In routine Assisted Reproductive Technology (ART men with severe oligozoospermia or azoospermia should be informed about the risk of de novo congenital or chromosomal abnormalities in ICSI program. Also the benefits of preimplantation or prenatal genetic diagnosis practice need to be explained to the couple. Methods From a routine ICSI attempt, using ejaculated sperm from male with severe oligozoospermia and having normal karyotype, a 30 years old pregnant woman was referred to prenatal diagnosis in the 17th week for bichorionic biamniotic twin gestation. Amniocentesis was performed because of the detection of an increased foetal nuchal translucency for one of the fetus by the sonographic examination during the 12th week of gestation (WG. Chromosome and DNA studies of the fetus were realized on cultured amniocytes Results Conventional, molecular cytogenetic and microarray CGH experiments allowed us to conclude that the fetus had a de novo pericentromeric inversion associated with a duplication of the 9p22.1-p24 chromosomal region, 46,XY,invdup(9(p22.1p24 [arrCGH 9p22.1p24 (RP11-130C19 → RP11-87O1x3]. As containing the critical 9p22 region, our case is in coincidence with the general phenotype features of the partial trisomy 9p syndrome with major growth retardation, microcephaly and microretrognathia. Conclusion This de novo complex chromosome rearrangement illustrates the possible risk of chromosome or gene defects in ICSI program and the contribution of array-CGH for mapping rapidly de novo chromosomal imbalance.

  5. De novo and salvage pathway precursor incorporation during DNA replication at the nuclear matrix

    International Nuclear Information System (INIS)

    Panzeter, P.L.

    1988-01-01

    Total nuclear DNA can be empirically subdivided into low salt-soluble (LS) DNA (75-80%), high salt-soluble (HS) DNA (18-23%), and nuclear matrix-associated (NM) DNA which remains tightly bound to the nuclear matrix (∼2%). The most-newly replicated DNA is that associated with the nuclear matrix in regenerating rat liver. Analyses of the DNA fractions after various pulse times revealed that the salvage and de novo pathway DNA precursors investigated were incorporated preferentially into NM-DNA at early pulse times, after which the radioactivity became progressively incorporated into HS- and LS-DNA, respectively. These results support two models of nuclear matrix-associated DNA replication, proposed previously, and a third model presented in this dissertation. In addition, the incorporation of de novo pathway precursors lagged significantly (> 10 minutes) behind the incorporation of precursors entering through the salvage pathway. Channeling of salvage pathway precursors to DNA replication sites would explain the more rapid uptake of salvage precursors into NM-DNA than de novo precursors. To investigate the possibility of this heretofore in vitro phenomenon, the incorporation of the salvage precursor, ( 3 H)deoxythymidine, and the de novo precursor, ( 14 C)orotic acid, into NM-DNA and dTTP was examined in regenerating rat liver. There was no significant difference between the incorporation pattern of ( 14 C)orotic acid into NM-DNA thymine and that of ( 14 C)orotic acid into soluble dTTP. Contrastingly, the salvage pathway precursor, ( 3 H)deoxythymidine, labeled NM-DNA before labeling the dTTP pool

  6. Design and synthesis of mixed oxides nanoparticles for biofuel applications

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Senniang [Iowa State Univ., Ames, IA (United States)

    2010-05-15

    The work in this dissertation presents the synthesis of two mixed metal oxides for biofuel applications and NMR characterization of silica materials. In the chapter 2, high catalytic efficiency of calcium silicate is synthesized for transesterfication of soybean oil to biodisels. Chapter 3 describes the synthesis of a new Rh based catalyst on mesoporous manganese oxides. The new catalyst is found to have higher activity and selectivity towards ethanol. Chapter 4 demonstrates the applications of solid-state Si NMR in the silica materials.

  7. Inhibition of local estrogen synthesis in the hippocampus impairs hippocampal memory consolidation in ovariectomized female mice.

    Science.gov (United States)

    Tuscher, Jennifer J; Szinte, Julia S; Starrett, Joseph R; Krentzel, Amanda A; Fortress, Ashley M; Remage-Healey, Luke; Frick, Karyn M

    2016-07-01

    The potent estrogen 17β-Estradiol (E2) plays a critical role in mediating hippocampal function, yet the precise mechanisms through which E2 enhances hippocampal memory remain unclear. In young adult female rodents, the beneficial effects of E2 on memory are generally attributed to ovarian-synthesized E2. However, E2 is also synthesized in the adult brain in numerous species, where it regulates synaptic plasticity and is synthesized in response to experiences such as exposure to females or conspecific song. Although de novo E2 synthesis has been demonstrated in rodent hippocampal cultures, little is known about the functional role of local E2 synthesis in mediating hippocampal memory function. Therefore, the present study examined the role of hippocampal E2 synthesis in hippocampal memory consolidation. Using bilateral dorsal hippocampal infusions of the aromatase inhibitor letrozole, we first found that blockade of dorsal hippocampal E2 synthesis impaired hippocampal memory consolidation. We next found that elevated levels of E2 in the dorsal hippocampus observed 30min after object training were blocked by dorsal hippocampal infusion of letrozole, suggesting that behavioral experience increases acute and local E2 synthesis. Finally, aromatase inhibition did not prevent exogenous E2 from enhancing hippocampal memory consolidation, indicating that hippocampal E2 synthesis is not necessary for exogenous E2 to enhance hippocampal memory. Combined, these data are consistent with the hypothesis that hippocampally-synthesized E2 is necessary for hippocampus-dependent memory consolidation in rodents. Copyright © 2016 Elsevier Inc. All rights reserved.

  8. Melhoramento do cafeeiro: XLII. Produtividade de progênies derivadas de hibridação dos cultivares Laurina e Mundo Novo Coffee breeding: XLII. Yield of progenies from crosses of Laurina and Mundo Novo cultivars of Coffea arabica L.

    Directory of Open Access Journals (Sweden)

    Alcides Carvalho

    1988-01-01

    Full Text Available O cultivar Laurina de Coffea arabica L. caracteriza-se pelo pequeno porte, folhas de dimensões reduzidas, frutos afilados na base, sementes pequenas e afiladas, pequeno rendimento e reduzida produção. Apresenta, no entanto, bebida de boa qualidade e baixo teor de cafeína nas sementes. Suas principais características são controladas pela ação de um par de alelos recessivos lrlr, de acentuado efeito pleiotrópico. Devido ao atual interesse do comércio por produto de baixo teor de cafeína, iniciaram-se pesquisas tendo em vista principalmente aumentar a produtividade do 'Laurina'. Para esse fim, realizaram-se numerosas hibridações de cafeeiros do 'Laurina' com os do 'Mundo Novo' (Coffea arabica e, posteriormente, retrocruzamentos com o 'Mundo Novo'. Estudaram-se as progênies F2 e retrocruzamentos com o 'Mundo Novo' (RC em Campinas, em um experimento, anotando-se as produções por oito anos consecutivos. Separaram-se algumas progênies F2 em dois grupos, antes do plantio: normais (LrLr,Lrlr e laurina (Irlr. Como testemunhas, usaram-se progênies do 'Mundo Novo' e 'Catuaí Amarelo' de C. arabica. O conjunto de plantas F2 do grupo laurina e os retrocruzamentos tiveram produção média maior do que as plantas F2 normais, porém menor do que as testemunhas. Alguns retrocruzamentos e progênies F2 apresentaram plantas com razoável produtividade, indicando que, através de retrocruzamentos com o 'Mundo Novo', podem-se obter novos tipos comerciais com as características morfológicas do 'Laurina'. Fizeram-se considerações sobre a melhor capacidade de combinação do 'Laurina' com algumas seleções do 'Mundo Novo'.The Laurina cultivars of Coffea arabica L. has a reduced plant size, small leaves, small and pointed seeds and low yield capacity. However the seeds have a good cup quality and the desirable characteristic of low caffeine content The Laurina phenotype is supposed to be controlled by a pair of recessive alleles lrlr, with

  9. Glucocorticoids regulate surfactant protein synthesis in a pulmonary adenocarcinoma cell line

    International Nuclear Information System (INIS)

    O'Reilly, M.A.; Gazdar, A.F.; Clark, J.C.; Pilot-Matias, T.J.; Wert, S.E.; Hull, W.M.; Whitsett, J.A.

    1989-01-01

    Synthesis of pulmonary surfactant proteins SP-A, SP-B, and SP-C was demonstrated in a cell line derived from a human adenocarcinoma of the lung. The cells contained numerous lamellar inclusion bodies and formed organized groups of cells containing well-developed junctional complexes and apical microvillous membranes. Synthesis of SP-A was detected in the cells by enzyme-linked immunoabsorbent assay and by immunoprecipitation of [35S]methionine-labeled protein. SP-A was identified as an Mr 31,000-36,000 polypeptide containing asparagine-linked carbohydrate. Northern blot analysis detected SP-A mRNA of 2.2 kb. Dexamethasone (1-10 nM) enhanced the relative abundance of SP-A mRNA. Despite stimulation of SP-A mRNA, intracellular SP-A content was unaltered or inhibited by dexamethasone. SP-B and SP-C mRNAs and synthesis of the SP-B and SP-C precursors were markedly induced by dexamethasone. ProSP-B was synthesized and secreted primarily as an Mr 42,000-46,000 polypeptide. Proteolysis of the proSP-B resulted in the generation of endoglycosidase F-sensitive Mr = 19,000-21,000 and 25,000-27,000 peptides, which were detected both intra- and extracellularly. SP-C proprotein of Mr = 22,000 and smaller SP-C fragments were detected intracellularly but were not detected in the media. Mature forms of SP-B (Mr = 8,000) and SP-C (Mr = 4,000) were not detected. Glucocorticoids directly enhance the relative synthesis and mRNA of the surfactant proteins SP-A, SP-B, and SP-C. Discrepancies among SP-A mRNA, its de novo synthesis, and cell content suggest that glucocorticoid may alter both pre- and posttranslational factors modulating SP-A expression

  10. Analysis of Mammalian Cell Proliferation and Macromolecule Synthesis Using Deuterated Water and Gas Chromatography-Mass Spectrometry

    Directory of Open Access Journals (Sweden)

    Victoria C. Foletta

    2016-10-01

    Full Text Available Deuterated water (2H2O, a stable isotopic tracer, provides a convenient and reliable way to label multiple cellular biomass components (macromolecules, thus permitting the calculation of their synthesis rates. Here, we have combined 2H2O labelling, GC-MS analysis and a novel cell fractionation method to extract multiple biomass components (DNA, protein and lipids from the one biological sample, thus permitting the simultaneous measurement of DNA (cell proliferation, protein and lipid synthesis rates. We have used this approach to characterize the turnover rates and metabolism of a panel of mammalian cells in vitro (muscle C2C12 and colon cancer cell lines. Our data show that in actively-proliferating cells, biomass synthesis rates are strongly linked to the rate of cell division. Furthermore, in both proliferating and non-proliferating cells, it is the lipid pool that undergoes the most rapid turnover when compared to DNA and protein. Finally, our data in human colon cancer cell lines reveal a marked heterogeneity in the reliance on the de novo lipogenic pathway, with the cells being dependent on both ‘self-made’ and exogenously-derived fatty acid.

  11. Compromised mitochondrial fatty acid synthesis in transgenic mice results in defective protein lipoylation and energy disequilibrium.

    Directory of Open Access Journals (Sweden)

    Stuart Smith

    Full Text Available A mouse model with compromised mitochondrial fatty acid synthesis has been engineered in order to assess the role of this pathway in mitochondrial function and overall health. Reduction in the expression of mitochondrial malonyl CoA-acyl carrier protein transacylase, a key enzyme in the pathway encoded by the nuclear Mcat gene, was achieved to varying extents in all examined tissues employing tamoxifen-inducible Cre-lox technology. Although affected mice consumed more food than control animals, they failed to gain weight, were less physically active, suffered from loss of white adipose tissue, reduced muscle strength, kyphosis, alopecia, hypothermia and shortened lifespan. The Mcat-deficient phenotype is attributed primarily to reduced synthesis, in several tissues, of the octanoyl precursors required for the posttranslational lipoylation of pyruvate and α-ketoglutarate dehydrogenase complexes, resulting in diminished capacity of the citric acid cycle and disruption of energy metabolism. The presence of an alternative lipoylation pathway that utilizes exogenous free lipoate appears restricted to liver and alone is insufficient for preservation of normal energy metabolism. Thus, de novo synthesis of precursors for the protein lipoylation pathway plays a vital role in maintenance of mitochondrial function and overall vigor.

  12. De novo transcriptome assembly of Setatria italica variety Taejin

    Directory of Open Access Journals (Sweden)

    Yeonhwa Jo

    2016-06-01

    Full Text Available Foxtail millet (Setaria italica belonging to the family Poaceae is an important millet that is widely cultivated in East Asia. Of the cultivated millets, the foxtail millet has the longest history and is one of the main food crops in South India and China. Moreover, foxtail millet is a model plant system for biofuel generation utilizing the C4 photosynthetic pathway. In this study, we carried out de novo transcriptome assembly for the foxtail millet variety Taejin collected from Korea using next-generation sequencing. We obtained a total of 8.676 GB raw data by paired-end sequencing. The raw data in this study can be available in NCBI SRA database with accession number of SRR3406552. The Trinity program was used to de novo assemble 145,332 transcripts. Using the TransDecoder program, we predicted 82,925 putative proteins. BLASTP was performed against the Swiss-Prot protein sequence database to annotate the functions of identified proteins, resulting in 20,555 potentially novel proteins. Taken together, this study provides transcriptome data for the foxtail millet variety Taejin by RNA-Seq.

  13. Eindhoven school for technological design : design education and design research

    NARCIS (Netherlands)

    Trum, H.M.G.J.; Oxman, R.M.; Bax, M.F.Th.

    1995-01-01

    Nine years after starting the two-year post-graduate programme on technological design [Ackermans and Trum, 1988], Eindhoven University of Technology (EUT) decided to enhance the development of synthesis-oriented engineering education by establishing a post-graduate school for technological design.

  14. Optimizing de novo common wheat transcriptome assembly using short-read RNA-Seq data

    Directory of Open Access Journals (Sweden)

    Duan Jialei

    2012-08-01

    Full Text Available Abstract Background Rapid advances in next-generation sequencing methods have provided new opportunities for transcriptome sequencing (RNA-Seq. The unprecedented sequencing depth provided by RNA-Seq makes it a powerful and cost-efficient method for transcriptome study, and it has been widely used in model organisms and non-model organisms to identify and quantify RNA. For non-model organisms lacking well-defined genomes, de novo assembly is typically required for downstream RNA-Seq analyses, including SNP discovery and identification of genes differentially expressed by phenotypes. Although RNA-Seq has been successfully used to sequence many non-model organisms, the results of de novo assembly from short reads can still be improved by using recent bioinformatic developments. Results In this study, we used 212.6 million pair-end reads, which accounted for 16.2 Gb, to assemble the hexaploid wheat transcriptome. Two state-of-the-art assemblers, Trinity and Trans-ABySS, which use the single and multiple k-mer methods, respectively, were used, and the whole de novo assembly process was divided into the following four steps: pre-assembly, merging different samples, removal of redundancy and scaffolding. We documented every detail of these steps and how these steps influenced assembly performance to gain insight into transcriptome assembly from short reads. After optimization, the assembled transcripts were comparable to Sanger-derived ESTs in terms of both continuity and accuracy. We also provided considerable new wheat transcript data to the community. Conclusions It is feasible to assemble the hexaploid wheat transcriptome from short reads. Special attention should be paid to dealing with multiple samples to balance the spectrum of expression levels and redundancy. To obtain an accurate overview of RNA profiling, removal of redundancy may be crucial in de novo assembly.

  15. Design of distributed systems of hydrolithosphere processes management. A synthesis of distributed management systems

    Science.gov (United States)

    Pershin, I. M.; Pervukhin, D. A.; Ilyushin, Y. V.; Afanaseva, O. V.

    2017-10-01

    The paper considers an important problem of designing distributed systems of hydrolithosphere processes management. The control actions on the hydrolithosphere processes under consideration are implemented by a set of extractive wells. The article shows the method of defining the approximation links for description of the dynamic characteristics of hydrolithosphere processes. The structure of distributed regulators, used in the management systems by the considered processes, is presented. The paper analyses the results of the synthesis of the distributed management system and the results of modelling the closed-loop control system by the parameters of the hydrolithosphere process.

  16. Structure-Based Design and Synthesis of Potent and Selective Matrix Metalloproteinase 13 Inhibitors.

    Science.gov (United States)

    Choi, Jun Yong; Fuerst, Rita; Knapinska, Anna M; Taylor, Alexander B; Smith, Lyndsay; Cao, Xiaohang; Hart, P John; Fields, Gregg B; Roush, William R

    2017-07-13

    We describe the use of comparative structural analysis and structure-guided molecular design to develop potent and selective inhibitors (10d and (S)-17b) of matrix metalloproteinase 13 (MMP-13). We applied a three-step process, starting with a comparative analysis of the X-ray crystallographic structure of compound 5 in complex with MMP-13 with published structures of known MMP-13·inhibitor complexes followed by molecular design and synthesis of potent but nonselective zinc-chelating MMP inhibitors (e.g., 10a and 10b). After demonstrating that the pharmacophores of the chelating inhibitors (S)-10a, (R)-10a, and 10b were binding within the MMP-13 active site, the Zn 2+ chelating unit was replaced with nonchelating polar residues that bridged over the Zn 2+ binding site and reached into a solvent accessible area. After two rounds of structural optimization, these design approaches led to small molecule MMP-13 inhibitors 10d and (S)-17b, which bind within the substrate-binding site of MMP-13 and surround the catalytically active Zn 2+ ion without chelating to the metal. These compounds exhibit at least 500-fold selectivity versus other MMPs.

  17. The sweet path to metabolic demise: fructose and lipid synthesis

    Science.gov (United States)

    Herman, Mark A.; Samuel, Varman T.

    2016-01-01

    Epidemiological studies link fructose consumption with metabolic disease, an association attributable in part to fructose mediated lipogenesis. The mechanisms governing fructose-induced lipogenesis and disease remain debated. Acutely, fructose increases de novo lipogenesis through the efficient and uninhibited action of Ketohexokinase and Aldolase B, which yields substrates for fatty-acid synthesis. Chronic fructose consumption further enhances the capacity for hepatic fructose metabolism via activation of several key transcription factors (i.e. SREBP1c and ChREBP), which augment expression of lipogenic enzymes, increasing lipogenesis, further compounding hypertriglyceridemia, and hepatic steatosis. Hepatic insulin resistance develops from diacylglycerol-PKCε mediated impairment of insulin signaling and possibly additional mechanisms. Initiatives that decrease fructose consumption and therapies that block fructose mediated lipogenesis are needed to avert future metabolic pandemics. PMID:27387598

  18. Computational Protein Design

    DEFF Research Database (Denmark)

    Johansson, Kristoffer Enøe

    Proteins are the major functional group of molecules in biology. The impact of protein science on medicine and chemical productions is rapidly increasing. However, the greatest potential remains to be realized. The fi eld of protein design has advanced computational modeling from a tool of support...... to a central method that enables new developments. For example, novel enzymes with functions not found in natural proteins have been de novo designed to give enough activity for experimental optimization. This thesis presents the current state-of-the-art within computational design methods together...... with a novel method based on probability theory. With the aim of assembling a complete pipeline for protein design, this work touches upon several aspects of protein design. The presented work is the computational half of a design project where the other half is dedicated to the experimental part...

  19. NxRepair: error correction in de novo sequence assembly using Nextera mate pairs

    Directory of Open Access Journals (Sweden)

    Rebecca R. Murphy

    2015-06-01

    Full Text Available Scaffolding errors and incorrect repeat disambiguation during de novo assembly can result in large scale misassemblies in draft genomes. Nextera mate pair sequencing data provide additional information to resolve assembly ambiguities during scaffolding. Here, we introduce NxRepair, an open source toolkit for error correction in de novo assemblies that uses Nextera mate pair libraries to identify and correct large-scale errors. We show that NxRepair can identify and correct large scaffolding errors, without use of a reference sequence, resulting in quantitative improvements in the assembly quality. NxRepair can be downloaded from GitHub or PyPI, the Python Package Index; a tutorial and user documentation are also available.

  20. Solid phase synthesis and antiprotozoal evaluation of di- and trisubstituted 5'-carboxamidoadenosine analogues.

    Science.gov (United States)

    Rodenko, Boris; Detz, Remko J; Pinas, Victorine A; Lambertucci, Catia; Brun, Reto; Wanner, Martin J; Koomen, Gerrit-Jan

    2006-03-01

    The rapid increase of resistance to drugs commonly used in the treatment of tropical diseases such as malaria and African sleeping sickness calls for the prompt development of new safe and efficacious drugs. The pathogenic protozoan parasites lack the capability of synthesising purines de novo and they take up preformed purines from their host through various transmembrane transporters. Adenosine derivatives constitute a class of potential therapeutics due to their selective internalisation by these transporters. Automated solid-phase synthesis can speed up the process of lead finding and we pursued the solid-phase synthesis of di- and trisubstituted 5'-carboxamidoadenosine derivatives by using a safety-catch approach. While efforts with Kenner's sulfonamide linker remained fruitless, successful application of the hydrazide safety-catch linker allowed the construction of two representative combinatorial libraries. Their antiprotozoal evaluation identified two compounds with promising activity: N(6)-benzyl-5'-N-phenylcarboxamidoadenosine with an IC(50) value of 0.91 microM against Trypanosoma brucei rhodesiense and N(6)-diphenylethyl-5'-phenylcarboxamidoadenosine with an IC(50) value of 1.8 microM against chloroquine resistant Plasmodium falciparum.

  1. A preliminary plant design study for the production of diesel from coal via fischer-tropsch synthesis

    International Nuclear Information System (INIS)

    Kamil, M.; Saleem, M.

    2010-01-01

    Pakistan's reliance on conventional means of producing energy has proven to be an inadequate strategy for overcoming it. The situation direly demands diversification of our energy resources not only to overcome current fiasco but also in planning for future. Among the other alternative sources, coal is the main source for producing cheaper electricity being available as huge reserves. This paper presents the preliminary plant design and cost estimation for the production of diesel from coal via coal gasification and fischer-Tropschs synthesis. Prelimnary design calculations and cost estimation are presented along with underlying assumptions. The results reveal that the diesel produced from this process might be cheaper than the crude oil based diesel. (author)

  2. Novos encontros de anofelíneos em recipientes artificiais

    Directory of Open Access Journals (Sweden)

    Oswaldo Paulo Forattini

    1998-12-01

    Full Text Available Assinalam-se novos encontros de anofelíneos em recipientes artificiais. Um deles diz respeito a formas imaturas de Anopheles bellator em criadouros experimentais e outro é concernente ao achado de An. albitarsis l.s., em recipiente abandonado. Tecem-se considerações sobre a pressão seletiva representada pela produção, cada vez maior, de objetos descartáveis.

  3. Building a better fragment library for de novo protein structure prediction.

    Directory of Open Access Journals (Sweden)

    Saulo H P de Oliveira

    Full Text Available Fragment-based approaches are the current standard for de novo protein structure prediction. These approaches rely on accurate and reliable fragment libraries to generate good structural models. In this work, we describe a novel method for structure fragment library generation and its application in fragment-based de novo protein structure prediction. The importance of correct testing procedures in assessing the quality of fragment libraries is demonstrated. In particular, the exclusion of homologs to the target from the libraries to correctly simulate a de novo protein structure prediction scenario, something which surprisingly is not always done. We demonstrate that fragments presenting different predominant predicted secondary structures should be treated differently during the fragment library generation step and that exhaustive and random search strategies should both be used. This information was used to develop a novel method, Flib. On a validation set of 41 structurally diverse proteins, Flib libraries presents both a higher precision and coverage than two of the state-of-the-art methods, NNMake and HHFrag. Flib also achieves better precision and coverage on the set of 275 protein domains used in the two previous experiments of the the Critical Assessment of Structure Prediction (CASP9 and CASP10. We compared Flib libraries against NNMake libraries in a structure prediction context. Of the 13 cases in which a correct answer was generated, Flib models were more accurate than NNMake models for 10. "Flib is available for download at: http://www.stats.ox.ac.uk/research/proteins/resources".

  4. Building a Better Fragment Library for De Novo Protein Structure Prediction

    Science.gov (United States)

    de Oliveira, Saulo H. P.; Shi, Jiye; Deane, Charlotte M.

    2015-01-01

    Fragment-based approaches are the current standard for de novo protein structure prediction. These approaches rely on accurate and reliable fragment libraries to generate good structural models. In this work, we describe a novel method for structure fragment library generation and its application in fragment-based de novo protein structure prediction. The importance of correct testing procedures in assessing the quality of fragment libraries is demonstrated. In particular, the exclusion of homologs to the target from the libraries to correctly simulate a de novo protein structure prediction scenario, something which surprisingly is not always done. We demonstrate that fragments presenting different predominant predicted secondary structures should be treated differently during the fragment library generation step and that exhaustive and random search strategies should both be used. This information was used to develop a novel method, Flib. On a validation set of 41 structurally diverse proteins, Flib libraries presents both a higher precision and coverage than two of the state-of-the-art methods, NNMake and HHFrag. Flib also achieves better precision and coverage on the set of 275 protein domains used in the two previous experiments of the the Critical Assessment of Structure Prediction (CASP9 and CASP10). We compared Flib libraries against NNMake libraries in a structure prediction context. Of the 13 cases in which a correct answer was generated, Flib models were more accurate than NNMake models for 10. “Flib is available for download at: http://www.stats.ox.ac.uk/research/proteins/resources”. PMID:25901595

  5. Human native lipoprotein-induced de novo DNA methylation is associated with repression of inflammatory genes in THP-1 macrophages

    Directory of Open Access Journals (Sweden)

    Rangel-Salazar Rubén

    2011-11-01

    Full Text Available Abstract Background We previously showed that a VLDL- and LDL-rich mix of human native lipoproteins induces a set of repressive epigenetic marks, i.e. de novo DNA methylation, histone 4 hypoacetylation and histone 4 lysine 20 (H4K20 hypermethylation in THP-1 macrophages. Here, we: 1 ask what gene expression changes accompany these epigenetic responses; 2 test the involvement of candidate factors mediating the latter. We exploited genome expression arrays to identify target genes for lipoprotein-induced silencing, in addition to RNAi and expression studies to test the involvement of candidate mediating factors. The study was conducted in human THP-1 macrophages. Results Native lipoprotein-induced de novo DNA methylation was associated with a general repression of various critical genes for macrophage function, including pro-inflammatory genes. Lipoproteins showed differential effects on epigenetic marks, as de novo DNA methylation was induced by VLDL and to a lesser extent by LDL, but not by HDL, and VLDL induced H4K20 hypermethylation, while HDL caused H4 deacetylation. The analysis of candidate factors mediating VLDL-induced DNA hypermethylation revealed that this response was: 1 surprisingly, mediated exclusively by the canonical maintenance DNA methyltransferase DNMT1, and 2 independent of the Dicer/micro-RNA pathway. Conclusions Our work provides novel insights into epigenetic gene regulation by native lipoproteins. Furthermore, we provide an example of DNMT1 acting as a de novo DNA methyltransferase independently of canonical de novo enzymes, and show proof of principle that de novo DNA methylation can occur independently of a functional Dicer/micro-RNA pathway in mammals.

  6. De novo post-pollen mitosis II tobacco pollen tube transcriptome

    Czech Academy of Sciences Publication Activity Database

    Hafidh, Said; Breznenová, Katarína; Honys, David

    2012-01-01

    Roč. 7, č. 8 (2012), s. 918-921 ISSN 1559-2316 R&D Projects: GA ČR GPP501/11/P321; GA ČR GA522/09/0858 Institutional research plan: CEZ:AV0Z50380511 Keywords : de novo pollen tube transcriptome * male gametophyte development * pollen tube growth Subject RIV: ED - Physiology

  7. Inhibition of glutamine synthesis induces glutamate dehydrogenase-dependent ammonia fixation into alanine in co-cultures of astrocytes and neurons.

    Science.gov (United States)

    Dadsetan, Sherry; Bak, Lasse K; Sørensen, Michael; Keiding, Susanne; Vilstrup, Hendrik; Ott, Peter; Leke, Renata; Schousboe, Arne; Waagepetersen, Helle S

    2011-09-01

    It has been previously demonstrated that ammonia exposure of neurons and astrocytes in co-culture leads to net synthesis not only of glutamine but also of alanine. The latter process involves the concerted action of glutamate dehydrogenase (GDH) and alanine aminotransferase (ALAT). In the present study it was investigated if the glutamine synthetase (GS) inhibitor methionine sulfoximine (MSO) would enhance alanine synthesis by blocking the GS-dependent ammonia scavenging process. Hence, co-cultures of neurons and astrocytes were incubated for 2.5h with [U-(13)C]glucose to monitor de novo synthesis of alanine and glutamine in the absence and presence of 5.0 mM NH(4)Cl and 10 mM MSO. Ammonia exposure led to increased incorporation of label but not to a significant increase in the amount of these amino acids. However, in the presence of MSO, glutamine synthesis was blocked and synthesis of alanine increased leading to an elevated content intra- as well as extracellularly of this amino acid. Treatment with MSO led to a dramatic decrease in glutamine content and increased the intracellular contents of glutamate and aspartate. The large increase in alanine during exposure to MSO underlines the importance of the GDH and ALAT biosynthetic pathway for ammonia fixation, and it points to the use of a GS inhibitor to ameliorate the brain toxicity and edema induced by hyperammonemia, events likely related to glutamine synthesis. Copyright © 2011 Elsevier Ltd. All rights reserved.

  8. Axonal regeneration and development of de novo axons from distal dendrites of adult feline commissural interneurons after a proximal axotomy

    DEFF Research Database (Denmark)

    Fenrich, Keith K; Skelton, Nicole; MacDermid, Victoria E

    2007-01-01

    Following proximal axotomy, several types of neurons sprout de novo axons from distal dendrites. These processes may represent a means of forming new circuits following spinal cord injury. However, it is not know whether mammalian spinal interneurons, axotomized as a result of a spinal cord injury......, develop de novo axons. Our goal was to determine whether spinal commissural interneurons (CINs), axotomized by 3-4-mm midsagittal transection at C3, form de novo axons from distal dendrites. All experiments were performed on adult cats. CINs in C3 were stained with extracellular injections of Neurobiotin...... at 4-5 weeks post injury. The somata of axotomized CINs were identified by the presence of immunoreactivity for the axonal growth-associated protein-43 (GAP-43). Nearly half of the CINs had de novo axons that emerged from distal dendrites. These axons lacked immunoreactivity for the dendritic protein...

  9. De novo and inherited private variants in MAP1B in periventricular nodular heterotopia.

    Science.gov (United States)

    Heinzen, Erin L; O'Neill, Adam C; Zhu, Xiaolin; Allen, Andrew S; Bahlo, Melanie; Chelly, Jamel; Dobyns, William B; Freytag, Saskia; Guerrini, Renzo; Leventer, Richard J; Poduri, Annapurna; Robertson, Stephen P; Walsh, Christopher A; Zhang, Mengqi

    2018-05-08

    Periventricular nodular heterotopia (PVNH) is a malformation of cortical development commonly associated with epilepsy. We exome sequenced 202 individuals with sporadic PVNH to identify novel genetic risk loci. We first performed a trio-based analysis and identified 219 de novo variants. Although no novel genes were implicated in this initial analysis, PVNH cases were found overall to have a significant excess of nonsynonymous de novo variants in intolerant genes (p = 3.27x10-7), suggesting a role for rare new alleles in genes yet to be associated with the condition. Using a gene-level collapsing analysis comparing cases and controls, we identified a genome-wide significant signal driven by four ultra-rare loss-of-function heterozygous variants in MAP1B, including one de novo variant. In at least one instance, the MAP1B variant was inherited from a parent with previously undiagnosed PVNH. The PVNH was frontally predominant and associated with perisylvian polymicrogyria. These results implicate MAP1B in PVNH. More broadly, our findings suggest that detrimental mutations likely arising in immediately preceding generations with incomplete penetrance may also be responsible for some apparently sporadic diseases.

  10. Norgal: extraction and de novo assembly of mitochondrial DNA from whole-genome sequencing data.

    Science.gov (United States)

    Al-Nakeeb, Kosai; Petersen, Thomas Nordahl; Sicheritz-Pontén, Thomas

    2017-11-21

    Whole-genome sequencing (WGS) projects provide short read nucleotide sequences from nuclear and possibly organelle DNA depending on the source of origin. Mitochondrial DNA is present in animals and fungi, while plants contain DNA from both mitochondria and chloroplasts. Current techniques for separating organelle reads from nuclear reads in WGS data require full reference or partial seed sequences for assembling. Norgal (de Novo ORGAneLle extractor) avoids this requirement by identifying a high frequency subset of k-mers that are predominantly of mitochondrial origin and performing a de novo assembly on a subset of reads that contains these k-mers. The method was applied to WGS data from a panda, brown algae seaweed, butterfly and filamentous fungus. We were able to extract full circular mitochondrial genomes and obtained sequence identities to the reference sequences in the range from 98.5 to 99.5%. We also assembled the chloroplasts of grape vines and cucumbers using Norgal together with seed-based de novo assemblers. Norgal is a pipeline that can extract and assemble full or partial mitochondrial and chloroplast genomes from WGS short reads without prior knowledge. The program is available at: https://bitbucket.org/kosaidtu/norgal .

  11. Emergence, Retention and Selection: A Trilogy of Origination for Functional De Novo Proteins from Ancestral LncRNAs in Primates.

    Directory of Open Access Journals (Sweden)

    Jia-Yu Chen

    2015-07-01

    Full Text Available While some human-specific protein-coding genes have been proposed to originate from ancestral lncRNAs, the transition process remains poorly understood. Here we identified 64 hominoid-specific de novo genes and report a mechanism for the origination of functional de novo proteins from ancestral lncRNAs with precise splicing structures and specific tissue expression profiles. Whole-genome sequencing of dozens of rhesus macaque animals revealed that these lncRNAs are generally not more selectively constrained than other lncRNA loci. The existence of these newly-originated de novo proteins is also not beyond anticipation under neutral expectation, as they generally have longer theoretical lifespan than their current age, due to their GC-rich sequence property enabling stable ORFs with lower chance of non-sense mutations. Interestingly, although the emergence and retention of these de novo genes are likely driven by neutral forces, population genetics study in 67 human individuals and 82 macaque animals revealed signatures of purifying selection on these genes specifically in human population, indicating a proportion of these newly-originated proteins are already functional in human. We thus propose a mechanism for creation of functional de novo proteins from ancestral lncRNAs during the primate evolution, which may contribute to human-specific genetic novelties by taking advantage of existed genomic contexts.

  12. Critical importance of the de novo pyrimidine biosynthesis pathway for Trypanosoma cruzi growth in the mammalian host cell cytoplasm

    International Nuclear Information System (INIS)

    Hashimoto, Muneaki; Morales, Jorge; Fukai, Yoshihisa; Suzuki, Shigeo; Takamiya, Shinzaburo; Tsubouchi, Akiko; Inoue, Syou; Inoue, Masayuki; Kita, Kiyoshi; Harada, Shigeharu; Tanaka, Akiko; Aoki, Takashi; Nara, Takeshi

    2012-01-01

    Highlights: ► We established Trypanosoma cruzi lacking the gene for carbamoyl phosphate synthetase II. ► Disruption of the cpsII gene significantly reduced the growth of epimastigotes. ► In particular, the CPSII-null mutant severely retarded intracellular growth. ► The de novo pyrimidine pathway is critical for the parasite growth in the host cell. -- Abstract: The intracellular parasitic protist Trypanosoma cruzi is the causative agent of Chagas disease in Latin America. In general, pyrimidine nucleotides are supplied by both de novo biosynthesis and salvage pathways. While epimastigotes—an insect form—possess both activities, amastigotes—an intracellular replicating form of T. cruzi—are unable to mediate the uptake of pyrimidine. However, the requirement of de novo pyrimidine biosynthesis for parasite growth and survival has not yet been elucidated. Carbamoyl-phosphate synthetase II (CPSII) is the first and rate-limiting enzyme of the de novo biosynthetic pathway, and increased CPSII activity is associated with the rapid proliferation of tumor cells. In the present study, we showed that disruption of the T. cruzicpsII gene significantly reduced parasite growth. In particular, the growth of amastigotes lacking the cpsII gene was severely suppressed. Thus, the de novo pyrimidine pathway is important for proliferation of T. cruzi in the host cell cytoplasm and represents a promising target for chemotherapy against Chagas disease.

  13. A human fatty acid synthase inhibitor binds β-ketoacyl reductase in the keto-substrate site.

    Science.gov (United States)

    Hardwicke, Mary Ann; Rendina, Alan R; Williams, Shawn P; Moore, Michael L; Wang, Liping; Krueger, Julie A; Plant, Ramona N; Totoritis, Rachel D; Zhang, Guofeng; Briand, Jacques; Burkhart, William A; Brown, Kristin K; Parrish, Cynthia A

    2014-09-01

    Human fatty acid synthase (hFAS) is a complex, multifunctional enzyme that is solely responsible for the de novo synthesis of long chain fatty acids. hFAS is highly expressed in a number of cancers, with low expression observed in most normal tissues. Although normal tissues tend to obtain fatty acids from the diet, tumor tissues rely on de novo fatty acid synthesis, making hFAS an attractive metabolic target for the treatment of cancer. We describe here the identification of GSK2194069, a potent and specific inhibitor of the β-ketoacyl reductase (KR) activity of hFAS; the characterization of its enzymatic and cellular mechanism of action; and its inhibition of human tumor cell growth. We also present the design of a new protein construct suitable for crystallography, which resulted in what is to our knowledge the first co-crystal structure of the human KR domain and includes a bound inhibitor.

  14. Novos liberalismos e a Grande Recessão: princípios para uma política externa crítica

    Directory of Open Access Journals (Sweden)

    Igor Abdalla

    2014-06-01

    Full Text Available O artigo analisa a emergência, nas últimas décadas, de novo liberalismo internacionalista de cunho tecnocrático, que se divorcia do liberalismo clássico criado pelo filósofo crítico Immanuel Kant. O novo liberalismo, que coincide com o processo de globalização das finanças, inverte o elemento emancipatório do liberalismo kantiano para apresentar-se como instância de ratificação do poder. Como resultado, os novos liberais são incapazes de analisar criticamente eventos como a Grande Recessão. Em contraposição ao novo liberalismo tecnocrático propõem-se princípios para uma política externa crítica para o Brasil. Em termos empíricos, escrutina-se a evolução do processo de globalização das finanças do ponto de vista do poder, com enfoque sobre as crises financeiras no mundo em desenvolvimento e a Grande Recessão de 2008. Propugnam-se os seguintes argumentos: (i o novo liberalismo contradiz o liberalismo clássico; (ii o novo liberalismo legitima interesses de atores hegemônicos voltados para a liberalização e a desregulamentação financeiras sem limites, que se encontram na raiz da Grande Recessão; (iii a política externa brasileira deve resgatar elementos do liberalismo clássico no contexto de crise gerado pela Grande Recessão.

  15. De novo transcriptome assembly and quantification reveal differentially expressed genes between soft-seed and hard-seed pomegranate (Punica granatum L..

    Directory of Open Access Journals (Sweden)

    Hui Xue

    Full Text Available Pomegranate (Punica granatum L. belongs to Punicaceae, and is valued for its social, ecological, economic, and aesthetic values, as well as more recently for its health benefits. The 'Tunisia' variety has softer seeds and big arils that are easily swallowed. It is a widely popular fruit; however, the molecular mechanisms of the formation of hard and soft seeds is not yet clear. We conducted a de novo assembly of the seed transcriptome in P. granatum L. and revealed differential gene expression between the soft-seed and hard-seed pomegranate varieties. A total of 35.1 Gb of data were acquired in this study, including 280,881,106 raw reads. Additionally, de novo transcriptome assembly generated 132,287 transcripts and 105,743 representative unigenes; approximately 13,805 unigenes (37.7% were longer than 1,000 bp. Using bioinformatics annotation libraries, a total of 76,806 unigenes were annotated and, among the high-quality reads, 72.63% had at least one significant match to an existing gene model. Gene expression and differentially expressed genes were analyzed. The seed formation of the two pomegranate cultivars involves lignin biosynthesis and metabolism, including some genes encoding laccase and peroxidase, WRKY, MYB, and NAC transcription factors. In the hard-seed pomegranate, lignin-related genes and cellulose synthesis-related genes were highly expressed; in soft-seed pomegranates, expression of genes related to flavonoids and programmed cell death was slightly higher. We validated selection of the identified genes using qRT-PCR. This is the first transcriptome analysis of P. granatum L. This transcription sequencing greatly enriched the pomegranate molecular database, and the high-quality SSRs generated in this study will aid the gene cloning from pomegranate in the future. It provides important insights into the molecular mechanisms underlying the formation of soft seeds in pomegranate.

  16. De novo transcriptome assembly and quantification reveal differentially expressed genes between soft-seed and hard-seed pomegranate (Punica granatum L.).

    Science.gov (United States)

    Xue, Hui; Cao, Shangyin; Li, Haoxian; Zhang, Jie; Niu, Juan; Chen, Lina; Zhang, Fuhong; Zhao, Diguang

    2017-01-01

    Pomegranate (Punica granatum L.) belongs to Punicaceae, and is valued for its social, ecological, economic, and aesthetic values, as well as more recently for its health benefits. The 'Tunisia' variety has softer seeds and big arils that are easily swallowed. It is a widely popular fruit; however, the molecular mechanisms of the formation of hard and soft seeds is not yet clear. We conducted a de novo assembly of the seed transcriptome in P. granatum L. and revealed differential gene expression between the soft-seed and hard-seed pomegranate varieties. A total of 35.1 Gb of data were acquired in this study, including 280,881,106 raw reads. Additionally, de novo transcriptome assembly generated 132,287 transcripts and 105,743 representative unigenes; approximately 13,805 unigenes (37.7%) were longer than 1,000 bp. Using bioinformatics annotation libraries, a total of 76,806 unigenes were annotated and, among the high-quality reads, 72.63% had at least one significant match to an existing gene model. Gene expression and differentially expressed genes were analyzed. The seed formation of the two pomegranate cultivars involves lignin biosynthesis and metabolism, including some genes encoding laccase and peroxidase, WRKY, MYB, and NAC transcription factors. In the hard-seed pomegranate, lignin-related genes and cellulose synthesis-related genes were highly expressed; in soft-seed pomegranates, expression of genes related to flavonoids and programmed cell death was slightly higher. We validated selection of the identified genes using qRT-PCR. This is the first transcriptome analysis of P. granatum L. This transcription sequencing greatly enriched the pomegranate molecular database, and the high-quality SSRs generated in this study will aid the gene cloning from pomegranate in the future. It provides important insights into the molecular mechanisms underlying the formation of soft seeds in pomegranate.

  17. Design and synthesis of macrocyclic ligands and their complexes of lanthanides and actinides

    International Nuclear Information System (INIS)

    Alexander, V.

    1995-01-01

    A review article which covers the various design and synthetic strategies developed to synthesize macrocyclic complexes of lanthanides and actinides, their structural features, quantitative studies on the stabilities of these complexes, their applications, and the structure-reactivity principle would be an asset for those who are actively engaged in this area of research. This review is also purported to give a comprehensive view of the current status of this area of research to the beginners and to highlight the application of this chemical research to emerging nonchemical applications to lure the potential workers. The coordination template effect provides a general strategy for the synthesis of a wide variety of discrete metal complexes. The principal conceptual and experimental development that have established and exploited this strategy are briefly outlined. A brief review of the coordination template effect and subsequent developments in the design of macrocyclic complexes of alkali, alkaline earth, and transition metal ions is presented as an essential basis for the rational design of new macrocyclic complexes of lanthanides and actinides. The exciting aspect of this chemistry is that in the majority of cases the molecules meet the design criteria very well. It is evident that in an increasing number of cases the driving force behind the synthetic effort is the desire to create a molecule which will enable the user to make specific applications. 506 refs

  18. Long-read sequencing and de novo assembly of a Chinese genome

    Science.gov (United States)

    Short-read sequencing has enabled the de novo assembly of several individual human genomes, but with inherent limitations in characterizing repeat elements. Here we sequence a Chinese individual HX1 by single-molecule real-time (SMRT) long-read sequencing, construct a physical map by NanoChannel arr...

  19. Decomposition with thermoeconomic isolation applied to the optimal synthesis/design and operation of an advanced tactical aircraft system

    International Nuclear Information System (INIS)

    Rancruel, Diego F.; Spakovsky, Michael R. von

    2006-01-01

    A decomposition methodology based on the concept of 'thermoeconomic isolation' and applied to the synthesis/design and operational optimization of an advanced tactical fighter aircraft is the focus of this paper. The total system is composed of six sub-systems of which five participate with degrees of freedom (493) in the optimization. They are the propulsion sub-system (PS), the environmental control sub-system (ECS), the fuel loop subsystem (FLS), the vapor compression and Polyalphaolefin (PAO) loops sub-system (VC/PAOS), and the airframe sub-system (AFS). The sixth subsystem comprises the expendable and permanent payloads as well as the equipment group. For each of the first five, detailed thermodynamic, geometric, physical, and aerodynamic models at both design and off-design were formulated and implemented. The most promising set of aircraft sub-system and system configurations were then determined based on both an energy integration and aerodynamic performance analysis at each stage of the mission (including the transient ones). Conceptual, time, and physical decomposition were subsequently applied to the synthesis/design and operational optimization of these aircraft configurations as well as to the highly dynamic process of heat generation and dissipation internal to the subsystems. The physical decomposition strategy used (i.e. Iterative Local-Global Optimization-ILGO) is the first to successfully closely approach the theoretical condition of 'thermoeconomic isolation' when applied to highly complex, highly dynamic non-linear systems. Developed at our Center for Energy Systems research, it has been effectively applied to a number of complex stationary and transportation applications

  20. Decomposition with thermoeconomic isolation applied to the optimal synthesis/design and operation of an advanced tactical aircraft system

    Energy Technology Data Exchange (ETDEWEB)

    Rancruel, Diego F. [Center for Energy Systems Research, Department of Mechanical Engineering, Virginia Polytechnic Institute and State University, Blacksburg, VA 24060 (United States); Spakovsky, Michael R. von [Center for Energy Systems Research, Department of Mechanical Engineering, Virginia Polytechnic Institute and State University, Blacksburg, VA 24060 (United States)]. E-mail: vonspako@vt.edu

    2006-12-15

    A decomposition methodology based on the concept of 'thermoeconomic isolation' and applied to the synthesis/design and operational optimization of an advanced tactical fighter aircraft is the focus of this paper. The total system is composed of six sub-systems of which five participate with degrees of freedom (493) in the optimization. They are the propulsion sub-system (PS), the environmental control sub-system (ECS), the fuel loop subsystem (FLS), the vapor compression and Polyalphaolefin (PAO) loops sub-system (VC/PAOS), and the airframe sub-system (AFS). The sixth subsystem comprises the expendable and permanent payloads as well as the equipment group. For each of the first five, detailed thermodynamic, geometric, physical, and aerodynamic models at both design and off-design were formulated and implemented. The most promising set of aircraft sub-system and system configurations were then determined based on both an energy integration and aerodynamic performance analysis at each stage of the mission (including the transient ones). Conceptual, time, and physical decomposition were subsequently applied to the synthesis/design and operational optimization of these aircraft configurations as well as to the highly dynamic process of heat generation and dissipation internal to the subsystems. The physical decomposition strategy used (i.e. Iterative Local-Global Optimization-ILGO) is the first to successfully closely approach the theoretical condition of 'thermoeconomic isolation' when applied to highly complex, highly dynamic non-linear systems. Developed at our Center for Energy Systems research, it has been effectively applied to a number of complex stationary and transportation applications.

  1. Cinema utópico: a construção de um novo homem e um novo mundo

    OpenAIRE

    Erika Savernini Lopes

    2011-01-01

    O cinema, desde seus primórdios, prefigurou o espaço cibernético como um novo espaço imaterial construído coletivamente. A concepção desse outro lugar não físico para o qual o homem poderia migrar estabelece para o cinema e para o ciberespaço uma relação direta com as utopias. Na acepção do romance filosófico de Thomas More, a Utopia define-se como um outro espaço não-existente, irrealizável e ideal que diagnostica o atual. O cinema carregaria caracteres fundamentais da Utopia tanto no que se...

  2. De novo mutations in HCN1 cause early infantile epileptic encephalopathy.

    Science.gov (United States)

    Nava, Caroline; Dalle, Carine; Rastetter, Agnès; Striano, Pasquale; de Kovel, Carolien G F; Nabbout, Rima; Cancès, Claude; Ville, Dorothée; Brilstra, Eva H; Gobbi, Giuseppe; Raffo, Emmanuel; Bouteiller, Delphine; Marie, Yannick; Trouillard, Oriane; Robbiano, Angela; Keren, Boris; Agher, Dahbia; Roze, Emmanuel; Lesage, Suzanne; Nicolas, Aude; Brice, Alexis; Baulac, Michel; Vogt, Cornelia; El Hajj, Nady; Schneider, Eberhard; Suls, Arvid; Weckhuysen, Sarah; Gormley, Padhraig; Lehesjoki, Anna-Elina; De Jonghe, Peter; Helbig, Ingo; Baulac, Stéphanie; Zara, Federico; Koeleman, Bobby P C; Haaf, Thomas; LeGuern, Eric; Depienne, Christel

    2014-06-01

    Hyperpolarization-activated, cyclic nucleotide-gated (HCN) channels contribute to cationic Ih current in neurons and regulate the excitability of neuronal networks. Studies in rat models have shown that the Hcn1 gene has a key role in epilepsy, but clinical evidence implicating HCN1 mutations in human epilepsy is lacking. We carried out exome sequencing for parent-offspring trios with fever-sensitive, intractable epileptic encephalopathy, leading to the discovery of two de novo missense HCN1 mutations. Screening of follow-up cohorts comprising 157 cases in total identified 4 additional amino acid substitutions. Patch-clamp recordings of Ih currents in cells expressing wild-type or mutant human HCN1 channels showed that the mutations had striking but divergent effects on homomeric channels. Individuals with mutations had clinical features resembling those of Dravet syndrome with progression toward atypical absences, intellectual disability and autistic traits. These findings provide clear evidence that de novo HCN1 point mutations cause a recognizable early-onset epileptic encephalopathy in humans.

  3. De novo FGF12 mutation in 2 patients with neonatal-onset epilepsy

    Science.gov (United States)

    Guella, Ilaria; Huh, Linda; McKenzie, Marna B.; Toyota, Eric B.; Bebin, E. Martina; Thompson, Michelle L.; Cooper, Gregory M.; Evans, Daniel M.; Buerki, Sarah E.; Adam, Shelin; Van Allen, Margot I.; Nelson, Tanya N.; Connolly, Mary B.; Farrer, Matthew J.

    2016-01-01

    Objective: We describe 2 additional patients with early-onset epilepsy with a de novo FGF12 mutation. Methods: Whole-exome sequencing was performed in 2 unrelated patients with early-onset epilepsy and their unaffected parents. Genetic variants were assessed by comparative trio analysis. Clinical evolution, EEG, and neuroimaging are described. The phenotype and response to treatment was reviewed and compared to affected siblings in the original report. Results: We identified the same FGF12 de novo mutation reported previously (c.G155A, p.R52H) in 2 additional patients with early-onset epilepsy. Similar to the original brothers described, both presented with tonic seizures in the first month of life. In the first patient, seizures responded to sodium channel blockers and her development was normal at 11 months. Patient 2 is a 15-year-old girl with treatment-resistant focal epilepsy, moderate intellectual disability, and autism. Carbamazepine (sodium channel blocker) was tried later in her course but not continued due to an allergic reaction. Conclusions: The identification of a recurrent de novo mutation in 2 additional unrelated probands with early-onset epilepsy supports the role of FGF12 p.R52H in disease pathogenesis. Affected carriers presented with similar early clinical phenotypes; however, this report expands the phenotype associated with this mutation which contrasts with the progressive course and early mortality of the siblings in the original report. PMID:27872899

  4. Human tonsillar IgE biosynthesis in vitro. I. Enhancement of IgE and IgG synthesis in the presence of pokeweed mitogen by T-cell irradiation

    International Nuclear Information System (INIS)

    Ohta, K.; Manzara, T.; Harbeck, R.J.; Kirkpatrick, C.H.

    1982-01-01

    A study of the events regulating human IgE biosynthesis in vitro was undertaken with tonsillar lymphocytes. IgG synthesis was also studied to evaluate the specificity of our observations. T-cell irradiation significantly enhanced synthesis of IgE by pokeweed mitogen (PWM)-stimulated B cells from 12 of 18 donors and IgG in all 18 donors. This enhancement was the result of de novo immunoglobulin synthesis, since the amount of IgE and IgG spontaneously released from lysed and lysed-and-cultured mononuclear cells was significantly less than that detected in the cell cultures, and the augmentation was completely ablated by the treatment of the cells with cycloheximide or mitomycin C. Enhancement was also dependent on the presence of PWM; T-cell irradiation did not enhance IgE synthesis in unstimulated cultures. Moreover, this enhancement was also observed in the co-cultures of B cells and allogeneic irradiated T cells. These observations suggest that radiosensitive T cells exert a suppressive activity that contributes to regulation of human IgE and IgG synthesis and that the suppressor function as well as the helper function can overcome allogeneic disparities

  5. Design and synthesis of biotin analogues reversibly binding with streptavidin.

    Science.gov (United States)

    Yamamoto, Tomohiro; Aoki, Kiyoshi; Sugiyama, Akira; Doi, Hirofumi; Kodama, Tatsuhiko; Shimizu, Yohei; Kanai, Motomu

    2015-04-01

    Two new biotin analogues, biotin carbonate 5 and biotin carbamate 6, have been synthesized. These molecules were designed to reversibly bind with streptavidin by replacing the hydrogen-bond donor NH group(s) of biotin's cyclic urea moiety with oxygen. Biotin carbonate 5 was synthesized from L-arabinose (7), which furnishes the desired stereochemistry at the 3,4-cis-dihydroxy groups, in 11% overall yield (over 10 steps). Synthesis of biotin carbamate 6 was accomplished from L-cysteine-derived chiral aldehyde 33 in 11% overall yield (over 7 steps). Surface plasmon resonance analysis of water-soluble biotin carbonate analogue 46 and biotin carbamate analogue 47 revealed that KD values of these compounds for binding to streptavidin were 6.7×10(-6)  M and 1.7×10(-10)  M, respectively. These values were remarkably greater than that of biotin (KD =10(-15)  M), and thus indicate the importance of the nitrogen atoms for the strong binding between biotin and streptavidin. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Design and synthesis of polyoxometalate-framework materials from cluster precursors

    Science.gov (United States)

    Vilà-Nadal, Laia; Cronin, Leroy

    2017-10-01

    Inorganic oxide materials are used in semiconductor electronics, ion exchange, catalysis, coatings, gas sensors and as separation materials. Although their synthesis is well understood, the scope for new materials is reduced because of the stability limits imposed by high-temperature processing and top-down synthetic approaches. In this Review, we describe the derivatization of polyoxometalate (POM) clusters, which enables their assembly into a range of frameworks by use of organic or inorganic linkers. Additionally, bottom-up synthetic approaches can be used to make metal oxide framework materials, and the features of the molecular POM precursors are retained in these structures. Highly robust all-inorganic frameworks can be made using metal-ion linkers, which combine molecular synthetic control without the need for organic components. The resulting frameworks have high stability, and high catalytic, photochemical and electrochemical activity. Conceptually, these inorganic oxide materials bridge the gap between zeolites and metal-organic frameworks (MOFs) and establish a new class of all-inorganic POM frameworks that can be designed using topological and reactivity principles similar to MOFs.

  7. Identification of de novo mutations of Duchénnè/Becker muscular dystrophies in southern Spain.

    Science.gov (United States)

    Garcia, Susana; de Haro, Tomás; Zafra-Ceres, Mercedes; Poyatos, Antonio; Gomez-Capilla, Jose A; Gomez-Llorente, Carolina

    2014-01-01

    Duchénnè/Becker muscular dystrophies (DMD/BMD) are X-linked diseases, which are caused by a de novo gene mutation in one-third of affected males. The study objectives were to determine the incidence of DMD/BMD in Andalusia (Spain) and to establish the percentage of affected males in whom a de novo gene mutation was responsible. Multiplex ligation-dependent probe amplification (MLPA) technology was applied to determine the incidence of DMD/BMD in 84 males with suspicion of the disease and 106 female relatives. Dystrophin gene exon deletion (89.5%) or duplication (10.5%) was detected in 38 of the 84 males by MLPA technology; de novo mutations account for 4 (16.7%) of the 24 mother-son pairs studied. MLPA technology is adequate for the molecular diagnosis of DMD/BMD and establishes whether the mother carries the molecular alteration responsible for the disease, a highly relevant issue for genetic counseling.

  8. Alternative normalization methods demonstrate widespread cortical hypometabolism in untreated de novo Parkinson's disease

    DEFF Research Database (Denmark)

    Berti, Valentina; Polito, C; Borghammer, Per

    2012-01-01

    , recent studies suggested that conventional data normalization procedures may not always be valid, and demonstrated that alternative normalization strategies better allow detection of low magnitude changes. We hypothesized that these alternative normalization procedures would disclose more widespread...... metabolic alterations in de novo PD. METHODS: [18F]FDG PET scans of 26 untreated de novo PD patients (Hoehn & Yahr stage I-II) and 21 age-matched controls were compared using voxel-based analysis. Normalization was performed using gray matter (GM), white matter (WM) reference regions and Yakushev...... normalization. RESULTS: Compared to GM normalization, WM and Yakushev normalization procedures disclosed much larger cortical regions of relative hypometabolism in the PD group with extensive involvement of frontal and parieto-temporal-occipital cortices, and several subcortical structures. Furthermore...

  9. Design and synthesis of elastin-like polypeptides for an ideal nerve conduit in peripheral nerve regeneration

    International Nuclear Information System (INIS)

    Hsueh, Yu-Sheng; Savitha, S.; Sadhasivam, S.; Lin, Feng-Huei; Shieh, Ming-Jium

    2014-01-01

    The study involves design and synthesis of three different elastin like polypeptide (ELP) gene monomers namely ELP1, ELP2 and ELP3 that encode for ELP proteins. The formed ELPs were assessed as an ideal nerve conduit for peripheral nerve regeneration. ELP1 was constructed with a small elongated pentapeptide carrying VPGVG sequence to mimic the natural polypeptide ELP. The ELP2 was designed by the incorporation of 4-penta peptide chains to improve the biocompatibility and mechanical strength. Thus, the third position in unique VPGVG was replaced with alanine to VPAVG and in a similar way modified to VPGKG, VPGEG and VPGIG with the substitution of lysine, glutamic acid and isoleucine. In ELP3, fibronectin C5 domain endowed with REDV sequence was introduced to improve the cell attachment. The ELP1, ELP2 and ELP3 proteins expressed by Escherichia coli were purified by inverse transition cycling (ITC). The purified ELPs were confirmed by sodium dodecyl sulfate-polyacrylamide gel electrophoresis (SDS-PAGE) and western blotting. The Schwann cell (SC) morphology and cell adhesion were assessed by fabrication of ELP membrane cross-linked with glutaraledhyde. The Schwann cell proliferation was measured by WST-1 assay. Immunofluorostaining of Schwann cells was accomplished with SC specific phenotypic marker, S100. - Highlights: • Design and synthesis of three gene monomers of elastin like polypeptides (ELP1, 2 and 3) were reported. • Molecular weight of ITC purified ELP1, ELP2 and ELP3 was in the range of 37–38 kDa. • Schwann cell adhesion was found to be prominent in ELP3 and could be used as nerve conduit for peripheral nerve regeneration

  10. Design and synthesis of elastin-like polypeptides for an ideal nerve conduit in peripheral nerve regeneration

    Energy Technology Data Exchange (ETDEWEB)

    Hsueh, Yu-Sheng [Institute of Biomedical Engineering, College of Engineering, National Taiwan University, Taipei 100, Taiwan (China); Institute of Biomedical Engineering, College of Medicine, National Taiwan University, Taipei 100, Taiwan (China); Savitha, S. [Institute of Biomedical Engineering, College of Engineering, National Taiwan University, Taipei 100, Taiwan (China); Department of Biotechnology, Sree Sastha Institute of Engineering and Technology, Chennai (India); Institute of Biomedical Engineering, College of Medicine, National Taiwan University, Taipei 100, Taiwan (China); Sadhasivam, S. [Division of Biomedical Engineering and Nanomedicine Research, National Health Research Institutes, Miaoli 350, Taiwan (China); Lin, Feng-Huei, E-mail: double@ntu.edu.tw [Institute of Biomedical Engineering, College of Engineering, National Taiwan University, Taipei 100, Taiwan (China); Division of Biomedical Engineering and Nanomedicine Research, National Health Research Institutes, Miaoli 350, Taiwan (China); Institute of Biomedical Engineering, College of Medicine, National Taiwan University, Taipei 100, Taiwan (China); Shieh, Ming-Jium [Institute of Biomedical Engineering, College of Engineering, National Taiwan University, Taipei 100, Taiwan (China); College of Medicine, National Taiwan University Hospital, Taipei 100, Taiwan (China); Institute of Biomedical Engineering, College of Medicine, National Taiwan University, Taipei 100, Taiwan (China)

    2014-05-01

    The study involves design and synthesis of three different elastin like polypeptide (ELP) gene monomers namely ELP1, ELP2 and ELP3 that encode for ELP proteins. The formed ELPs were assessed as an ideal nerve conduit for peripheral nerve regeneration. ELP1 was constructed with a small elongated pentapeptide carrying VPGVG sequence to mimic the natural polypeptide ELP. The ELP2 was designed by the incorporation of 4-penta peptide chains to improve the biocompatibility and mechanical strength. Thus, the third position in unique VPGVG was replaced with alanine to VPAVG and in a similar way modified to VPGKG, VPGEG and VPGIG with the substitution of lysine, glutamic acid and isoleucine. In ELP3, fibronectin C5 domain endowed with REDV sequence was introduced to improve the cell attachment. The ELP1, ELP2 and ELP3 proteins expressed by Escherichia coli were purified by inverse transition cycling (ITC). The purified ELPs were confirmed by sodium dodecyl sulfate-polyacrylamide gel electrophoresis (SDS-PAGE) and western blotting. The Schwann cell (SC) morphology and cell adhesion were assessed by fabrication of ELP membrane cross-linked with glutaraledhyde. The Schwann cell proliferation was measured by WST-1 assay. Immunofluorostaining of Schwann cells was accomplished with SC specific phenotypic marker, S100. - Highlights: • Design and synthesis of three gene monomers of elastin like polypeptides (ELP1, 2 and 3) were reported. • Molecular weight of ITC purified ELP1, ELP2 and ELP3 was in the range of 37–38 kDa. • Schwann cell adhesion was found to be prominent in ELP3 and could be used as nerve conduit for peripheral nerve regeneration.

  11. Interactive system design using the complementarity of axiomatic design and fault tree analysis

    International Nuclear Information System (INIS)

    Heo, Gyun Young; Do, Sung Hee; Lee, Tae Sik

    2007-01-01

    To efficiently design safety-critical systems such as nuclear power plants, with requirement of high reliability, methodologies allowing for rigorous interactions between the synthesis and analysis processes have been proposed. This paper attempts to develop a reliability-centered design framework through an interactive process between Axiomatic Design (AD) and Fault Tree Analysis (FTA). Integrating AD and FTA into a single framework appears to be a viable solution, as they compliment each other with their unique advantages. AD provides a systematic synthesis tool while FTA is commonly used as a safety analysis tool. These methodologies build a design process that is less subjective, and they enable designers to develop insights that lead to solutions with improved reliability. Due to the nature of the two methodologies, the information involved in each process is complementary: a success tree versus a fault tree. Thus, at each step a system using AD is synthesized, and its reliability is then quantified using the FT derived from the AD synthesis process. The converted FT provides an opportunity to examine the completeness of the outcome from the synthesis process. This study presents an example of the design of a Containment Heat Removal System (CHRS). A case study illustrates the process of designing the CHRS with an interactive design framework focusing on the conversion of the AD process to FTA

  12. Microbial chemical factories: recent advances in pathway engineering for synthesis of value added chemicals.

    Science.gov (United States)

    Dhamankar, Himanshu; Prather, Kristala L J

    2011-08-01

    The dwindling nature of petroleum and other fossil reserves has provided impetus towards microbial synthesis of fuels and value added chemicals from biomass-derived sugars as a renewable resource. Microbes have naturally evolved enzymes and pathways that can convert biomass into hundreds of unique chemical structures, a property that can be effectively exploited for their engineering into Microbial Chemical Factories (MCFs). De novo pathway engineering facilitates expansion of the repertoire of microbially synthesized compounds beyond natural products. In this review, we visit some recent successes in such novel pathway engineering and optimization, with particular emphasis on the selection and engineering of pathway enzymes and balancing of their accessory cofactors. Copyright © 2011 Elsevier Ltd. All rights reserved.

  13. Drug-Eluting Balloons in the Treatment of Coronary De Novo Lesions

    DEFF Research Database (Denmark)

    Richelsen, Rasmus Kapalu Broge; Overvad, Thure Filskov; Jensen, Svend Eggert

    2016-01-01

    Drug-eluting balloons (DEBs) have emerged as a new application in percutaneous coronary intervention. DEBs have proven successful in the treatment of in-stent restenosis, but their role in de novo lesions is less clear. This paper provides a review of the current studies where DEBs have been used...

  14. Radioisotope devices at Novo-Krivorozhskij-ore-enrichment plant

    International Nuclear Information System (INIS)

    Levitskij, V.Ya.; Kucher, V.G.; Ministerstvo Chernoj Metallurgii Ukrainskoj SSR, Dnepropetrovsk, Bazovaya Opytno-Konstruktorskaya Izotopnaya lab.)

    1975-01-01

    Use of the ''Ferrite'' analyzers, AZhR and PAZh-1 and the GR-7 gamma relay in different engineering areas of the Novo-Krivorozh ore-enrichment plant is evaluated. The ''Ferrite'' radioisotopic analyzer was designed for rapid roentgeno-radiometric determination of the total iron and iron group element contents in powdered samples of ores and products of their treatment. From the density of the flux of the characteristic radiation it is possible to determine quantitatively the content of the element of interest in the analyzed material. The radioisotpic analyzer AZhR-1 is distinguished by its high efficiency in the analysis for total iron on products of processing of iron ore raw materials. The use of this apparatus allows complete replacement of the chemical method for rapid analysis of concentrates by the roentgeno-radiometric method, which decreases the total analytical work on determination of total iron and speeds up output of information on concentrate quality. The radioisotopic gamma analyzer PAZh-1 is designed for automatic and either continuous or discrete measurement of the total iron content in iron ore materials ground to 50 mm, carried on a conveyor, without selection or preparation of the samples for analysis. The analyzer operates on the principle of measuring the average frequency of impingement on a detector of gamma quanta back-scattered by the analyzed material. This frequency is determined by the percentage content of total iron in the material. The apparatus operates continuously. The mean square deviation of the apparatus values from chemical data is 0.93% Fesub(total). Use of the apparatus greatly increases the operativeness of the control and decreases the laboriousness of raw material sampling in an engineering stream. The radioisotopic data units GR-7 are designed for control of the level of materials in hoppers for recovery and burning of agglomerates. Use of this apparatus showed its great advantage over data units of other types

  15. Inactivation of human α-globin gene expression by a de novo deletion located upstream of the α-globin gene cluster

    International Nuclear Information System (INIS)

    Liebhaber, S.A.; Weiss, I.; Cash, F.E.; Griese, E.U.; Horst, J.; Ayyub, H.; Higgs, D.R.

    1990-01-01

    Synthesis of normal human hemoglobin A, α 2 β 2 , is based upon balanced expression of genes in the α-globin gene cluster on chromosome 15 and the β-globin gene cluster on chromosome 11. Full levels of erythroid-specific activation of the β-globin cluster depend on sequences located at a considerable distance 5' to the β-globin gene, referred to as the locus-activating or dominant control region. The existence of an analogous element(s) upstream of the α-globin cluster has been suggested from observations on naturally occurring deletions and experimental studies. The authors have identified an individual with α-thalassemia in whom structurally normal α-globin genes have been inactivated in cis by a discrete de novo 35-kilobase deletion located ∼30 kilobases 5' from the α-globin gene cluster. They conclude that this deletion inactivates expression of the α-globin genes by removing one or more of the previously identified upstream regulatory sequences that are critical to expression of the α-globin genes

  16. Enantioselective Total Synthesis of Antibiotic CJ-16,264, Synthesis and Biological Evaluation of Designed Analogues, and Discovery of Highly Potent and Simpler Antibacterial Agents.

    Science.gov (United States)

    Nicolaou, K C; Pulukuri, Kiran Kumar; Rigol, Stephan; Buchman, Marek; Shah, Akshay A; Cen, Nicholas; McCurry, Megan D; Beabout, Kathryn; Shamoo, Yousif

    2017-11-08

    An improved and enantioselective total synthesis of antibiotic CJ-16,264 through a practical kinetic resolution and an iodolactonization reaction to form the iodo pyrrolizidinone fragment of the molecule is described. A series of racemic and enantiopure analogues of CJ-16,264 was designed and synthesized through the developed synthetic technologies and tested against drug-resistant bacterial strains. These studies led to interesting structure-activity relationships and the identification of a number of simpler, and yet equipotent, or even more potent, antibacterial agents than the natural product, thereby setting the foundation for further investigations in the quest for new anti-infective drugs.

  17. Design of a minimal protein oligomerization domain by a structural approach.

    Science.gov (United States)

    Burkhard, P; Meier, M; Lustig, A

    2000-12-01

    Because of the simplicity and regularity of the alpha-helical coiled coil relative to other structural motifs, it can be conveniently used to clarify the molecular interactions responsible for protein folding and stability. Here we describe the de novo design and characterization of a two heptad-repeat peptide stabilized by a complex network of inter- and intrahelical salt bridges. Circular dichroism spectroscopy and analytical ultracentrifugation show that this peptide is highly alpha-helical and 100% dimeric tinder physiological buffer conditions. Interestingly, the peptide was shown to switch its oligomerization state from a dimer to a trimer upon increasing ionic strength. The correctness of the rational design principles used here is supported by details of the atomic structure of the peptide deduced from X-ray crystallography. The structure of the peptide shows that it is not a molten globule but assumes a unique, native-like conformation. This de novo peptide thus represents an attractive model system for the design of a molecular recognition system.

  18. Achieving process intensification form the application of a phenomena based synthesis, Design and intensification methodology

    DEFF Research Database (Denmark)

    Babi, Deenesh Kavi; Lutze, Philip; Woodley, John

    of PI still faces challenges [2] because the identification and design of intensified processes is not simple [3]. Lutze et al [3] has developed a systematic PI synthesis/design method at the unit operations (Unit-Ops) level, where the search space is based on a knowledge-base of existing PI equipment...... for the manufacture of methyl acetate by replacing with one single reactive distillation column the multi-step process which consisted of one reactor, extractive distillation, liquid-liquid separation and azeotropic distillation. However, except for reactive distillation and dividing wall columns, the implementation......, the starting point is knowledge of existing Unit-Ops and therefore a limitation arising from their application is that they are able to generate new integrations/combinations of intensified equipment but are unable to generate novel PI solutions employing new Unit-Ops. Therefore, incentives exist for a more...

  19. Predicting survival of de novo metastatic breast cancer in Asian women: systematic review and validation study.

    Science.gov (United States)

    Miao, Hui; Hartman, Mikael; Bhoo-Pathy, Nirmala; Lee, Soo-Chin; Taib, Nur Aishah; Tan, Ern-Yu; Chan, Patrick; Moons, Karel G M; Wong, Hoong-Seam; Goh, Jeremy; Rahim, Siti Mastura; Yip, Cheng-Har; Verkooijen, Helena M

    2014-01-01

    In Asia, up to 25% of breast cancer patients present with distant metastases at diagnosis. Given the heterogeneous survival probabilities of de novo metastatic breast cancer, individual outcome prediction is challenging. The aim of the study is to identify existing prognostic models for patients with de novo metastatic breast cancer and validate them in Asia. We performed a systematic review to identify prediction models for metastatic breast cancer. Models were validated in 642 women with de novo metastatic breast cancer registered between 2000 and 2010 in the Singapore Malaysia Hospital Based Breast Cancer Registry. Survival curves for low, intermediate and high-risk groups according to each prognostic score were compared by log-rank test and discrimination of the models was assessed by concordance statistic (C-statistic). We identified 16 prediction models, seven of which were for patients with brain metastases only. Performance status, estrogen receptor status, metastatic site(s) and disease-free interval were the most common predictors. We were able to validate nine prediction models. The capacity of the models to discriminate between poor and good survivors varied from poor to fair with C-statistics ranging from 0.50 (95% CI, 0.48-0.53) to 0.63 (95% CI, 0.60-0.66). The discriminatory performance of existing prediction models for de novo metastatic breast cancer in Asia is modest. Development of an Asian-specific prediction model is needed to improve prognostication and guide decision making.

  20. Predicting survival of de novo metastatic breast cancer in Asian women: systematic review and validation study.

    Directory of Open Access Journals (Sweden)

    Hui Miao

    Full Text Available BACKGROUND: In Asia, up to 25% of breast cancer patients present with distant metastases at diagnosis. Given the heterogeneous survival probabilities of de novo metastatic breast cancer, individual outcome prediction is challenging. The aim of the study is to identify existing prognostic models for patients with de novo metastatic breast cancer and validate them in Asia. MATERIALS AND METHODS: We performed a systematic review to identify prediction models for metastatic breast cancer. Models were validated in 642 women with de novo metastatic breast cancer registered between 2000 and 2010 in the Singapore Malaysia Hospital Based Breast Cancer Registry. Survival curves for low, intermediate and high-risk groups according to each prognostic score were compared by log-rank test and discrimination of the models was assessed by concordance statistic (C-statistic. RESULTS: We identified 16 prediction models, seven of which were for patients with brain metastases only. Performance status, estrogen receptor status, metastatic site(s and disease-free interval were the most common predictors. We were able to validate nine prediction models. The capacity of the models to discriminate between poor and good survivors varied from poor to fair with C-statistics ranging from 0.50 (95% CI, 0.48-0.53 to 0.63 (95% CI, 0.60-0.66. CONCLUSION: The discriminatory performance of existing prediction models for de novo metastatic breast cancer in Asia is modest. Development of an Asian-specific prediction model is needed to improve prognostication and guide decision making.