WorldWideScience

Sample records for non-volatile memory technology

  1. Emerging non-volatile memories

    CERN Document Server

    Hong, Seungbum; Wouters, Dirk

    2014-01-01

    This book is an introduction to the fundamentals of emerging non-volatile memories and provides an overview of future trends in the field. Readers will find coverage of seven important memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), Multiferroic RAM (MFRAM), Phase-Change Memories (PCM), Oxide-based Resistive RAM (RRAM), Probe Storage, and Polymer Memories. Chapters are structured to reflect diffusions and clashes between different topics. Emerging Non-Volatile Memories is an ideal book for graduate students, faculty, and professionals working in the area of non-volatile memory. This book also: Covers key memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), and Multiferroic RAM (MFRAM), among others. Provides an overview of non-volatile memory fundamentals. Broadens readers' understanding of future trends in non-volatile memories.

  2. Overview of radiation effects on emerging non-volatile memory technologies

    Directory of Open Access Journals (Sweden)

    Fetahović Irfan S.

    2017-01-01

    Full Text Available In this paper we give an overview of radiation effects in emergent, non-volatile memory technologies. Investigations into radiation hardness of resistive random access memory, ferroelectric random access memory, magneto-resistive random access memory, and phase change memory are presented in cases where these memory devices were subjected to different types of radiation. The obtained results proved high radiation tolerance of studied devices making them good candidates for application in radiation-intensive environments. [Project of the Serbian Ministry of Education, Science and Technological Development, Grant no. 171007

  3. Non-volatile memories

    CERN Document Server

    Lacaze, Pierre-Camille

    2014-01-01

    Written for scientists, researchers, and engineers, Non-volatile Memories describes the recent research and implementations in relation to the design of a new generation of non-volatile electronic memories. The objective is to replace existing memories (DRAM, SRAM, EEPROM, Flash, etc.) with a universal memory model likely to reach better performances than the current types of memory: extremely high commutation speeds, high implantation densities and retention time of information of about ten years.

  4. A review of emerging non-volatile memory (NVM) technologies and applications

    Science.gov (United States)

    Chen, An

    2016-11-01

    This paper will review emerging non-volatile memory (NVM) technologies, with the focus on phase change memory (PCM), spin-transfer-torque random-access-memory (STTRAM), resistive random-access-memory (RRAM), and ferroelectric field-effect-transistor (FeFET) memory. These promising NVM devices are evaluated in terms of their advantages, challenges, and applications. Their performance is compared based on reported parameters of major industrial test chips. Memory selector devices and cell structures are discussed. Changing market trends toward low power (e.g., mobile, IoT) and data-centric applications create opportunities for emerging NVMs. High-performance and low-cost emerging NVMs may simplify memory hierarchy, introduce non-volatility in logic gates and circuits, reduce system power, and enable novel architectures. Storage-class memory (SCM) based on high-density NVMs could fill the performance and density gap between memory and storage. Some unique characteristics of emerging NVMs can be utilized for novel applications beyond the memory space, e.g., neuromorphic computing, hardware security, etc. In the beyond-CMOS era, emerging NVMs have the potential to fulfill more important functions and enable more efficient, intelligent, and secure computing systems.

  5. Carbon nanomaterials for non-volatile memories

    Science.gov (United States)

    Ahn, Ethan C.; Wong, H.-S. Philip; Pop, Eric

    2018-03-01

    Carbon can create various low-dimensional nanostructures with remarkable electronic, optical, mechanical and thermal properties. These features make carbon nanomaterials especially interesting for next-generation memory and storage devices, such as resistive random access memory, phase-change memory, spin-transfer-torque magnetic random access memory and ferroelectric random access memory. Non-volatile memories greatly benefit from the use of carbon nanomaterials in terms of bit density and energy efficiency. In this Review, we discuss sp2-hybridized carbon-based low-dimensional nanostructures, such as fullerene, carbon nanotubes and graphene, in the context of non-volatile memory devices and architectures. Applications of carbon nanomaterials as memory electrodes, interfacial engineering layers, resistive-switching media, and scalable, high-performance memory selectors are investigated. Finally, we compare the different memory technologies in terms of writing energy and time, and highlight major challenges in the manufacturing, integration and understanding of the physical mechanisms and material properties.

  6. Physical principles and current status of emerging non-volatile solid state memories

    Science.gov (United States)

    Wang, L.; Yang, C.-H.; Wen, J.

    2015-07-01

    Today the influence of non-volatile solid-state memories on persons' lives has become more prominent because of their non-volatility, low data latency, and high robustness. As a pioneering technology that is representative of non-volatile solidstate memories, flash memory has recently seen widespread application in many areas ranging from electronic appliances, such as cell phones and digital cameras, to external storage devices such as universal serial bus (USB) memory. Moreover, owing to its large storage capacity, it is expected that in the near future, flash memory will replace hard-disk drives as a dominant technology in the mass storage market, especially because of recently emerging solid-state drives. However, the rapid growth of the global digital data has led to the need for flash memories to have larger storage capacity, thus requiring a further downscaling of the cell size. Such a miniaturization is expected to be extremely difficult because of the well-known scaling limit of flash memories. It is therefore necessary to either explore innovative technologies that can extend the areal density of flash memories beyond the scaling limits, or to vigorously develop alternative non-volatile solid-state memories including ferroelectric random-access memory, magnetoresistive random-access memory, phase-change random-access memory, and resistive random-access memory. In this paper, we review the physical principles of flash memories and their technical challenges that affect our ability to enhance the storage capacity. We then present a detailed discussion of novel technologies that can extend the storage density of flash memories beyond the commonly accepted limits. In each case, we subsequently discuss the physical principles of these new types of non-volatile solid-state memories as well as their respective merits and weakness when utilized for data storage applications. Finally, we predict the future prospects for the aforementioned solid-state memories for

  7. Phase-change materials for non-volatile memory devices: from technological challenges to materials science issues

    Science.gov (United States)

    Noé, Pierre; Vallée, Christophe; Hippert, Françoise; Fillot, Frédéric; Raty, Jean-Yves

    2018-01-01

    Chalcogenide phase-change materials (PCMs), such as Ge-Sb-Te alloys, have shown outstanding properties, which has led to their successful use for a long time in optical memories (DVDs) and, recently, in non-volatile resistive memories. The latter, known as PCM memories or phase-change random access memories (PCRAMs), are the most promising candidates among emerging non-volatile memory (NVM) technologies to replace the current FLASH memories at CMOS technology nodes under 28 nm. Chalcogenide PCMs exhibit fast and reversible phase transformations between crystalline and amorphous states with very different transport and optical properties leading to a unique set of features for PCRAMs, such as fast programming, good cyclability, high scalability, multi-level storage capability, and good data retention. Nevertheless, PCM memory technology has to overcome several challenges to definitively invade the NVM market. In this review paper, we examine the main technological challenges that PCM memory technology must face and we illustrate how new memory architecture, innovative deposition methods, and PCM composition optimization can contribute to further improvements of this technology. In particular, we examine how to lower the programming currents and increase data retention. Scaling down PCM memories for large-scale integration means the incorporation of the PCM into more and more confined structures and raises materials science issues in order to understand interface and size effects on crystallization. Other materials science issues are related to the stability and ageing of the amorphous state of PCMs. The stability of the amorphous phase, which determines data retention in memory devices, can be increased by doping the PCM. Ageing of the amorphous phase leads to a large increase of the resistivity with time (resistance drift), which has up to now hindered the development of ultra-high multi-level storage devices. A review of the current understanding of all these

  8. EDITORIAL: Non-volatile memory based on nanostructures Non-volatile memory based on nanostructures

    Science.gov (United States)

    Kalinin, Sergei; Yang, J. Joshua; Demming, Anna

    2011-06-01

    Non-volatile memory refers to the crucial ability of computers to store information once the power source has been removed. Traditionally this has been achieved through flash, magnetic computer storage and optical discs, and in the case of very early computers paper tape and punched cards. While computers have advanced considerably from paper and punched card memory devices, there are still limits to current non-volatile memory devices that restrict them to use as secondary storage from which data must be loaded and carefully saved when power is shut off. Denser, faster, low-energy non-volatile memory is highly desired and nanostructures are the critical enabler. This special issue on non-volatile memory based on nanostructures describes some of the new physics and technology that may revolutionise future computers. Phase change random access memory, which exploits the reversible phase change between crystalline and amorphous states, also holds potential for future memory devices. The chalcogenide Ge2Sb2Te5 (GST) is a promising material in this field because it combines a high activation energy for crystallization and a relatively low crystallization temperature, as well as a low melting temperature and low conductivity, which accommodates localized heating. Doping is often used to lower the current required to activate the phase change or 'reset' GST but this often aggravates other problems. Now researchers in Korea report in-depth studies of SiO2-doped GST and identify ways of optimising the material's properties for phase-change random access memory [1]. Resistance switching is an area that has attracted a particularly high level of interest for non-volatile memory technology, and a great deal of research has focused on the potential of TiO2 as a model system in this respect. Researchers at HP labs in the US have made notable progress in this field, and among the work reported in this special issue they describe means to control the switch resistance and show

  9. Technology breakthroughs in high performance metal-oxide-semiconductor devices for ultra-high density, low power non-volatile memory applications

    Science.gov (United States)

    Hong, Augustin Jinwoo

    Non-volatile memory devices have attracted much attention because data can be retained without power consumption more than a decade. Therefore, non-volatile memory devices are essential to mobile electronic applications. Among state of the art non-volatile memory devices, NAND flash memory has earned the highest attention because of its ultra-high scalability and therefore its ultra-high storage capacity. However, human desire as well as market competition requires not only larger storage capacity but also lower power consumption for longer battery life time. One way to meet this human desire and extend the benefits of NAND flash memory is finding out new materials for storage layer inside the flash memory, which is called floating gate in the state of the art flash memory device. In this dissertation, we study new materials for the floating gate that can lower down the power consumption and increase the storage capacity at the same time. To this end, we employ various materials such as metal nanodot, metal thin film and graphene incorporating complementary-metal-oxide-semiconductor (CMOS) compatible processes. Experimental results show excellent memory effects at relatively low operating voltages. Detailed physics and analysis on experimental results are discussed. These new materials for data storage can be promising candidates for future non-volatile memory application beyond the state of the art flash technologies.

  10. Use of non-volatile memories for SSC detector readout

    International Nuclear Information System (INIS)

    Fennelly, A.J.; Woosley, J.K.; Johnson, M.B.

    1990-01-01

    Use of non-volatile memory units at the end of each fiber optic bunch/strand would substantially increase information available from experiments by providing a complete event history, in addition to easing real time processing requirements. This may be an alternative to enhancing technology to optical computing techniques. Available and low-risk projected technologies will be surveyed, with costing addressed. Some discussion will be given to covnersion of optical signals, to electronic information, concepts for providing timing pulses to the memory units, and to the magnetoresistive (MRAM) and ferroelectric (FERAM) random access memory technologies that may be utilized in the prototype system

  11. High-Speed Non-Volatile Optical Memory: Achievements and Challenges

    Directory of Open Access Journals (Sweden)

    Vadym Zayets

    2017-01-01

    Full Text Available We have proposed, fabricated, and studied a new design of a high-speed optical non-volatile memory. The recoding mechanism of the proposed memory utilizes a magnetization reversal of a nanomagnet by a spin-polarized photocurrent. It was shown experimentally that the operational speed of this memory may be extremely fast above 1 TBit/s. The challenges to realize both a high-speed recording and a high-speed reading are discussed. The memory is compact, integratable, and compatible with present semiconductor technology. If realized, it will advance data processing and computing technology towards a faster operation speed.

  12. Method for refreshing a non-volatile memory

    Science.gov (United States)

    Riekels, James E.; Schlesinger, Samuel

    2008-11-04

    A non-volatile memory and a method of refreshing a memory are described. The method includes allowing an external system to control refreshing operations within the memory. The memory may generate a refresh request signal and transmit the refresh request signal to the external system. When the external system finds an available time to process the refresh request, the external system acknowledges the refresh request and transmits a refresh acknowledge signal to the memory. The memory may also comprise a page register for reading and rewriting a data state back to the memory. The page register may comprise latches in lieu of supplemental non-volatile storage elements, thereby conserving real estate within the memory.

  13. Channel equalization techniques for non-volatile memristor memories

    KAUST Repository

    Naous, Rawan

    2016-03-16

    Channel coding and information theoretic approaches have been utilized in conventional non-volatile memories to overcome their inherent design limitations of leakage, coupling and refresh rates. However, the continuous scaling and integration constraints set on the current devices directed the attention towards emerging memory technologies as suitable alternatives. Memristive devices are prominent candidates to replace the conventional electronics due to its non-volatility and small feature size. Nonetheless, memristor-based memories still encounter an accuracy limitation throughout the read operation addressed as the sneak path phenomenon. The readout data is corrupted with added distortion that increases significantly the bit error rate and jeopardizes the reliability of the read operation. A novel technique is applied to alleviate this distorting effect where the communication channel model is proposed for the memory array. Noise cancellation principles are applied with the aid of preset pilots to extract channel information and adjust the readout values accordingly. The proposed technique has the virtue of high speed, energy efficiency, and low complexity design while achieving high reliability and error-free decoding.

  14. Channel equalization techniques for non-volatile memristor memories

    KAUST Repository

    Naous, Rawan; Zidan, Mohammed A.; Salem, Ahmed Sultan; Salama, Khaled N.

    2016-01-01

    Channel coding and information theoretic approaches have been utilized in conventional non-volatile memories to overcome their inherent design limitations of leakage, coupling and refresh rates. However, the continuous scaling and integration constraints set on the current devices directed the attention towards emerging memory technologies as suitable alternatives. Memristive devices are prominent candidates to replace the conventional electronics due to its non-volatility and small feature size. Nonetheless, memristor-based memories still encounter an accuracy limitation throughout the read operation addressed as the sneak path phenomenon. The readout data is corrupted with added distortion that increases significantly the bit error rate and jeopardizes the reliability of the read operation. A novel technique is applied to alleviate this distorting effect where the communication channel model is proposed for the memory array. Noise cancellation principles are applied with the aid of preset pilots to extract channel information and adjust the readout values accordingly. The proposed technique has the virtue of high speed, energy efficiency, and low complexity design while achieving high reliability and error-free decoding.

  15. A semi-floating gate memory based on van der Waals heterostructures for quasi-non-volatile applications.

    Science.gov (United States)

    Liu, Chunsen; Yan, Xiao; Song, Xiongfei; Ding, Shijin; Zhang, David Wei; Zhou, Peng

    2018-04-09

    As conventional circuits based on field-effect transistors are approaching their physical limits due to quantum phenomena, semi-floating gate transistors have emerged as an alternative ultrafast and silicon-compatible technology. Here, we show a quasi-non-volatile memory featuring a semi-floating gate architecture with band-engineered van der Waals heterostructures. This two-dimensional semi-floating gate memory demonstrates 156 times longer refresh time with respect to that of dynamic random access memory and ultrahigh-speed writing operations on nanosecond timescales. The semi-floating gate architecture greatly enhances the writing operation performance and is approximately 10 6 times faster than other memories based on two-dimensional materials. The demonstrated characteristics suggest that the quasi-non-volatile memory has the potential to bridge the gap between volatile and non-volatile memory technologies and decrease the power consumption required for frequent refresh operations, enabling a high-speed and low-power random access memory.

  16. Low-power non-volatile spintronic memory: STT-RAM and beyond

    International Nuclear Information System (INIS)

    Wang, K L; Alzate, J G; Khalili Amiri, P

    2013-01-01

    The quest for novel low-dissipation devices is one of the most critical for the future of semiconductor technology and nano-systems. The development of a low-power, universal memory will enable a new paradigm of non-volatile computation. Here we consider STT-RAM as one of the emerging candidates for low-power non-volatile memory. We show different configurations for STT memory and demonstrate strategies to optimize key performance parameters such as switching current and energy. The energy and scaling limits of STT-RAM are discussed, leading us to argue that alternative writing mechanisms may be required to achieve ultralow power dissipation, a necessary condition for direct integration with CMOS at the gate level for non-volatile logic purposes. As an example, we discuss the use of the giant spin Hall effect as a possible alternative to induce magnetization reversal in magnetic tunnel junctions using pure spin currents. Further, we concentrate on magnetoelectric effects, where electric fields are used instead of spin-polarized currents to manipulate the nanomagnets, as another candidate solution to address the challenges of energy efficiency and density. The possibility of an electric-field-controlled magnetoelectric RAM as a promising candidate for ultralow-power non-volatile memory is discussed in the light of experimental data demonstrating voltage-induced switching of the magnetization and reorientation of the magnetic easy axis by electric fields in nanomagnets. (paper)

  17. A room-temperature non-volatile CNT-based molecular memory cell

    Science.gov (United States)

    Ye, Senbin; Jing, Qingshen; Han, Ray P. S.

    2013-04-01

    Recent experiments with a carbon nanotube (CNT) system confirmed that the innertube can oscillate back-and-forth even under a room-temperature excitation. This demonstration of relative motion suggests that it is now feasible to build a CNT-based molecular memory cell (MC), and the key to bring the concept to reality is the precision control of the moving tube for sustained and reliable read/write (RW) operations. Here, we show that by using a 2-section outertube design, we are able to suitably recalibrate the system energetics and obtain the designed performance characteristics of a MC. Further, the resulting energy modification enables the MC to operate as a non-volatile memory element at room temperatures. Our paper explores a fundamental understanding of a MC and its response at the molecular level to roadmap a novel approach in memory technologies that can be harnessed to overcome the miniaturization limit and memory volatility in memory technologies.

  18. Process Qualification Strategy for Advances Embedded Non Volatile Memory Technology : The Philips' 0.18um Embedded Flash Case

    NARCIS (Netherlands)

    Tao, Guoqiao; Scarpa, Andrea; van Dijk, Kitty; Kuper, Fred G.

    2003-01-01

    A qualification strategy for advanced embedded non-volatile memory technology has been revealed. This strategy consists of: a thorough understanding of the requirements, extensive use and frequent update of the FMEA (failure mode effect analysis), a qualification plan with excellent coverage of all

  19. Active non-volatile memory post-processing

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Sudarsun; Milojicic, Dejan S.; Talwar, Vanish

    2017-04-11

    A computing node includes an active Non-Volatile Random Access Memory (NVRAM) component which includes memory and a sub-processor component. The memory is to store data chunks received from a processor core, the data chunks comprising metadata indicating a type of post-processing to be performed on data within the data chunks. The sub-processor component is to perform post-processing of said data chunks based on said metadata.

  20. Non-volatile memory based on the ferroelectric photovoltaic effect

    Science.gov (United States)

    Guo, Rui; You, Lu; Zhou, Yang; Shiuh Lim, Zhi; Zou, Xi; Chen, Lang; Ramesh, R.; Wang, Junling

    2013-01-01

    The quest for a solid state universal memory with high-storage density, high read/write speed, random access and non-volatility has triggered intense research into new materials and novel device architectures. Though the non-volatile memory market is dominated by flash memory now, it has very low operation speed with ~10 μs programming and ~10 ms erasing time. Furthermore, it can only withstand ~105 rewriting cycles, which prevents it from becoming the universal memory. Here we demonstrate that the significant photovoltaic effect of a ferroelectric material, such as BiFeO3 with a band gap in the visible range, can be used to sense the polarization direction non-destructively in a ferroelectric memory. A prototype 16-cell memory based on the cross-bar architecture has been prepared and tested, demonstrating the feasibility of this technique. PMID:23756366

  1. Organic non-volatile memories from ferroelectric phase separated blends

    Science.gov (United States)

    Asadi, Kamal; de Leeuw, Dago; de Boer, Bert; Blom, Paul

    2009-03-01

    Ferroelectric polarisation is an attractive physical property for non-volatile binary switching. The functionality of the targeted memory should be based on resistive switching. Conductivity and ferroelectricity however cannot be tuned independently. The challenge is to develop a storage medium in which the favourable properties of ferroelectrics such as bistability and non-volatility can be combined with the beneficial properties provided by semiconductors such as conductivity and rectification. In this contribution we present an integrated solution by blending semiconducting and ferroelectric polymers into phase separated networks. The polarisation field of the ferroelectric modulates the injection barrier at the semiconductor--metal contact. This combination allows for solution-processed non-volatile memory arrays with a simple cross-bar architecture that can be read-out non-destructively. Based on this general concept a non-volatile, reversible switchable Schottky diode with relatively fast programming time of shorter than 100 microseconds, long information retention time of longer than 10^ days, and high programming cycle endurance with non-destructive read-out is demonstrated.

  2. Organic non-volatile memories from ferroelectric phase-separated blends

    Science.gov (United States)

    Asadi, Kamal; de Leeuw, Dago M.; de Boer, Bert; Blom, Paul W. M.

    2008-07-01

    New non-volatile memories are being investigated to keep up with the organic-electronics road map. Ferroelectric polarization is an attractive physical property as the mechanism for non-volatile switching, because the two polarizations can be used as two binary levels. However, in ferroelectric capacitors the read-out of the polarization charge is destructive. The functionality of the targeted memory should be based on resistive switching. In inorganic ferroelectrics conductivity and ferroelectricity cannot be tuned independently. The challenge is to develop a storage medium in which the favourable properties of ferroelectrics such as bistability and non-volatility can be combined with the beneficial properties provided by semiconductors such as conductivity and rectification. Here we present an integrated solution by blending semiconducting and ferroelectric polymers into phase-separated networks. The polarization field of the ferroelectric modulates the injection barrier at the semiconductor-metal contact. The combination of ferroelectric bistability with (semi)conductivity and rectification allows for solution-processed non-volatile memory arrays with a simple cross-bar architecture that can be read out non-destructively. The concept of an electrically tunable injection barrier as presented here is general and can be applied to other electronic devices such as light-emitting diodes with an integrated on/off switch.

  3. Emerging memory technologies design, architecture, and applications

    CERN Document Server

    2014-01-01

    This book explores the design implications of emerging, non-volatile memory (NVM) technologies on future computer memory hierarchy architecture designs. Since NVM technologies combine the speed of SRAM, the density of DRAM, and the non-volatility of Flash memory, they are very attractive as the basis for future universal memories. This book provides a holistic perspective on the topic, covering modeling, design, architecture and applications. The practical information included in this book will enable designers to exploit emerging memory technologies to improve significantly the performance/power/reliability of future, mainstream integrated circuits. • Provides a comprehensive reference on designing modern circuits with emerging, non-volatile memory technologies, such as MRAM and PCRAM; • Explores new design opportunities offered by emerging memory technologies, from a holistic perspective; • Describes topics in technology, modeling, architecture and applications; • Enables circuit designers to ex...

  4. Metal-organic molecular device for non-volatile memory storage

    International Nuclear Information System (INIS)

    Radha, B.; Sagade, Abhay A.; Kulkarni, G. U.

    2014-01-01

    Non-volatile memory devices have been of immense research interest for their use in active memory storage in powered off-state of electronic chips. In literature, various molecules and metal compounds have been investigated in this regard. Molecular memory devices are particularly attractive as they offer the ease of storing multiple memory states in a unique way and also represent ubiquitous choice for miniaturized devices. However, molecules are fragile and thus the device breakdown at nominal voltages during repeated cycles hinders their practical applicability. Here, in this report, a synergetic combination of an organic molecule and an inorganic metal, i.e., a metal-organic complex, namely, palladium hexadecylthiolate is investigated for memory device characteristics. Palladium hexadecylthiolate following partial thermolysis is converted to a molecular nanocomposite of Pd(II), Pd(0), and long chain hydrocarbons, which is shown to exhibit non-volatile memory characteristics with exceptional stability and retention. The devices are all solution-processed and the memory action stems from filament formation across the pre-formed cracks in the nanocomposite film.

  5. Design exploration of emerging nano-scale non-volatile memory

    CERN Document Server

    Yu, Hao

    2014-01-01

    This book presents the latest techniques for characterization, modeling and design for nano-scale non-volatile memory (NVM) devices.  Coverage focuses on fundamental NVM device fabrication and characterization, internal state identification of memristic dynamics with physics modeling, NVM circuit design, and hybrid NVM memory system design-space optimization. The authors discuss design methodologies for nano-scale NVM devices from a circuits/systems perspective, including the general foundations for the fundamental memristic dynamics in NVM devices.  Coverage includes physical modeling, as well as the development of a platform to explore novel hybrid CMOS and NVM circuit and system design.   • Offers readers a systematic and comprehensive treatment of emerging nano-scale non-volatile memory (NVM) devices; • Focuses on the internal state of NVM memristic dynamics, novel NVM readout and memory cell circuit design, and hybrid NVM memory system optimization; • Provides both theoretical analysis and pr...

  6. Role of Non-Volatile Memories in Automotive and IoT Markets

    Science.gov (United States)

    2017-03-01

    Standard Manufacturing Supply Long Term Short to Medium Term Density Up to 16MB Up to 2MB IO Configuration Up to x128 Up to x32 Design for Test...Role of Non-Volatile Memories in Automotive and IoT Markets Vipin Tiwari Director, Business Development and Product Marketing SST – A Wholly Own...microcontrollers (MCU) and certainly one of the most challenging elements to master. This paper addresses the role of non-volatile memories for

  7. Overview of one transistor type of hybrid organic ferroelectric non-volatile memory

    Institute of Scientific and Technical Information of China (English)

    Young; Tea; Chun; Daping; Chu

    2015-01-01

    Organic ferroelectric memory devices based on field effect transistors that can be configured between two stable states of on and off have been widely researched as the next generation data storage media in recent years.This emerging type of memory devices can lead to a new instrument system as a potential alternative to previous non-volatile memory building blocks in future processing units because of their numerous merits such as cost-effective process,simple structure and freedom in substrate choices.This bi-stable non-volatile memory device of information storage has been investigated using several organic or inorganic semiconductors with organic ferroelectric polymer materials.Recent progresses in this ferroelectric memory field,hybrid system have attracted a lot of attention due to their excellent device performance in comparison with that of all organic systems.In this paper,a general review of this type of ferroelectric non-volatile memory is provided,which include the device structure,organic ferroelectric materials,electrical characteristics and working principles.We also present some snapshots of our previous study on hybrid ferroelectric memories including our recent work based on zinc oxide nanowire channels.

  8. High-performance non-volatile organic ferroelectric memory on banknotes

    KAUST Repository

    Khan, Yasser; Bhansali, Unnat Sampatraj; Alshareef, Husam N.

    2012-01-01

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage

  9. ZnO as dielectric for optically transparent non-volatile memory

    International Nuclear Information System (INIS)

    Salim, N. Tjitra; Aw, K.C.; Gao, W.; Wright, Bryon E.

    2009-01-01

    This paper discusses the application of a DC sputtered ZnO thin film as a dielectric in an optically transparent non-volatile memory. The main motivation for using ZnO as a dielectric is due to its optical transparency and mechanical flexibility. We have established the relationship between the electrical resistivity (ρ) and the activation energy (E a ) of the electron transport in the conduction band of the ZnO film. The ρ of 2 x 10 4 -5 x 10 7 Ω-cm corresponds to E a of 0.36-0.76 eV, respectively. The k-value and optical band-gap for films sputtered with Ar:O 2 ratio of 4:1 are 53 ± 3.6 and 3.23 eV, respectively. In this paper, the basic charge storage element for a non-volatile memory is a triple layer dielectric structure in which a 50 nm thick ZnO film is sandwiched between two layers of methyl silsesquioxane sol-gel dielectric of varying thickness. A pronounced clockwise capacitance-voltage (C-V) hysteresis was observed with a memory window of 6 V. The integration with a solution-processable pentacene, 13,6-N-Sulfinylacetamodipentacene resulted in an optically transparent organic field effect transistor non-volatile memory (OFET-NVM). We have demonstrated that this OFET-NVM can be electrically programmed and erased at low voltage (± 10 V) with a threshold voltage shift of 4.0 V.

  10. Highly Stretchable Non-volatile Nylon Thread Memory

    Science.gov (United States)

    Kang, Ting-Kuo

    2016-04-01

    Integration of electronic elements into textiles, to afford e-textiles, can provide an ideal platform for the development of lightweight, thin, flexible, and stretchable e-textiles. This approach will enable us to meet the demands of the rapidly growing market of wearable-electronics on arbitrary non-conventional substrates. However the actual integration of the e-textiles that undergo mechanical deformations during both assembly and daily wear or satisfy the requirements of the low-end applications, remains a challenge. Resistive memory elements can also be fabricated onto a nylon thread (NT) for e-textile applications. In this study, a simple dip-and-dry process using graphene-PEDOT:PSS (poly(3,4-ethylenedioxythiophene) polystyrene sulfonate) ink is proposed for the fabrication of a highly stretchable non-volatile NT memory. The NT memory appears to have typical write-once-read-many-times characteristics. The results show that an ON/OFF ratio of approximately 103 is maintained for a retention time of 106 s. Furthermore, a highly stretchable strain and a long-term digital-storage capability of the ON-OFF-ON states are demonstrated in the NT memory. The actual integration of the knitted NT memories into textiles will enable new design possibilities for low-cost and large-area e-textile memory applications.

  11. Future Trend of Non-Volatile Semiconductor Memory and Feasibility Study of BiCS Type Stacked Structure

    OpenAIRE

    渡辺, 重佳

    2009-01-01

    Future trend of non-volatile semiconductor memory—FeRAM, MRAM, PRAM, ReRAM—compared with NAND typeflash memory has been described based on its history, application and performance. In the realistic point of view,FeRAM and MRAM are suitable for embedded memory and main memory, and PRAM and ReRAM are promising candidatesfor main memory and mass-storage memory for multimedia. Furthermore, the feasibility study of aggressiveultra-low-cost high-speed universal non-volatile semiconductor memory has...

  12. A Compute Capable SSD Architecture for Next-Generation Non-volatile Memories

    Energy Technology Data Exchange (ETDEWEB)

    De, Arup [Univ. of California, San Diego, CA (United States)

    2014-01-01

    Existing storage technologies (e.g., disks and ash) are failing to cope with the processor and main memory speed and are limiting the overall perfor- mance of many large scale I/O or data-intensive applications. Emerging fast byte-addressable non-volatile memory (NVM) technologies, such as phase-change memory (PCM), spin-transfer torque memory (STTM) and memristor are very promising and are approaching DRAM-like performance with lower power con- sumption and higher density as process technology scales. These new memories are narrowing down the performance gap between the storage and the main mem- ory and are putting forward challenging problems on existing SSD architecture, I/O interface (e.g, SATA, PCIe) and software. This dissertation addresses those challenges and presents a novel SSD architecture called XSSD. XSSD o oads com- putation in storage to exploit fast NVMs and reduce the redundant data tra c across the I/O bus. XSSD o ers a exible RPC-based programming framework that developers can use for application development on SSD without dealing with the complication of the underlying architecture and communication management. We have built a prototype of XSSD on the BEE3 FPGA prototyping system. We implement various data-intensive applications and achieve speedup and energy ef- ciency of 1.5-8.9 and 1.7-10.27 respectively. This dissertation also compares XSSD with previous work on intelligent storage and intelligent memory. The existing ecosystem and these new enabling technologies make this system more viable than earlier ones.

  13. Bioorganic nanodots for non-volatile memory devices

    International Nuclear Information System (INIS)

    Amdursky, Nadav; Shalev, Gil; Handelman, Amir; Natan, Amir; Rosenwaks, Yossi; Litsyn, Simon; Szwarcman, Daniel; Rosenman, Gil; Roizin, Yakov

    2013-01-01

    In recent years we are witnessing an intensive integration of bio-organic nanomaterials in electronic devices. Here we show that the diphenylalanine bio-molecule can self-assemble into tiny peptide nanodots (PNDs) of ∼2 nm size, and can be embedded into metal-oxide-semiconductor devices as charge storage nanounits in non-volatile memory. For that purpose, we first directly observe the crystallinity of a single PND by electron microscopy. We use these nanocrystalline PNDs units for the formation of a dense monolayer on SiO 2 surface, and study the electron/hole trapping mechanisms and charge retention ability of the monolayer, followed by fabrication of PND-based memory cell device

  14. High-performance non-volatile organic ferroelectric memory on banknotes

    KAUST Repository

    Khan, Yasser

    2012-03-21

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage, high mobility, and long retention times. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. High-performance non-volatile organic ferroelectric memory on banknotes.

    Science.gov (United States)

    Khan, M A; Bhansali, Unnat S; Alshareef, H N

    2012-04-24

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage, high mobility, and long retention times. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Bioorganic nanodots for non-volatile memory devices

    Energy Technology Data Exchange (ETDEWEB)

    Amdursky, Nadav; Shalev, Gil; Handelman, Amir; Natan, Amir; Rosenwaks, Yossi [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); Litsyn, Simon; Szwarcman, Daniel; Rosenman, Gil, E-mail: rgil@post.tau.ac.il [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); StoreDot LTD, 16 Menahem Begin St., Ramat Gan (Israel); Roizin, Yakov [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); TowerJazz, P.O. Box 619, Migdal HaEmek 23105 (Israel)

    2013-12-01

    In recent years we are witnessing an intensive integration of bio-organic nanomaterials in electronic devices. Here we show that the diphenylalanine bio-molecule can self-assemble into tiny peptide nanodots (PNDs) of ∼2 nm size, and can be embedded into metal-oxide-semiconductor devices as charge storage nanounits in non-volatile memory. For that purpose, we first directly observe the crystallinity of a single PND by electron microscopy. We use these nanocrystalline PNDs units for the formation of a dense monolayer on SiO{sub 2} surface, and study the electron/hole trapping mechanisms and charge retention ability of the monolayer, followed by fabrication of PND-based memory cell device.

  17. Non-volatile flash memory with discrete bionanodot floating gate assembled by protein template

    International Nuclear Information System (INIS)

    Miura, Atsushi; Yamashita, Ichiro; Uraoka, Yukiharu; Fuyuki, Takashi; Tsukamoto, Rikako; Yoshii, Shigeo

    2008-01-01

    We demonstrated non-volatile flash memory fabrication by utilizing uniformly sized cobalt oxide (Co 3 O 4 ) bionanodot (Co-BND) architecture assembled by a cage-shaped supramolecular protein template. A fabricated high-density Co-BND array was buried in a metal-oxide-semiconductor field-effect-transistor (MOSFET) structure to use as the charge storage node of a floating nanodot gate memory. We observed a clockwise hysteresis in the drain current-gate voltage characteristics of fabricated BND-embedded MOSFETs. Observed hysteresis obviously indicates a memory operation of Co-BND-embedded MOSFETs due to the charge confinement in the embedded BND and successful functioning of embedded BNDs as the charge storage nodes of the non-volatile flash memory. Fabricated Co-BND-embedded MOSFETs showed good memory properties such as wide memory windows, long charge retention and high tolerance to repeated write/erase operations. A new pathway for device fabrication by utilizing the versatile functionality of biomolecules is presented

  18. Rad Hard Non Volatile Memory for FPGA BootLoading, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Radiation-hardened non volatile memory (NVM) is needed to store the golden copy of the image(s) has not kept pace with the advances in FPGAs. Consider that a single...

  19. Non-volatile main memory management methods based on a file system.

    Science.gov (United States)

    Oikawa, Shuichi

    2014-01-01

    There are upcoming non-volatile (NV) memory technologies that provide byte addressability and high performance. PCM, MRAM, and STT-RAM are such examples. Such NV memory can be used as storage because of its data persistency without power supply while it can be used as main memory because of its high performance that matches up with DRAM. There are a number of researches that investigated its uses for main memory and storage. They were, however, conducted independently. This paper presents the methods that enables the integration of the main memory and file system management for NV memory. Such integration makes NV memory simultaneously utilized as both main memory and storage. The presented methods use a file system as their basis for the NV memory management. We implemented the proposed methods in the Linux kernel, and performed the evaluation on the QEMU system emulator. The evaluation results show that 1) the proposed methods can perform comparably to the existing DRAM memory allocator and significantly better than the page swapping, 2) their performance is affected by the internal data structures of a file system, and 3) the data structures appropriate for traditional hard disk drives do not always work effectively for byte addressable NV memory. We also performed the evaluation of the effects caused by the longer access latency of NV memory by cycle-accurate full-system simulation. The results show that the effect on page allocation cost is limited if the increase of latency is moderate.

  20. The memory of volatility

    Directory of Open Access Journals (Sweden)

    Kai R. Wenger

    2018-03-01

    Full Text Available The focus of the volatility literature on forecasting and the predominance of theconceptually simpler HAR model over long memory stochastic volatility models has led to the factthat the actual degree of memory estimates has rarely been considered. Estimates in the literaturerange roughly between 0.4 and 0.6 - that is from the higher stationary to the lower non-stationaryregion. This difference, however, has important practical implications - such as the existence or nonexistenceof the fourth moment of the return distribution. Inference on the memory order is complicatedby the presence of measurement error in realized volatility and the potential of spurious long memory.In this paper we provide a comprehensive analysis of the memory in variances of international stockindices and exchange rates. On the one hand, we find that the variance of exchange rates is subject tospurious long memory and the true memory parameter is in the higher stationary range. Stock indexvariances, on the other hand, are free of low frequency contaminations and the memory is in the lowernon-stationary range. These results are obtained using state of the art local Whittle methods that allowconsistent estimation in presence of perturbations or low frequency contaminations.

  1. The floating-gate non-volatile semiconductor memory--from invention to the digital age.

    Science.gov (United States)

    Sze, S M

    2012-10-01

    In the past 45 years (from 1967 to 2012), the non-volatile semiconductor memory (NVSM) has emerged from a floating-gate concept to the prime technology driver of the largest industry in the world-the electronics industry. In this paper, we briefly review the historical development of NVSM and project its future trends to the year 2020. In addition, we consider NVSM's wide-range of applications from the digital cellular phone to tablet computer to digital television. As the device dimension is scaled down to the deca-nanometer regime, we expect that many innovations will be made to meet the scaling challenges, and NVSM-inspired technology will continue to enrich and improve our lives for decades to come.

  2. Scalable printed electronics: an organic decoder addressing ferroelectric non-volatile memory

    Science.gov (United States)

    Ng, Tse Nga; Schwartz, David E.; Lavery, Leah L.; Whiting, Gregory L.; Russo, Beverly; Krusor, Brent; Veres, Janos; Bröms, Per; Herlogsson, Lars; Alam, Naveed; Hagel, Olle; Nilsson, Jakob; Karlsson, Christer

    2012-01-01

    Scalable circuits of organic logic and memory are realized using all-additive printing processes. A 3-bit organic complementary decoder is fabricated and used to read and write non-volatile, rewritable ferroelectric memory. The decoder-memory array is patterned by inkjet and gravure printing on flexible plastics. Simulation models for the organic transistors are developed, enabling circuit designs tolerant of the variations in printed devices. We explain the key design rules in fabrication of complex printed circuits and elucidate the performance requirements of materials and devices for reliable organic digital logic. PMID:22900143

  3. Non-exponential resistive switching in Ag2S memristors: a key to nanometer-scale non-volatile memory devices.

    Science.gov (United States)

    Gubicza, Agnes; Csontos, Miklós; Halbritter, András; Mihály, György

    2015-03-14

    The dynamics of resistive switchings in nanometer-scale metallic junctions formed between an inert metallic tip and an Ag film covered by a thin Ag2S layer are investigated. Our thorough experimental analysis and numerical simulations revealed that the resistance change upon a switching bias voltage pulse exhibits a strongly non-exponential behaviour yielding markedly different response times at different bias levels. Our results demonstrate the merits of Ag2S nanojunctions as nanometer-scale non-volatile memory cells with stable switching ratios, high endurance as well as fast response to write/erase, and an outstanding stability against read operations at technologically optimal bias and current levels.

  4. Low-temperature process steps for realization of non-volatile memory devices

    NARCIS (Netherlands)

    Brunets, I.; Boogaard, A.; Aarnink, Antonius A.I.; Kovalgin, Alexeij Y.; Wolters, Robertus A.M.; Holleman, J.; Schmitz, Jurriaan

    2007-01-01

    In this work, the low-temperature process steps required for the realization of nano-crystal non-volatile memory cells are discussed. An amorphous silicon film, crystallized using a diode pumped solid state green laser irradiating at 532 nm, is proposed as an active layer. The deposition of the

  5. Controlled data storage for non-volatile memory cells embedded in nano magnetic logic

    Science.gov (United States)

    Riente, Fabrizio; Ziemys, Grazvydas; Mattersdorfer, Clemens; Boche, Silke; Turvani, Giovanna; Raberg, Wolfgang; Luber, Sebastian; Breitkreutz-v. Gamm, Stephan

    2017-05-01

    Among the beyond-CMOS technologies, perpendicular Nano Magnetic Logic (pNML) is a promising candidate due to its low power consumption, its non-volatility and its monolithic 3D integrability, which makes it possible to integrate memory and logic into the same device by exploiting the interaction of bi-stable nanomagnets with perpendicular magnetic anisotropy. Logic computation and signal synchronization are achieved by focus ion beam irradiation and by pinning domain walls in magnetic notches. However, in realistic circuits, the information storage and their read-out are crucial issues, often ignored in the exploration of beyond-CMOS devices. In this paper we address these issues by experimentally demonstrating a pNML memory element, whose read and write operations can be controlled by two independent pulsed currents. Our results prove the correct behavior of the proposed structure that enables high density memory embedded in the logic plane of 3D-integrated pNML circuits.

  6. Controlled data storage for non-volatile memory cells embedded in nano magnetic logic

    Directory of Open Access Journals (Sweden)

    Fabrizio Riente

    2017-05-01

    Full Text Available Among the beyond-CMOS technologies, perpendicular Nano Magnetic Logic (pNML is a promising candidate due to its low power consumption, its non-volatility and its monolithic 3D integrability, which makes it possible to integrate memory and logic into the same device by exploiting the interaction of bi-stable nanomagnets with perpendicular magnetic anisotropy. Logic computation and signal synchronization are achieved by focus ion beam irradiation and by pinning domain walls in magnetic notches. However, in realistic circuits, the information storage and their read-out are crucial issues, often ignored in the exploration of beyond-CMOS devices. In this paper we address these issues by experimentally demonstrating a pNML memory element, whose read and write operations can be controlled by two independent pulsed currents. Our results prove the correct behavior of the proposed structure that enables high density memory embedded in the logic plane of 3D-integrated pNML circuits.

  7. Models for Total-Dose Radiation Effects in Non-Volatile Memory

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, Philip Montgomery; Wix, Steven D.

    2017-04-01

    The objective of this work is to develop models to predict radiation effects in non- volatile memory: flash memory and ferroelectric RAM. In flash memory experiments have found that the internal high-voltage generators (charge pumps) are the most sensitive to radiation damage. Models are presented for radiation effects in charge pumps that demonstrate the experimental results. Floating gate models are developed for the memory cell in two types of flash memory devices by Intel and Samsung. These models utilize Fowler-Nordheim tunneling and hot electron injection to charge and erase the floating gate. Erase times are calculated from the models and compared with experimental results for different radiation doses. FRAM is less sensitive to radiation than flash memory, but measurements show that above 100 Krad FRAM suffers from a large increase in leakage current. A model for this effect is developed which compares closely with the measurements.

  8. A hybrid magnetic/complementary metal oxide semiconductor three-context memory bit cell for non-volatile circuit design

    International Nuclear Information System (INIS)

    Jovanović, B.; Brum, R. M.; Torres, L.

    2014-01-01

    After decades of continued scaling to the beat of Moore's law, it now appears that conventional silicon based devices are approaching their physical limits. In today's deep-submicron nodes, a number of short-channel and quantum effects are emerging that affect the manufacturing process, as well as, the functionality of the microelectronic systems-on-chip. Spintronics devices that exploit both the intrinsic spin of the electron and its associated magnetic moment, in addition to its fundamental electronic charge, are promising solutions to circumvent these scaling threats. Being compatible with the CMOS technology, such devices offer a promising synergy of radiation immunity, infinite endurance, non-volatility, increased density, etc. In this paper, we present a hybrid (magnetic/CMOS) cell that is able to store and process data both electrically and magnetically. The cell is based on perpendicular spin-transfer torque magnetic tunnel junctions (STT-MTJs) and is suitable for use in magnetic random access memories and reprogrammable computing (non-volatile registers, processor cache memories, magnetic field-programmable gate arrays, etc). To demonstrate the potential our hybrid cell, we physically implemented a small hybrid memory block using 45 nm × 45 nm round MTJs for the magnetic part and 28 nm fully depleted silicon on insulator (FD-SOI) technology for the CMOS part. We also report the cells measured performances in terms of area, robustness, read/write speed and energy consumption

  9. A hybrid magnetic/complementary metal oxide semiconductor three-context memory bit cell for non-volatile circuit design

    Energy Technology Data Exchange (ETDEWEB)

    Jovanović, B., E-mail: bojan.jovanovic@lirmm.fr, E-mail: lionel.torres@lirmm.fr; Brum, R. M.; Torres, L. [LIRMM—University of Montpellier 2/UMR CNRS 5506, 161 Rue Ada, 34095 Montpellier (France)

    2014-04-07

    After decades of continued scaling to the beat of Moore's law, it now appears that conventional silicon based devices are approaching their physical limits. In today's deep-submicron nodes, a number of short-channel and quantum effects are emerging that affect the manufacturing process, as well as, the functionality of the microelectronic systems-on-chip. Spintronics devices that exploit both the intrinsic spin of the electron and its associated magnetic moment, in addition to its fundamental electronic charge, are promising solutions to circumvent these scaling threats. Being compatible with the CMOS technology, such devices offer a promising synergy of radiation immunity, infinite endurance, non-volatility, increased density, etc. In this paper, we present a hybrid (magnetic/CMOS) cell that is able to store and process data both electrically and magnetically. The cell is based on perpendicular spin-transfer torque magnetic tunnel junctions (STT-MTJs) and is suitable for use in magnetic random access memories and reprogrammable computing (non-volatile registers, processor cache memories, magnetic field-programmable gate arrays, etc). To demonstrate the potential our hybrid cell, we physically implemented a small hybrid memory block using 45 nm × 45 nm round MTJs for the magnetic part and 28 nm fully depleted silicon on insulator (FD-SOI) technology for the CMOS part. We also report the cells measured performances in terms of area, robustness, read/write speed and energy consumption.

  10. Microwave oven fabricated hybrid memristor devices for non-volatile memory storage

    International Nuclear Information System (INIS)

    Verrelli, E; Gray, R J; O’Neill, M; Kemp, N T; Kelly, S M

    2014-01-01

    Novel hybrid non-volatile memories made using an ultra-fast microwave heating method are reported for the first time. The devices, consisting of aligned ZnO nanorods embedded in poly (methyl methacrylate), require no forming step and exhibit reliable and reproducible bipolar resistive switching at low voltages and with low power usage. We attribute these properties to a combination of the high aspect ratio of the nanorods and the polymeric hybrid structure of the device. The extremely easy, fast and low-cost solution based method of fabrication makes possible the simple and quick production of cheap memory cells. (paper)

  11. Different importance of the volatile and non-volatile fractions of an olfactory signature for individual social recognition in rats versus mice and short-term versus long-term memory.

    Science.gov (United States)

    Noack, Julia; Richter, Karin; Laube, Gregor; Haghgoo, Hojjat Allah; Veh, Rüdiger W; Engelmann, Mario

    2010-11-01

    When tested in the olfactory cued social recognition/discrimination test, rats and mice differ in their retention of a recognition memory for a previously encountered conspecific juvenile: Rats are able to recognize a given juvenile for approximately 45 min only whereas mice show not only short-term, but also long-term recognition memory (≥ 24 h). Here we modified the social recognition/social discrimination procedure to investigate the neurobiological mechanism(s) underlying the species differences. We presented a conspecific juvenile repeatedly to the experimental subjects and monitored the investigation duration as a measure for recognition. Presentation of only the volatile fraction of the juvenile olfactory signature was sufficient for both short- and long-term recognition in mice but not rats. Applying additional volatile, mono-molecular odours to the "to be recognized" juveniles failed to affect short-term memory in both species, but interfered with long-term recognition in mice. Finally immunocytochemical analysis of c-Fos as a marker for cellular activation, revealed that juvenile exposure stimulated areas involved in the processing of olfactory signals in both the main and the accessory olfactory bulb in mice. In rats, we measured an increased c-Fos synthesis almost exclusively in cells of the accessory olfactory bulb. Our data suggest that the species difference in the retention of social recognition memory is based on differences in the processing of the volatile versus non-volatile fraction of the individuals' olfactory signature. The non-volatile fraction is sufficient for retaining a short-term social memory only. Long-term social memory - as observed in mice - requires a processing of both the volatile and non-volatile fractions of the olfactory signature. Copyright © 2010 Elsevier Inc. All rights reserved.

  12. Non-volatile memory devices with redox-active diruthenium molecular compound

    International Nuclear Information System (INIS)

    Pookpanratana, S; Zhu, H; Bittle, E G; Richter, C A; Li, Q; Hacker, C A; Natoli, S N; Ren, T

    2016-01-01

    Reduction-oxidation (redox) active molecules hold potential for memory devices due to their many unique properties. We report the use of a novel diruthenium-based redox molecule incorporated into a non-volatile Flash-based memory device architecture. The memory capacitor device structure consists of a Pd/Al 2 O 3 /molecule/SiO 2 /Si structure. The bulky ruthenium redox molecule is attached to the surface by using a ‘click’ reaction and the monolayer structure is characterized by x-ray photoelectron spectroscopy to verify the Ru attachment and molecular density. The ‘click’ reaction is particularly advantageous for memory applications because of (1) ease of chemical design and synthesis, and (2) provides an additional spatial barrier between the oxide/silicon to the diruthenium molecule. Ultraviolet photoelectron spectroscopy data identified the energy of the electronic levels of the surface before and after surface modification. The molecular memory devices display an unsaturated charge storage window attributed to the intrinsic properties of the redox-active molecule. Our findings demonstrate the strengths and challenges with integrating molecular layers within solid-state devices, which will influence the future design of molecular memory devices. (paper)

  13. A direct metal transfer method for cross-bar type polymer non-volatile memory applications

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Lee, Kyeongmi; Oh, Seung-Hwan; Wang, Gunuk; Kim, Dong-Yu; Jung, Gun-Young; Lee, Takhee

    2008-01-01

    Polymer non-volatile memory devices in 8 x 8 array cross-bar architecture were fabricated by a non-aqueous direct metal transfer (DMT) method using a two-step thermal treatment. Top electrodes with a linewidth of 2 μm were transferred onto the polymer layer by the DMT method. The switching behaviour of memory devices fabricated by the DMT method was very similar to that of devices fabricated by the conventional shadow mask method. The devices fabricated using the DMT method showed three orders of magnitude of on/off ratio with stable resistance switching, demonstrating that the DMT method can be a simple process to fabricate organic memory array devices

  14. A Survey of Soft-Error Mitigation Techniques for Non-Volatile Memories

    Directory of Open Access Journals (Sweden)

    Sparsh Mittal

    2017-02-01

    Full Text Available Non-volatile memories (NVMs offer superior density and energy characteristics compared to the conventional memories; however, NVMs suffer from severe reliability issues that can easily eclipse their energy efficiency advantages. In this paper, we survey architectural techniques for improving the soft-error reliability of NVMs, specifically PCM (phase change memory and STT-RAM (spin transfer torque RAM. We focus on soft-errors, such as resistance drift and write disturbance, in PCM and read disturbance and write failures in STT-RAM. By classifying the research works based on key parameters, we highlight their similarities and distinctions. We hope that this survey will underline the crucial importance of addressing NVM reliability for ensuring their system integration and will be useful for researchers, computer architects and processor designers.

  15. Large scale integration of flexible non-volatile, re-addressable memories using P(VDF-TrFE) and amorphous oxide transistors

    International Nuclear Information System (INIS)

    Gelinck, Gerwin H; Cobb, Brian; Van Breemen, Albert J J M; Myny, Kris

    2015-01-01

    Ferroelectric polymers and amorphous metal oxide semiconductors have emerged as important materials for re-programmable non-volatile memories and high-performance, flexible thin-film transistors, respectively. However, realizing sophisticated transistor memory arrays has proven to be a challenge, and demonstrating reliable writing to and reading from such a large scale memory has thus far not been demonstrated. Here, we report an integration of ferroelectric, P(VDF-TrFE), transistor memory arrays with thin-film circuitry that can address each individual memory element in that array. n-type indium gallium zinc oxide is used as the active channel material in both the memory and logic thin-film transistors. The maximum process temperature is 200 °C, allowing plastic films to be used as substrate material. The technology was scaled up to 150 mm wafer size, and offers good reproducibility, high device yield and low device variation. This forms the basis for successful demonstration of memory arrays, read and write circuitry, and the integration of these. (paper)

  16. A graphene-based non-volatile memory

    Science.gov (United States)

    Loisel, Loïc.; Maurice, Ange; Lebental, Bérengère; Vezzoli, Stefano; Cojocaru, Costel-Sorin; Tay, Beng Kang

    2015-09-01

    We report on the development and characterization of a simple two-terminal non-volatile graphene switch. After an initial electroforming step during which Joule heating leads to the formation of a nano-gap impeding the current flow, the devices can be switched reversibly between two well-separated resistance states. To do so, either voltage sweeps or pulses can be used, with the condition that VSET achieve reversible switching on more than 100 cycles with resistance ratio values of 104. This approach of graphene memory is competitive as compared to other graphene approaches such as redox of graphene oxide, or electro-mechanical switches with suspended graphene. We suggest a switching model based on a planar electro-mechanical switch, whereby electrostatic, elastic and friction forces are competing to switch devices ON and OFF, and the stability in the ON state is achieved by the formation of covalent bonds between the two stretched sides of the graphene, hence bridging the nano-gap. Developing a planar electro-mechanical switch enables to obtain the advantages of electro-mechanical switches while avoiding most of their drawbacks.

  17. Phase change materials in non-volatile storage

    OpenAIRE

    Ielmini, Daniele; Lacaita, Andrea L.

    2011-01-01

    After revolutionizing the technology of optical data storage, phase change materials are being adopted in non-volatile semiconductor memories. Their success in electronic storage is mostly due to the unique properties of the amorphous state where carrier transport phenomena and thermally-induced phase change cooperate to enable high-speed, low-voltage operation and stable data retention possible within the same material. This paper reviews the key physical properties that make this phase so s...

  18. Investigation of High-k Dielectrics and Metal Gate Electrodes for Non-volatile Memory Applications

    Science.gov (United States)

    Jayanti, Srikant

    Due to the increasing demand of non-volatile flash memories in the portable electronics, the device structures need to be scaled down drastically. However, the scalability of traditional floating gate structures beyond 20 nm NAND flash technology node is uncertain. In this regard, the use of metal gates and high-k dielectrics as the gate and interpoly dielectrics respectively, seem to be promising substitutes in order to continue the flash scaling beyond 20nm. Furthermore, research of novel memory structures to overcome the scaling challenges need to be explored. Through this work, the use of high-k dielectrics as IPDs in a memory structure has been studied. For this purpose, IPD process optimization and barrier engineering were explored to determine and improve the memory performance. Specifically, the concept of high-k / low-k barrier engineering was studied in corroboration with simulations. In addition, a novel memory structure comprising a continuous metal floating gate was investigated in combination with high-k blocking oxides. Integration of thin metal FGs and high-k dielectrics into a dual floating gate memory structure to result in both volatile and non-volatile modes of operation has been demonstrated, for plausible application in future unified memory architectures. The electrical characterization was performed on simple MIS/MIM and memory capacitors, fabricated through CMOS compatible processes. Various analytical characterization techniques were done to gain more insight into the material behavior of the layers in the device structure. In the first part of this study, interfacial engineering was investigated by exploring La2O3 as SiO2 scavenging layer. Through the silicate formation, the consumption of low-k SiO2 was controlled and resulted in a significant improvement in dielectric leakage. The performance improvement was also gauged through memory capacitors. In the second part of the study, a novel memory structure consisting of continuous metal FG

  19. Spin-transfer torque magnetoresistive random-access memory technologies for normally off computing (invited)

    International Nuclear Information System (INIS)

    Ando, K.; Yuasa, S.; Fujita, S.; Ito, J.; Yoda, H.; Suzuki, Y.; Nakatani, Y.; Miyazaki, T.

    2014-01-01

    Most parts of present computer systems are made of volatile devices, and the power to supply them to avoid information loss causes huge energy losses. We can eliminate this meaningless energy loss by utilizing the non-volatile function of advanced spin-transfer torque magnetoresistive random-access memory (STT-MRAM) technology and create a new type of computer, i.e., normally off computers. Critical tasks to achieve normally off computers are implementations of STT-MRAM technologies in the main memory and low-level cache memories. STT-MRAM technology for applications to the main memory has been successfully developed by using perpendicular STT-MRAMs, and faster STT-MRAM technologies for applications to the cache memory are now being developed. The present status of STT-MRAMs and challenges that remain for normally off computers are discussed

  20. The origin of traps and the effect of nitrogen plasma in oxide-nitride-oxide structures for non-volatile memories

    International Nuclear Information System (INIS)

    Kim, W. S.; Kwak, D. W.; Oh, J. S.; Lee, D. W.; Cho, H. Y.

    2010-01-01

    Ultrathin oxide-nitride-oxide (ONO) dielectric stacked layers are fundamental structures of silicon-oxide-nitride-oxide-silicon (SONOS) non-volatile memory devices in which information is known to be stored as charges trapped in silicon nitride. Deep-level transient spectroscopy (DLTS) and a capacitance-voltage (CV) analysis were introduced to observe the trap behavior related to the memory effect in memory devices. The DLTS results verified that the nitride-related traps were a dominant factor in the memory effect. The energy of hole traps was 0.307 eV above the balance band. To improve the memory effects of the non-volatile memory devices with ONO structures, we introduced a nitrogen plasma treatment. After the N-plasma treatment, the flat-band voltage shift (ΔV FB ) was increased by about 1.5 times. The program and the erase (P-E) characteristics were also shown to be better than those for the as-ONO structure. In addition, the retention characteristics were improved by over 2.4 times.

  1. Nonvolatile Memory Technology for Space Applications

    Science.gov (United States)

    Oldham, Timothy R.; Irom, Farokh; Friendlich, Mark; Nguyen, Duc; Kim, Hak; Berg, Melanie; LaBel, Kenneth A.

    2010-01-01

    This slide presentation reviews several forms of nonvolatile memory for use in space applications. The intent is to: (1) Determine inherent radiation tolerance and sensitivities, (2) Identify challenges for future radiation hardening efforts, (3) Investigate new failure modes and effects, and technology modeling programs. Testing includes total dose, single event (proton, laser, heavy ion), and proton damage (where appropriate). Test vehicles are expected to be a variety of non-volatile memory devices as available including Flash (NAND and NOR), Charge Trap, Nanocrystal Flash, Magnetic Memory (MRAM), Phase Change--Chalcogenide, (CRAM), Ferroelectric (FRAM), CNT, and Resistive RAM.

  2. Origami-based tunable truss structures for non-volatile mechanical memory operation.

    Science.gov (United States)

    Yasuda, Hiromi; Tachi, Tomohiro; Lee, Mia; Yang, Jinkyu

    2017-10-17

    Origami has recently received significant interest from the scientific community as a method for designing building blocks to construct metamaterials. However, the primary focus has been placed on their kinematic applications by leveraging the compactness and auxeticity of planar origami platforms. Here, we present volumetric origami cells-specifically triangulated cylindrical origami (TCO)-with tunable stability and stiffness, and demonstrate their feasibility as non-volatile mechanical memory storage devices. We show that a pair of TCO cells can develop a double-well potential to store bit information. What makes this origami-based approach more appealing is the realization of two-bit mechanical memory, in which two pairs of TCO cells are interconnected and one pair acts as a control for the other pair. By assembling TCO-based truss structures, we experimentally verify the tunable nature of the TCO units and demonstrate the operation of purely mechanical one- and two-bit memory storage prototypes.Origami is a popular method to design building blocks for mechanical metamaterials. Here, the authors assemble a volumetric origami-based structure, predict its axial and rotational movements during folding, and demonstrate the operation of mechanical one- and two-bit memory storage.

  3. Resistive switching characteristics of polymer non-volatile memory devices in a scalable via-hole structure

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Choi, Hyejung; Oh, Seung-Hwan; Jo, Minseok; Wang, Gunuk; Cho, Byungjin; Kim, Dong-Yu; Hwang, Hyunsang; Lee, Takhee

    2009-01-01

    The resistive switching characteristics of polyfluorene-derivative polymer material in a sub-micron scale via-hole device structure were investigated. The scalable via-hole sub-microstructure was fabricated using an e-beam lithographic technique. The polymer non-volatile memory devices varied in size from 40 x 40 μm 2 to 200 x 200 nm 2 . From the scaling of junction size, the memory mechanism can be attributed to the space-charge-limited current with filamentary conduction. Sub-micron scale polymer memory devices showed excellent resistive switching behaviours such as a large ON/OFF ratio (I ON /I OFF ∼10 4 ), excellent device-to-device switching uniformity, good sweep endurance, and good retention times (more than 10 000 s). The successful operation of sub-micron scale memory devices of our polyfluorene-derivative polymer shows promise to fabricate high-density polymer memory devices.

  4. Multi-floor cascading ferroelectric nanostructures: multiple data writing-based multi-level non-volatile memory devices

    Science.gov (United States)

    Hyun, Seung; Kwon, Owoong; Lee, Bom-Yi; Seol, Daehee; Park, Beomjin; Lee, Jae Yong; Lee, Ju Hyun; Kim, Yunseok; Kim, Jin Kon

    2016-01-01

    Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process.Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr07377d

  5. Computing with volatile memristors: an application of non-pinched hysteresis

    Science.gov (United States)

    Pershin, Y. V.; Shevchenko, S. N.

    2017-02-01

    The possibility of in-memory computing with volatile memristive devices, namely, memristors requiring a power source to sustain their memory, is demonstrated theoretically. We have adopted a hysteretic graphene-based field emission structure as a prototype of a volatile memristor, which is characterized by a non-pinched hysteresis loop. A memristive model of the structure is developed and used to simulate a polymorphic circuit implementing stateful logic gates, such as the material implication. Specific regions of parameter space realizing useful logic functions are identified. Our results are applicable to other realizations of volatile memory devices, such as certain NEMS switches.

  6. Quasi-unipolar pentacene films embedded with fullerene for non-volatile organic transistor memories

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Juhee; Lee, Sungpyo; Lee, Moo Hyung; Kang, Moon Sung, E-mail: mskang@ssu.ac.kr [Department of Chemical Engineering, Soongsil University, Seoul 156-743 (Korea, Republic of)

    2015-02-09

    Quasi-unipolar non-volatile organic transistor memory (NOTM) can combine the best characteristics of conventional unipolar and ambipolar NOTMs and, as a result, exhibit improved device performance. Unipolar NOTMs typically exhibit a large signal ratio between the programmed and erased current signals but also require a large voltage to program and erase the memory cells. Meanwhile, an ambipolar NOTM can be programmed and erased at lower voltages, but the resulting signal ratio is small. By embedding a discontinuous n-type fullerene layer within a p-type pentacene film, quasi-unipolar NOTMs are fabricated, of which the signal storage utilizes both electrons and holes while the electrical signal relies on only hole conduction. These devices exhibit superior memory performance relative to both pristine unipolar pentacene devices and ambipolar fullerene/pentacene bilayer devices. The quasi-unipolar NOTM exhibited a larger signal ratio between the programmed and erased states while also reducing the voltage required to program and erase a memory cell. This simple approach should be readily applicable for various combinations of advanced organic semiconductors that have been recently developed and thereby should make a significant impact on organic memory research.

  7. Emerging Non-volatile Memory Technologies Exploration Flow for Processor Architecture

    OpenAIRE

    senni , sophiane; Torres , Lionel; Sassatelli , Gilles; Gamatié , Abdoulaye; Mussard , Bruno

    2015-01-01

    International audience; Most die area of today's systems-on-chips is occupied by memories. Hence, a significant proportion of total power is spent on memory systems. Moreover, since processing elements have to be fed with instructions and data from memories, memory plays a key role for system's performance. As a result, memories are a critical part of future embedded systems. Continuing CMOS scaling leads to manufacturing constraints and power consumption issues for the current three main mem...

  8. Bias-reduced estimation of long memory stochastic volatility

    DEFF Research Database (Denmark)

    Frederiksen, Per; Nielsen, Morten Ørregaard

    We propose to use a variant of the local polynomial Whittle estimator to estimate the memory parameter in volatility for long memory stochastic volatility models with potential nonstation- arity in the volatility process. We show that the estimator is asymptotically normal and capable of obtaining...

  9. Two-dimensional non-volatile programmable p-n junctions

    Science.gov (United States)

    Li, Dong; Chen, Mingyuan; Sun, Zhengzong; Yu, Peng; Liu, Zheng; Ajayan, Pulickel M.; Zhang, Zengxing

    2017-09-01

    Semiconductor p-n junctions are the elementary building blocks of most electronic and optoelectronic devices. The need for their miniaturization has fuelled the rapid growth of interest in two-dimensional (2D) materials. However, the performance of a p-n junction considerably degrades as its thickness approaches a few nanometres and traditional technologies, such as doping and implantation, become invalid at the nanoscale. Here we report stable non-volatile programmable p-n junctions fabricated from the vertically stacked all-2D semiconductor/insulator/metal layers (WSe2/hexagonal boron nitride/graphene) in a semifloating gate field-effect transistor configuration. The junction exhibits a good rectifying behaviour with a rectification ratio of 104 and photovoltaic properties with a power conversion efficiency up to 4.1% under a 6.8 nW light. Based on the non-volatile programmable properties controlled by gate voltages, the 2D p-n junctions have been exploited for various electronic and optoelectronic applications, such as memories, photovoltaics, logic rectifiers and logic optoelectronic circuits.

  10. Long-term memory and volatility clustering in high-frequency price changes

    Science.gov (United States)

    oh, Gabjin; Kim, Seunghwan; Eom, Cheoljun

    2008-02-01

    We studied the long-term memory in diverse stock market indices and foreign exchange rates using Detrended Fluctuation Analysis (DFA). For all high-frequency market data studied, no significant long-term memory property was detected in the return series, while a strong long-term memory property was found in the volatility time series. The possible causes of the long-term memory property were investigated using the return data filtered by the AR(1) model, reflecting the short-term memory property, the GARCH(1,1) model, reflecting the volatility clustering property, and the FIGARCH model, reflecting the long-term memory property of the volatility time series. The memory effect in the AR(1) filtered return and volatility time series remained unchanged, while the long-term memory property diminished significantly in the volatility series of the GARCH(1,1) filtered data. Notably, there is no long-term memory property, when we eliminate the long-term memory property of volatility by the FIGARCH model. For all data used, although the Hurst exponents of the volatility time series changed considerably over time, those of the time series with the volatility clustering effect removed diminish significantly. Our results imply that the long-term memory property of the volatility time series can be attributed to the volatility clustering observed in the financial time series.

  11. Novel applications of non-volatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Duthie, I

    1982-01-01

    The author reviews briefly the evolution of the programmable memory and the alternative technologies, before discussing the operation of a small EEPROM when used in conjunction with a microprocessor for typical applications. Some applications are reviewed and the opportunities which eeproms can offer for new applications are presented, together with the requirements for artificial intelligence to become a reality.

  12. Four-state non-volatile memory in a multiferroic spin filter tunnel junction

    Science.gov (United States)

    Ruan, Jieji; Li, Chen; Yuan, Zhoushen; Wang, Peng; Li, Aidong; Wu, Di

    2016-12-01

    We report a spin filter type multiferroic tunnel junction with a ferromagnetic/ferroelectric bilayer barrier. Memory functions of a spin filter magnetic tunnel junction and a ferroelectric tunnel junction are combined in this single device, producing four non-volatile resistive states that can be read out in a non-destructive manner. This concept is demonstrated in a LaNiO3/Pr0.8Ca0.2MnO3/BaTiO3/La0.7Sr0.3MnO3 all-oxide tunnel junction. The ferromagnetic insulator Pr0.8Ca0.2MnO3 serves as the spin filter and the ferromagnetic metal La0.7Sr0.3MnO3 is the spin analyzer. The ferroelectric polarization reversal in the BaTiO3 barrier switches the tunneling barrier height to produce a tunneling electroresistance. The ferroelectric switching also modulates the spin polarization and the spin filtering efficiency in Pr0.8Ca0.2MnO3.

  13. Electrical and ferroelectric properties of RF sputtered PZT/SBN on silicon for non-volatile memory applications

    Science.gov (United States)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    We report the integration of multilayer ferroelectric film deposited by RF magnetron sputtering and explore the electrical characteristics for its application as the gate of ferroelectric field effect transistor for non-volatile memories. PZT (Pb[Zr0.35Ti0.65]O3) and SBN (SrBi2Nb2O9) ferroelectric materials were selected for the stack fabrication due to their large polarization and fatigue free properties respectively. Electrical characterization has been carried out to obtain memory window, leakage current density, PUND and endurance characteristics. Fabricated multilayer ferroelectric film capacitor structure shows large memory window of 17.73 V and leakage current density of the order 10-6 A cm-2 for the voltage sweep of -30 to +30 V. This multilayer gate stack of PZT/SBN shows promising endurance property with no degradation in the remnant polarization for the read/write iteration cycles upto 108.

  14. Consequences for option pricing of a long memory in volatility

    OpenAIRE

    Taylor, S J

    2001-01-01

    The economic consequences of a long memory assumption about volatility are documented, by comparing implied volatilities for option prices obtained from short and long memory volatility processes. Numerical results are given for options on the S&P 100 index from 1984 to 1998, with lives up to two years. The long memory assumption is found to have a significant impact upon the term structure of implied volatilities and a relatively minor impact upon smile effects. These conclusions are importa...

  15. Long Memory in Stock Market Volatility and the Volatility-in-Mean Effect: The FIEGARCH-M Model

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; Nielsen, Morten Ørregaard; Zhu, Jie

    We extend the fractionally integrated exponential GARCH (FIEGARCH) model for daily stock return data with long memory in return volatility of Bollerslev and Mikkelsen (1996) by introducing a possible volatility-in-mean effect. To avoid that the long memory property of volatility carries over to r...

  16. Phase change memory

    CERN Document Server

    Qureshi, Moinuddin K

    2011-01-01

    As conventional memory technologies such as DRAM and Flash run into scaling challenges, architects and system designers are forced to look at alternative technologies for building future computer systems. This synthesis lecture begins by listing the requirements for a next generation memory technology and briefly surveys the landscape of novel non-volatile memories. Among these, Phase Change Memory (PCM) is emerging as a leading contender, and the authors discuss the material, device, and circuit advances underlying this exciting technology. The lecture then describes architectural solutions t

  17. Electric field mediated non-volatile tuning magnetism in CoPt/PMN-PT heterostructure for magnetoelectric memory devices

    Science.gov (United States)

    Yang, Y. T.; Li, J.; Peng, X. L.; Wang, X. Q.; Wang, D. H.; Cao, Q. Q.; Du, Y. W.

    2016-02-01

    We report a power efficient non-volatile magnetoelectric memory in the CoPt/(011)PMN-PT heterostructure. Two reversible and stable electric field induced coercivity states (i.e., high-HC or low-HC) are obtained due to the strain mediated converse magnetoelectric effect. The reading process of the different coercive field information written by electric fields is demonstrated by using a magnetoresistance read head. This result shows good prospects in the application of novel multiferroic devices.

  18. NVL-C: Static Analysis Techniques for Efficient, Correct Programming of Non-Volatile Main Memory Systems

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seyong [ORNL; Vetter, Jeffrey S [ORNL

    2016-01-01

    Computer architecture experts expect that non-volatile memory (NVM) hierarchies will play a more significant role in future systems including mobile, enterprise, and HPC architectures. With this expectation in mind, we present NVL-C: a novel programming system that facilitates the efficient and correct programming of NVM main memory systems. The NVL-C programming abstraction extends C with a small set of intuitive language features that target NVM main memory, and can be combined directly with traditional C memory model features for DRAM. We have designed these new features to enable compiler analyses and run-time checks that can improve performance and guard against a number of subtle programming errors, which, when left uncorrected, can corrupt NVM-stored data. Moreover, to enable recovery of data across application or system failures, these NVL-C features include a flexible directive for specifying NVM transactions. So that our implementation might be extended to other compiler front ends and languages, the majority of our compiler analyses are implemented in an extended version of LLVM's intermediate representation (LLVM IR). We evaluate NVL-C on a number of applications to show its flexibility, performance, and correctness.

  19. Feasibility and limitations of anti-fuses based on bistable non-volatile switches for power electronic applications

    Science.gov (United States)

    Erlbacher, T.; Huerner, A.; Bauer, A. J.; Frey, L.

    2012-09-01

    Anti-fuse devices based on non-volatile memory cells and suitable for power electronic applications are demonstrated for the first time using silicon technology. These devices may be applied as stand alone devices or integrated using standard junction-isolation into application-specific and smart-power integrated circuits. The on-resistance of such devices can be permanently switched by nine orders of magnitude by triggering the anti-fuse with a positive voltage pulse. Extrapolation of measurement data and 2D TCAD process and device simulations indicate that 20 A anti-fuses with 10 mΩ can be reliably fabricated in 0.35 μm technology with a footprint of 2.5 mm2. Moreover, this concept offers distinguished added-values compared to existing mechanical relays, e.g. pre-test, temporary and permanent reset functions, gradual turn-on mode, non-volatility, and extendibility to high voltage capability.

  20. High performance non-volatile ferroelectric copolymer memory based on a ZnO nanowire transistor fabricated on a transparent substrate

    International Nuclear Information System (INIS)

    Nedic, Stanko; Welland, Mark; Tea Chun, Young; Chu, Daping; Hong, Woong-Ki

    2014-01-01

    A high performance ferroelectric non-volatile memory device based on a top-gate ZnO nanowire (NW) transistor fabricated on a glass substrate is demonstrated. The ZnO NW channel was spin-coated with a poly (vinylidenefluoride-co-trifluoroethylene) (P(VDF-TrFE)) layer acting as a top-gate dielectric without buffer layer. Electrical conductance modulation and memory hysteresis are achieved by a gate electric field induced reversible electrical polarization switching of the P(VDF-TrFE) thin film. Furthermore, the fabricated device exhibits a memory window of ∼16.5 V, a high drain current on/off ratio of ∼10 5 , a gate leakage current below ∼300 pA, and excellent retention characteristics for over 10 4 s

  1. Unipolar resistive switching in metal oxide/organic semiconductor non-volatile memories as a critical phenomenon

    International Nuclear Information System (INIS)

    Bory, Benjamin F.; Meskers, Stefan C. J.; Rocha, Paulo R. F.; Gomes, Henrique L.; Leeuw, Dago M. de

    2015-01-01

    Diodes incorporating a bilayer of an organic semiconductor and a wide bandgap metal oxide can show unipolar, non-volatile memory behavior after electroforming. The prolonged bias voltage stress induces defects in the metal oxide with an areal density exceeding 10 17  m −2 . We explain the electrical bistability by the coexistence of two thermodynamically stable phases at the interface between an organic semiconductor and metal oxide. One phase contains mainly ionized defects and has a low work function, while the other phase has mainly neutral defects and a high work function. In the diodes, domains of the phase with a low work function constitute current filaments. The phase composition and critical temperature are derived from a 2D Ising model as a function of chemical potential. The model predicts filamentary conduction exhibiting a negative differential resistance and nonvolatile memory behavior. The model is expected to be generally applicable to any bilayer system that shows unipolar resistive switching

  2. Organic ferroelectric opto-electronic memories

    NARCIS (Netherlands)

    Asadi, K.; Li, M.; Blom, P.W.M.; Kemerink, M.; Leeuw, D.M. de

    2011-01-01

    Memory is a prerequisite for many electronic devices. Organic non-volatile memory devices based on ferroelectricity are a promising approach towards the development of a low-cost memory technology based on a simple cross-bar array. In this review article we discuss the latest developments in this

  3. Non-volatile resistive switching in the Mott insulator (V1-xCrx)2O3

    Science.gov (United States)

    Querré, M.; Tranchant, J.; Corraze, B.; Cordier, S.; Bouquet, V.; Députier, S.; Guilloux-Viry, M.; Besland, M.-P.; Janod, E.; Cario, L.

    2018-05-01

    The discovery of non-volatile resistive switching in Mott insulators related to an electric-field-induced insulator to metal transition (IMT) has paved the way for their use in a new type of non-volatile memories, the Mott memories. While most of the previous studies were dedicated to uncover the resistive switching mechanism and explore the memory potential of chalcogenide Mott insulators, we present here a comprehensive study of resistive switching in the canonical oxide Mott insulator (V1-xCrx)2O3. Our work demonstrates that this compound undergoes a non-volatile resistive switching under electric field. This resistive switching is induced by a Mott transition at the local scale which creates metallic domains closely related to existing phases of the temperature-pressure phase diagram of (V1-xCrx)2O3. Our work demonstrates also reversible resistive switching in (V1-xCrx)2O3 crystals and thin film devices. Preliminary performances obtained on 880 nm thick layers with 500 nm electrodes show the strong potential of Mott memories based on the Mott insulator (V1-xCrx)2O3.

  4. Non-volatile MOS RAM cell with capacitor-isolated nodes that are radiation accessible for rendering a non-permanent programmed information in the cell of a non-volatile one

    NARCIS (Netherlands)

    Widdershoven, Franciscus P.; Annema, Anne J.; Storms, Maurits M.N.; Pelgrom, Marcellinus J.M.; Pelgrom, Marcel J M

    2001-01-01

    A non-volatile, random access memory cell comprises first and second inverters each having an output node cross-coupled by cross-coupling means to an input node of the other inverter for forming a MOS RAM cell. The output node of each inverter is selectively connected via the conductor paths of

  5. Long Memory in STOCK Market Volatility: the International Evidence

    Science.gov (United States)

    Yang, Chunxia; Hu, Sen; Xia, Bingying; Wang, Rui

    2012-08-01

    It is still a hot topic to catch the auto-dependence behavior of volatility. Here, based on the measurement of average volatility, under different observation window size, we investigated the dependence of successive volatility of several main stock indices and their simulated GARCH(1, 1) model, there were obvious linear auto-dependence in the logarithm of volatility under a small observation window size and nonlinear auto-dependence under a big observation. After calculating the correlation and mutual information of the logarithm of volatility for Dow Jones Industrial Average during different periods, we find that some influential events can change the correlation structure and the volatilities of different periods have distinct influence on that of the remote future. Besides, GARCH model could produce similar behavior of dependence as real data and long memory property. But our analyses show that the auto-dependence of volatility in GARCH is different from that in real data, and the long memory is undervalued by GARCH.

  6. Review of radiation effects on ReRAM devices and technology

    Science.gov (United States)

    Gonzalez-Velo, Yago; Barnaby, Hugh J.; Kozicki, Michael N.

    2017-08-01

    A review of the ionizing radiation effects on resistive random access memory (ReRAM) technology and devices is presented in this article. The review focuses on vertical devices exhibiting bipolar resistance switching, devices that have already exhibited interesting properties and characteristics for memory applications and, in particular, for non-volatile memory applications. Non-volatile memories are important devices for any type of electronic and embedded system, as they are for space applications. In such applications, specific environmental issues related to the existence of cosmic rays and Van Allen radiation belts around the Earth contribute to specific failure mechanisms related to the energy deposition induced by such ionizing radiation. Such effects are important in non-volatile memory as the current leading technology, i.e. flash-based technology, is sensitive to the total ionizing dose (TID) and single-event effects. New technologies such as ReRAM, if competing with or complementing the existing non-volatile area of memories from the point of view of performance, also have to exhibit great reliability for use in radiation environments such as space. This has driven research on the radiation effects of such ReRAM technology, on both the conductive-bridge RAM as well as the valence-change memories, or OxRAM variants of the technology. Initial characterizations of ReRAM technology showed a high degree of resilience to TID, developing researchers’ interest in characterizing such resilience as well as investigating the cause of such behavior. The state of the art of such research is reviewed in this article.

  7. Long memory and tail dependence in trading volume and volatility

    DEFF Research Database (Denmark)

    Rossi, Eduardo; Santucci de Magistris, Paolo

    2013-01-01

    We investigate the relationship between volatility, measured by realized volatility, and trading volume for 25 NYSE stocks. We show that volume and volatility are long memory but not fractionally cointegrated in most cases. We also find right tail dependence in the volatility and volume innovations...

  8. An overview of Experimental Condensed Matter Physics in Argentina by 2014, and Oxides for Non Volatile Memory Devices: The MeMOSat Project

    Science.gov (United States)

    Levy, Pablo

    2015-03-01

    In the first part of my talk, I will describe the status of the experimental research in Condensed Matter Physics in Argentina, biased towards developments related to micro and nanotechnology. In the second part, I will describe the MeMOSat Project, a consortium aimed at producing non-volatile memory devices to work in aggressive environments, like those found in the aerospace and nuclear industries. Our devices rely on the Resistive Switching mechanism, which produces a permanent but reversible change in the electrical resistance across a metal-insulator-metal structure by means of a pulsed protocol of electrical stimuli. Our project is devoted to the study of Memory Mechanisms in Oxides (MeMO) in order to establish a technological platform that tests the Resistive RAM (ReRAM) technology for aerospace applications. A review of MeMOSat's activities is presented, covering the initial Proof of Concept in ceramic millimeter sized samples; the study of different oxide-metal couples including (LaPr)2/3Ca1/3MnO, La2/3Ca1/3MnO3, YBa2Cu3O7, TiO2, HfO2, MgO and CuO; and recent miniaturized arrays of micrometer sized devices controlled by in-house designed electronics, which were launched with the BugSat01 satellite in June2014 by the argentinian company Satellogic.

  9. Piezoelectric control of magnetoelectric coupling driven non-volatile memory switching and self cooling effects in FE/FSMA multiferroic heterostructures

    Science.gov (United States)

    Singh, Kirandeep; Kaur, Davinder

    2017-02-01

    The manipulation of magnetic states and materials' spin degree-of-freedom via a control of an electric (E-) field has been recently pursued to develop magnetoelectric (ME) coupling-driven electronic data storage devices with high read/write endurance, fast dynamic response, and low energy dissipation. One major hurdle for this approach is to develop reliable materials which should be compatible with prevailing silicon (Si)-based complementary metal-oxide-semiconductor (CMOS) technology, simultaneously allowing small voltage for the tuning of magnetization switching. In this regard, multiferroic heterostructures where ferromagnetic (FM) and ferroelectric (FE) layers are alternatively grown on conventional Si substrates are promising as the piezoelectric control of magnetization switching is anticipated to be possible by an E-field. In this work, we study the ferromagnetic shape memory alloys based PbZr0.52Ti0.48O3/Ni50Mn35In15 (PZT/Ni-Mn-In) multiferroic heterostructures, and investigate their potential for CMOS compatible non-volatile magnetic data storage applications. We demonstrate the voltage-impulse controlled nonvolatile, reversible, and bistable magnetization switching at room temperature in Si-integrated PZT/Ni-Mn-In thin film multiferroic heterostructures. We also thoroughly unveil the various intriguing features in these materials, such as E-field tuned ME coupling and magnetocaloric effect, shape memory induced ferroelectric modulation, improved fatigue endurance as well as Refrigeration Capacity (RC). This comprehensive study suggests that these novel materials have a great potential for the development of unconventional nanoscale memory and refrigeration devices with self-cooling effect and enhanced refrigeration efficiency, thus providing a new venue for their applications.

  10. Testing for long memory in volatility in the Indian Forex market

    Directory of Open Access Journals (Sweden)

    Kumar Anoop S.

    2014-01-01

    Full Text Available This article attempts to verify the presence of long memory in volatility in the Indian foreign exchange market using daily bilateral returns of the Indian Rupee against the US dollar from 17/02/1994 to 08/11/2013. In the first part of the analysis the presence of long-term dependence is confirmed in the return series as well as in two measures of unconditional volatility (absolute returns and squared returns by employing three measures of long memory. Next, the presence of long memory in conditional volatility is tested using ARMA-FIGARCH and ARMA-FIAPARCH models under various distributional assumptions. The results confirm the presence of long memory in conditional variance for two models. In the last part, the presence of long memory in conditional mean and conditional variance is verified using ARFIMA-FIGARCH and ARFIMA-FIAPARCH models. It is also found that long-memory models fare well compared to short-memory models in sample forecast performance.

  11. BLACKCOMB2: Hardware-software co-design for non-volatile memory in exascale systems

    Energy Technology Data Exchange (ETDEWEB)

    Mudge, Trevor [Univ. of Michigan, Ann Arbor, MI (United States)

    2017-12-15

    This work was part of a larger project, Blackcomb2, centered at Oak Ridge National Labs (Jeff Vetter PI) to investigate the opportunities for replacing or supplementing DRAM main memory with nonvolatile memory (NVmemory) in Exascale memory systems. The goal was to reduce the energy consumed by in future supercomputer memory systems and to improve their resiliency. Building on the accomplishments of the original Blackcomb Project, funded in 2010, the goal for Blackcomb2 was to identify, evaluate, and optimize the most promising emerging memory technologies, architecture hardware and software technologies, which are essential to provide the necessary memory capacity, performance, resilience, and energy efficiency in Exascale systems. Capacity and energy are the key drivers.

  12. The Effect of Long Memory in Volatility on Stock Market Fluctuations

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; Nielsen, Morten Ørregaard

    2007-01-01

    Recent empirical evidence demonstrates the presence of an important long memory component in realized asset return volatility. We specify and estimate multivariate models for the joint dynamics of stock returns and volatility that allow for long memory in volatility without imposing this property...... on returns. Asset pricing theory imposes testable cross-equation restrictions on the system that are not rejected in our preferred specifications, which include a strong financial leverage effect. We show that the impact of volatility shocks on stock prices is small and short-lived, in spite of a positive...

  13. Efficient Management for Hybrid Memory in Managed Language Runtime

    OpenAIRE

    Wang , Chenxi; Cao , Ting; Zigman , John; Lv , Fang; Zhang , Yunquan; Feng , Xiaobing

    2016-01-01

    Part 1: Memory: Non-Volatile, Solid State Drives, Hybrid Systems; International audience; Hybrid memory, which leverages the benefits of traditional DRAM and emerging memory technologies, is a promising alternative for future main memory design. However popular management policies through memory-access recording and page migration may invoke non-trivial overhead in execution time and hardware space. Nowadays, managed language applications are increasingly dominant in every kind of platform. M...

  14. Non Volatile Flash Memory Radiation Tests

    Science.gov (United States)

    Irom, Farokh; Nguyen, Duc N.; Allen, Greg

    2012-01-01

    Commercial flash memory industry has experienced a fast growth in the recent years, because of their wide spread usage in cell phones, mp3 players and digital cameras. On the other hand, there has been increased interest in the use of high density commercial nonvolatile flash memories in space because of ever increasing data requirements and strict power requirements. Because of flash memories complex structure; they cannot be treated as just simple memories in regards to testing and analysis. It becomes quite challenging to determine how they will respond in radiation environments.

  15. Highly conducting leakage-free electrolyte for SrCoOx-based non-volatile memory device

    Science.gov (United States)

    Katase, Takayoshi; Suzuki, Yuki; Ohta, Hiromichi

    2017-10-01

    The electrochemical switching of SrCoOx-based non-volatile memory with a thin-film-transistor structure was examined by using liquid-leakage-free electrolytes with different conductivities (σ) as the gate insulator. We first examined leakage-free water, which is incorporated in the amorphous (a-) 12CaO.7Al2O3 film with a nanoporous structure (Calcium Aluminate with Nanopore), but the electrochemical oxidation/reduction of the SrCoOx layer required the application of a high gate voltage (Vg) up to 20 V for a very long current-flowing-time (t) ˜40 min, primarily due to the low σ [2.0 × 10-8 S cm-1 at room temperature (RT)] of leakage-free water. We then controlled the σ of the leakage-free electrolyte, infiltrated in the a-NaxTaO3 film with a nanopillar array structure, from 8.0 × 10-8 S cm-1 to 2.5 × 10-6 S cm-1 at RT by changing the x = 0.01-1.0. As the result, the t, required for the metallization of the SrCoOx layer under small Vg = -3 V, becomes two orders of magnitude shorter with increase of the σ of the a-NaxTaO3 leakage-free electrolyte. These results indicate that the ion migration in the leakage-free electrolyte is the rate-determining step for the electrochemical switching, compared to the other electrochemical process, and the high σ of the leakage-free electrolyte is the key factor for the development of the non-volatile SrCoOx-based electro-magnetic phase switching device.

  16. In search of the next memory inside the circuitry from the oldest to the emerging non-volatile memories

    CERN Document Server

    Campardo, Giovanni

    2017-01-01

    This book provides students and practicing chip designers with an easy-to-follow yet thorough, introductory treatment of the most promising emerging memories under development in the industry. Focusing on the chip designer rather than the end user, this book offers expanded, up-to-date coverage of emerging memories circuit design. After an introduction on the old solid-state memories and the fundamental limitations soon to be encountered, the working principle and main technology issues of each of the considered technologies (PCRAM, MRAM, FeRAM, ReRAM) are reviewed and a range of topics related to design is explored: the array organization, sensing and writing circuitry, programming algorithms and error correction techniques are reviewed comparing the approach followed and the constraints for each of the technologies considered. Finally the issue of radiation effects on memory devices has been briefly treated. Additionally some considerations are entertained about how emerging memories can find a place in the...

  17. Ultra-Low Power Memory Design in Scaled Technology Nodes

    DEFF Research Database (Denmark)

    Zeinali, Behzad

    that the proposed SRAM reduces access time and leakage current by 40% and 20%, respectively, compared to the standard 8T-SRAM cell without any degradation in read and write margins. The second solution is an asymmetric Schottky barrier device, which can mitigate the read–write conflict of the 6T-SRAM cell in scaled...... technology nodes i.e. sub-50 nm. The 6T-SRAM designed based on the proposed device shows 18% leakage reduction and 54%, 6.6% and 3.1X improvement in read margin, write margin and write time, respectively, compared to the conventional 6T-SRAM cell. To address the standby power issue of SRAMs in scaled...... technology nodes, this thesis also investigates emerging non-volatile spintronics memories. In this respect, STT-MRAMs and SOT-MRAMs are studied and their design challenges are explored. To improve the read performance of STT-MRAMs, a novel non-destructive self-reference sensing scheme is proposed enabling...

  18. Observing the amorphous-to-crystalline phase transition in Ge{sub 2}Sb{sub 2}Te{sub 5} non-volatile memory materials from ab initio molecular-dynamics simulations

    Energy Technology Data Exchange (ETDEWEB)

    Lee, T.H.; Elliott, S.R. [Department of Chemistry, University of Cambridge, Lensfield Road, CB2 1EW Cambridge (United Kingdom)

    2012-10-15

    Phase-change memory is a promising candidate for the next generation of non-volatile memory devices. This technology utilizes reversible phase transitions between amorphous and crystalline phases of a recording material, and has been successfully used in rewritable optical data storage, revealing its feasibility. In spite of the importance of understanding the nucleation and growth processes that play a critical role in the phase transition, this understanding is still incomplete. Here, we present observations of the early stages of crystallization in Ge{sub 2}Sb{sub 2}Te{sub 5} materials through ab initio molecular-dynamics simulations. Planar structures, including fourfold rings and planes, play an important role in the formation and growth of crystalline clusters in the amorphous matrix. At the same time, vacancies facilitate crystallization by providing space at the glass-crystalline interface for atomic diffusion, which results in fast crystal growth, as observed in simulations and experiments. The microscopic mechanism of crystallization presented here may deepen our understanding of the phase transition occurring in real devices, providing an opportunity to optimize the memory performance of phase-change materials. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Non-volatile nano-floating gate memory with Pt-Fe{sub 2}O{sub 3} composite nanoparticles and indium gallium zinc oxide channel

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Quanli [Myongji University, Department of Nano Science and Engineering (Korea, Republic of); Lee, Seung Chang; Baek, Yoon-Jae [Myongji University, Department of Materials Science and Engineering (Korea, Republic of); Lee, Hyun Ho [Myongji University, Department of Chemical Engineering (Korea, Republic of); Kang, Chi Jung [Myongji University, Department of Nano Science and Engineering (Korea, Republic of); Kim, Hyun-Mi; Kim, Ki-Bum [Seoul National University, Department of Materials Science and Engineering (Korea, Republic of); Yoon, Tae-Sik, E-mail: tsyoon@mju.ac.kr [Myongji University, Department of Nano Science and Engineering (Korea, Republic of)

    2013-02-15

    Non-volatile nano-floating gate memory characteristics with colloidal Pt-Fe{sub 2}O{sub 3} composite nanoparticles with a mostly core-shell structure and indium gallium zinc oxide channel layer were investigated. The Pt-Fe{sub 2}O{sub 3} nanoparticles were chemically synthesized through the preferential oxidation of Fe and subsequent pileup of Pt into the core in the colloidal solution. The uniformly assembled nanoparticles' layer could be formed with a density of {approx}3 Multiplication-Sign 10{sup 11} cm{sup -2} by a solution-based dip-coating process. The Pt core ({approx}3 nm in diameter) and Fe{sub 2}O{sub 3}-shell ({approx}6 nm in thickness) played the roles of the charge storage node and tunneling barrier, respectively. The device exhibited the hysteresis in current-voltage measurement with a threshold voltage shift of {approx}4.76 V by gate voltage sweeping to +30 V. It also showed the threshold shift of {approx}0.66 V after pulse programming at +20 V for 1 s with retention > {approx}65 % after 10{sup 4} s. These results demonstrate the feasibility of using colloidal nanoparticles with core-shell structure as gate stacks of the charge storage node and tunneling dielectric for low-temperature and solution-based processed non-volatile memory devices.

  20. True or spurious long memory in volatility: Further evidence on the energy futures markets

    International Nuclear Information System (INIS)

    Charfeddine, Lanouar

    2014-01-01

    The main goal of this paper is to investigate whether the long memory behavior observed in many volatility energy futures markets series is a spurious behavior or not. For this purpose, we employ a wide variety of advanced volatility models that allow for long memory and/or structural changes: the GARCH(1,1), the FIGARCH(1,d,1), the Adaptative-GARCH(1,1,k), and the Adaptative-FIGARCH(1,d,1,k) models. To compare forecasting ability of these models, we use out-of-sample forecasting performance. Using the crude oil, heating oil, gasoline and propane volatility futures energy time series with 1-month and 3-month maturities, we found that five out of the eight time series are characterized by both long memory and structural breaks. For these series, dates of breaks coincide with some major economics and financial events. For the three other time series, we found strong evidence of long memory in volatility. - Highlights: • This paper investigates the long memory properties of the futures energy volatility. • We estimate a variety of GARCH-class of models. • The Adaptative-FIGARCH(1,d,1,k) model has been used to account for both long memory and breaks. • 5 out of the 8 futures energy series are characterized by both long memory and structural breaks. • The 3 other series are characterized by only long range dependence in volatility

  1. Estimation of stochastic volatility with long memory for index prices of FTSE Bursa Malaysia KLCI

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Kho Chia; Kane, Ibrahim Lawal; Rahman, Haliza Abd [Department of Mathematical Sciences, Faculty of Science, Universiti Teknologi Malaysia, 81310, Johor Bahru (Malaysia); Bahar, Arifah [UTM Centre for Industrial and Applied Mathematics (UTM-CIAM), Universiti Teknologi Malaysia, 81310, Johor Bahru and Department of Mathematical Sciences, Faculty of Science, Universiti Teknologi Malaysia, 81310, Johor Bahru (Malaysia); Ting, Chee-Ming [Center for Biomedical Engineering, Universiti Teknologi Malaysia, 81310, Johor Bahru (Malaysia)

    2015-02-03

    In recent years, modeling in long memory properties or fractionally integrated processes in stochastic volatility has been applied in the financial time series. A time series with structural breaks can generate a strong persistence in the autocorrelation function, which is an observed behaviour of a long memory process. This paper considers the structural break of data in order to determine true long memory time series data. Unlike usual short memory models for log volatility, the fractional Ornstein-Uhlenbeck process is neither a Markovian process nor can it be easily transformed into a Markovian process. This makes the likelihood evaluation and parameter estimation for the long memory stochastic volatility (LMSV) model challenging tasks. The drift and volatility parameters of the fractional Ornstein-Unlenbeck model are estimated separately using the least square estimator (lse) and quadratic generalized variations (qgv) method respectively. Finally, the empirical distribution of unobserved volatility is estimated using the particle filtering with sequential important sampling-resampling (SIR) method. The mean square error (MSE) between the estimated and empirical volatility indicates that the performance of the model towards the index prices of FTSE Bursa Malaysia KLCI is fairly well.

  2. Estimation of stochastic volatility with long memory for index prices of FTSE Bursa Malaysia KLCI

    Science.gov (United States)

    Chen, Kho Chia; Bahar, Arifah; Kane, Ibrahim Lawal; Ting, Chee-Ming; Rahman, Haliza Abd

    2015-02-01

    In recent years, modeling in long memory properties or fractionally integrated processes in stochastic volatility has been applied in the financial time series. A time series with structural breaks can generate a strong persistence in the autocorrelation function, which is an observed behaviour of a long memory process. This paper considers the structural break of data in order to determine true long memory time series data. Unlike usual short memory models for log volatility, the fractional Ornstein-Uhlenbeck process is neither a Markovian process nor can it be easily transformed into a Markovian process. This makes the likelihood evaluation and parameter estimation for the long memory stochastic volatility (LMSV) model challenging tasks. The drift and volatility parameters of the fractional Ornstein-Unlenbeck model are estimated separately using the least square estimator (lse) and quadratic generalized variations (qgv) method respectively. Finally, the empirical distribution of unobserved volatility is estimated using the particle filtering with sequential important sampling-resampling (SIR) method. The mean square error (MSE) between the estimated and empirical volatility indicates that the performance of the model towards the index prices of FTSE Bursa Malaysia KLCI is fairly well.

  3. Estimation of stochastic volatility with long memory for index prices of FTSE Bursa Malaysia KLCI

    International Nuclear Information System (INIS)

    Chen, Kho Chia; Kane, Ibrahim Lawal; Rahman, Haliza Abd; Bahar, Arifah; Ting, Chee-Ming

    2015-01-01

    In recent years, modeling in long memory properties or fractionally integrated processes in stochastic volatility has been applied in the financial time series. A time series with structural breaks can generate a strong persistence in the autocorrelation function, which is an observed behaviour of a long memory process. This paper considers the structural break of data in order to determine true long memory time series data. Unlike usual short memory models for log volatility, the fractional Ornstein-Uhlenbeck process is neither a Markovian process nor can it be easily transformed into a Markovian process. This makes the likelihood evaluation and parameter estimation for the long memory stochastic volatility (LMSV) model challenging tasks. The drift and volatility parameters of the fractional Ornstein-Unlenbeck model are estimated separately using the least square estimator (lse) and quadratic generalized variations (qgv) method respectively. Finally, the empirical distribution of unobserved volatility is estimated using the particle filtering with sequential important sampling-resampling (SIR) method. The mean square error (MSE) between the estimated and empirical volatility indicates that the performance of the model towards the index prices of FTSE Bursa Malaysia KLCI is fairly well

  4. Estimating and Forecasting Generalized Fractional Long Memory Stochastic Volatility Models

    NARCIS (Netherlands)

    S. Peiris (Shelton); M. Asai (Manabu); M.J. McAleer (Michael)

    2016-01-01

    textabstractIn recent years fractionally differenced processes have received a great deal of attention due to its flexibility in financial applications with long memory. This paper considers a class of models generated by Gegenbauer polynomials, incorporating the long memory in stochastic volatility

  5. Reducing the influence of STI on SONOS memory through optimizing added boron implantation technology

    International Nuclear Information System (INIS)

    Xu Yue; Yan Feng; Li Zhiguo; Yang Fan; Wang Yonggang; Chang Jianguang

    2010-01-01

    The influence of shallow trench isolation (STI) on a 90 nm polysilicon-oxide-nitride-oxide-silicon structure non-volatile memory has been studied based on experiments. It has been found that the performance of edge memory cells adjacent to STI deteriorates remarkably. The compressive stress and boron segregation induced by STI are thought to be the main causes of this problem. In order to mitigate the STI impact, an added boron implantation in the STI region is developed as a new solution. Four kinds of boron implantation experiments have been implemented to evaluate the impact of STI on edge cells, respectively. The experimental results show that the performance of edge cells can be greatly improved through optimizing added boron implantation technology. (semiconductor devices)

  6. An ultra-low-power area-efficient non-volatile memory in a 0.18 μm single-poly CMOS process for passive RFID tags

    International Nuclear Information System (INIS)

    Jia Xiaoyun; Feng Peng; Zhang Shengguang; Wu Nanjian; Zhao Baiqin; Liu Su

    2013-01-01

    This paper presents an ultra-low-power area-efficient non-volatile memory (NVM) in a 0.18 μm single-poly standard CMOS process for passive radio frequency identification (RFID) tags. In the memory cell, a novel low-power operation method is proposed to realize bi-directional Fowler—Nordheim tunneling during write operation. Furthermore, the cell is designed with PMOS transistors and coupling capacitors to minimize its area. In order to improve its reliability, the cell consists of double floating gates to store the data, and the 1 kbit NVM was implemented in a 0.18 μm single-poly standard CMOS process. The area of the memory cell and 1 kbit memory array is 96 μm 2 and 0.12 mm 2 , respectively. The measured results indicate that the program/erase voltage ranges from 5 to 6 V The power consumption of the read/write operation is 0.19 μW/0.69 μW at a read/write rate of (268 kb/s)/(3.0 kb/s). (semiconductor integrated circuits)

  7. Results from On-Orbit Testing of the Fram Memory Test Experiment on the Fastsat Micro-Satellite

    Science.gov (United States)

    MacLeod, Todd C.; Sims, W. Herb; Varnavas, Kosta A.; Ho, Fat D.

    2011-01-01

    NASA is planning on going beyond Low Earth orbit with manned exploration missions. The radiation environment for most Low Earth orbit missions is harsher than at the Earth's surface but much less harsh than deep space. Development of new electronics is needed to meet the requirements of high performance, radiation tolerance, and reliability. The need for both Volatile and Non-volatile memory has been identified. Emerging Non-volatile memory technologies (FRAM, C-RAM,M-RAM, R-RAM, Radiation Tolerant FLASH, SONOS, etc.) need to be investigated for use in Space missions. An opportunity arose to fly a small memory experiment on a high inclination satellite (FASTSAT). An off-the-shelf 512K Ramtron FRAM was chosen to be tested in the experiment.

  8. Novel ferroelectric capacitor for non-volatile memory storage and biomedical tactile sensor applications

    International Nuclear Information System (INIS)

    Liu, Shi Yang; Chua, Lynn; Tan, Kian Chuan; Valavan, S.E.

    2010-01-01

    We report on novel ferroelectric thin film compositions for use in non-volatile memory storage and biomedical tactile sensor applications. The lead zirconate titanate (PZT) composition was modified by lanthanum (La 3+ ) (PLZT) and vanadium (V 5+ ) (PZTV, PLZTV) doping. Hybrid films with PZTV and PLZTV as top layers are also made using seed layers of differing compositions using sol-gel and spin coating methods. La 3+ doping decreased the coercive field, polarization and leakage current, while increasing the relative permittivity. V 5+ doping, while having similar effects, results in an enhanced polarization, with comparable dielectric loss characteristics. Complex doping of both La 3+ and V 5+ in PLZTV, while reducing the polarization relative to PZTV, significantly decreases the coercive field. Hybrid films have a greater uniformity of grain formation than non-hybrid films, thus decreasing the coercive field, leakage current and polarization fatigue while increasing the relative permittivity. Analysis using X-ray diffraction (XRD) verified the retention of the PZT perovskite structure in the novel films. PLZT/PZTV has been identified as an optimal ferroelectric film composition due to its desirable ferroelectric, fatigue and dielectric properties, including the highest observed remnant polarization (P r ) of ∼ 25 μC/cm 2 , saturation polarization (P sat ) of ∼ 58 μC/cm 2 and low coercive field (E c ) of ∼ 60 kV/cm at an applied field of ∼ 1000 kV/cm, as well as a low leakage current density of ∼ 10 -5 A/cm 2 at 500 kV/cm and fatigue resistance of up to ∼ 10 10 switching cycles.

  9. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu; Nagabhushan, B.; Banerji, P. [Materials Science Centre, Indian Institute of Technology, Kharagpur 721 302 (India); Chakraborty, S. [Applied Materials Science Division, Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Sector-I, Kolkata 700 064 (India); Mukherjee, Rabibrata [Department of Chemical Engineering, Indian Institute of Technology, Kharagpur 721302 (India)

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. The device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.

  10. LDRD Final Report - Investigations of the impact of the process integration of deposited magnetic films for magnetic memory technologies on radiation-hardened CMOS devices and circuits - LDRD Project (FY99)

    Energy Technology Data Exchange (ETDEWEB)

    MYERS,DAVID R.; JESSING,JEFFREY R.; SPAHN,OLGA B.; SHANEYFELT,MARTY R.

    2000-01-01

    This project represented a coordinated LLNL-SNL collaboration to investigate the feasibility of developing radiation-hardened magnetic non-volatile memories using giant magnetoresistance (GMR) materials. The intent of this limited-duration study was to investigate whether giant magnetoresistance (GMR) materials similar to those used for magnetic tunnel junctions (MTJs) were process compatible with functioning CMOS circuits. Sandia's work on this project demonstrated that deposition of GMR materials did not affect the operation nor the radiation hardness of Sandia's rad-hard CMOS technology, nor did the integration of GMR materials and exposure to ionizing radiation affect the magnetic properties of the GMR films. Thus, following deposition of GMR films on rad-hard integrated circuits, both the circuits and the films survived ionizing radiation levels consistent with DOE mission requirements. Furthermore, Sandia developed techniques to pattern deposited GMR films without degrading the completed integrated circuits upon which they were deposited. The present feasibility study demonstrated all the necessary processing elements to allow fabrication of the non-volatile memory elements onto an existing CMOS chip, and even allow the use of embedded (on-chip) non-volatile memories for system-on-a-chip applications, even in demanding radiation environments. However, funding agencies DTRA, AIM, and DARPA did not have any funds available to support the required follow-on technology development projects that would have been required to develop functioning prototype circuits, nor were such funds available from LDRD nor from other DOE program funds.

  11. LDRD Final Report - Investigations of the impact of the process integration of deposited magnetic films for magnetic memory technologies on radiation hardened CMOS devices and circuits - LDRD Project (FY99)

    International Nuclear Information System (INIS)

    Myers, David R.; Jessing, Jeffrey R.; Spahn, Olga B.; Shaneyfelt, Marty R.

    2000-01-01

    This project represented a coordinated LLNL-SNL collaboration to investigate the feasibility of developing radiation-hardened magnetic non-volatile memories using giant magnetoresistance (GMR) materials. The intent of this limited-duration study was to investigate whether giant magnetoresistance (GMR) materials similar to those used for magnetic tunnel junctions (MTJs) were process compatible with functioning CMOS circuits. Sandia's work on this project demonstrated that deposition of GMR materials did not affect the operation nor the radiation hardness of Sandia's rad-hard CMOS technology, nor did the integration of GMR materials and exposure to ionizing radiation affect the magnetic properties of the GMR films. Thus, following deposition of GMR films on rad-hard integrated circuits, both the circuits and the films survived ionizing radiation levels consistent with DOE mission requirements. Furthermore, Sandia developed techniques to pattern deposited GMR films without degrading the completed integrated circuits upon which they were deposited. The present feasibility study demonstrated all the necessary processing elements to allow fabrication of the non-volatile memory elements onto an existing CMOS chip, and even allow the use of embedded (on-chip) non-volatile memories for system-on-a-chip applications, even in demanding radiation environments. However, funding agencies DTRA, AIM, and DARPA did not have any funds available to support the required follow-on technology development projects that would have been required to develop functioning prototype circuits, nor were such funds available from LDRD nor from other DOE program funds

  12. Modelling Long Memory Volatility in Agricultural Commodity Futures Returns

    NARCIS (Netherlands)

    R. Tansuchat (Roengchai); C-L. Chang (Chia-Lin); M.J. McAleer (Michael)

    2009-01-01

    textabstractThis paper estimates the long memory volatility model for 16 agricultural commodity futures returns from different futures markets, namely corn, oats, soybeans, soybean meal, soybean oil, wheat, live cattle, cattle feeder, pork, cocoa, coffee, cotton, orange juice, Kansas City wheat,

  13. Modelling Long Memory Volatility in Agricultural Commodity Futures Returns

    NARCIS (Netherlands)

    C-L. Chang (Chia-Lin); M.J. McAleer (Michael); R. Tansuchat (Roengchai)

    2012-01-01

    textabstractThis paper estimates a long memory volatility model for 16 agricultural commodity futures returns from different futures markets, namely corn, oats, soybeans, soybean meal, soybean oil, wheat, live cattle, cattle feeder, pork, cocoa, coffee, cotton, orange juice, Kansas City wheat,

  14. Next generation spin torque memories

    CERN Document Server

    Kaushik, Brajesh Kumar; Kulkarni, Anant Aravind; Prajapati, Sanjay

    2017-01-01

    This book offers detailed insights into spin transfer torque (STT) based devices, circuits and memories. Starting with the basic concepts and device physics, it then addresses advanced STT applications and discusses the outlook for this cutting-edge technology. It also describes the architectures, performance parameters, fabrication, and the prospects of STT based devices. Further, moving from the device to the system perspective it presents a non-volatile computing architecture composed of STT based magneto-resistive and all-spin logic devices and demonstrates that efficient STT based magneto-resistive and all-spin logic devices can turn the dream of instant on/off non-volatile computing into reality.

  15. Volatile and non-volatile/semi-volatile compounds and in vitro bioactive properties of Chilean Ulmo (Eucryphia cordifolia Cav.) honey.

    Science.gov (United States)

    Acevedo, Francisca; Torres, Paulina; Oomah, B Dave; de Alencar, Severino Matias; Massarioli, Adna Prado; Martín-Venegas, Raquel; Albarral-Ávila, Vicenta; Burgos-Díaz, César; Ferrer, Ruth; Rubilar, Mónica

    2017-04-01

    Ulmo honey originating from Eucryphia cordifolia tree, known locally in the Araucania region as the Ulmo tree is a natural product with valuable nutritional and medicinal qualities. It has been used in the Mapuche culture to treat infections. This study aimed to identify the volatile and non-volatile/semi-volatile compounds of Ulmo honey and elucidate its in vitro biological properties by evaluating its antioxidant, antibacterial, antiproliferative and hemolytic properties and cytotoxicity in Caco-2 cells. Headspace volatiles of Ulmo honey were isolated by solid-phase microextraction (SPME); non-volatiles/semi-volatiles were obtained by removing all saccharides with acidified water and the compounds were identified by GC/MS analysis. Ulmo honey volatiles consisted of 50 compounds predominated by 20 flavor components. Two of the volatile compounds, lyrame and anethol have never been reported before as honey compounds. The non-volatile/semi-volatile components of Ulmo honey comprised 27 compounds including 13 benzene derivatives accounting 75% of the total peak area. Ulmo honey exhibited weak antioxidant activity but strong antibacterial activity particularly against gram-negative bacteria and methicillin-resistant Staphylococcus aureus (MRSA), the main strain involved in wounds and skin infections. At concentrations >0.5%, Ulmo honey reduced Caco-2 cell viability, released lactate dehydrogenase (LDH) and increased reactive oxygen species (ROS) production in a dose dependent manner in the presence of foetal bovine serum (FBS). The wide array of volatile and non-volatile/semi-volatile constituents of Ulmo honey rich in benzene derivatives may partly account for its strong antibacterial and antiproliferative properties important for its therapeutic use. Our results indicate that Ulmo honey can potentially inhibit cancer growth at least partly by modulating oxidative stress. Copyright © 2017 Elsevier Ltd. All rights reserved.

  16. Laser Nanosoldering of Golden and Magnetite Particles and its Possible Application in 3D Printing Devices and Four-Valued Non-Volatile Memories

    Directory of Open Access Journals (Sweden)

    Jaworski Jacek

    2015-12-01

    Full Text Available In recent years the 3D printing methods have been developing rapidly. This article presents researches about a new composite consisted of golden and magnetite nanoparticles which could be used for this technique. Preparation of golden nanoparticles by laser ablation and their soldering by laser green light irradiation proceeded in water environment. Magnetite was obtained on chemical way. During experiments it was tested a change of a size of nanoparticles during laser irradiation, surface plasmon resonance, zeta potential. The obtained golden - magnetite composite material was magnetic after laser irradiation. On the end there was considered the application it for 3D printing devices, water filters and four-valued non-volatile memories.

  17. On the origin of resistive switching volatility in Ni/TiO{sub 2}/Ni stacks

    Energy Technology Data Exchange (ETDEWEB)

    Cortese, Simone, E-mail: simone.cortese@soton.ac.uk; Trapatseli, Maria; Khiat, Ali; Prodromakis, Themistoklis [Nano Research Group, Electronics and Computer Science, University of Southampton, Southampton, Hampshire, SO17 1BJ (United Kingdom)

    2016-08-14

    Resistive switching and resistive random access memories have attracted huge interest for next generation nonvolatile memory applications, also thought to be able to overcome flash memories limitations when arranged in crossbar arrays. A cornerstone of their potential success is that the toggling between two distinct resistance states, usually a High Resistive State (HRS) and a Low Resistive State (LRS), is an intrinsic non-volatile phenomenon with the two states being thermodynamically stable. TiO{sub 2} is one of the most common materials known to support non-volatile RS. In this paper, we report a volatile resistive switching in a titanium dioxide thin film sandwiched by two nickel electrodes. The aim of this work is to understand the underlying physical mechanism that triggers the volatile effect, which is ascribed to the presence of a NiO layer at the bottom interface. The NiO layer alters the equilibrium between electric field driven filament formation and thermal enhanced ion diffusion, resulting in the volatile behaviour. Although the volatility is not ideal for non-volatile memory applications, it shows merit for access devices in crossbar arrays due to its high LRS/HRS ratio, which are also briefly discussed.

  18. On Using the Volatile Mem-Capacitive Effect of TiO2 Resistive Random Access Memory to Mimic the Synaptic Forgetting Process

    Science.gov (United States)

    Sarkar, Biplab; Mills, Steven; Lee, Bongmook; Pitts, W. Shepherd; Misra, Veena; Franzon, Paul D.

    2018-02-01

    In this work, we report on mimicking the synaptic forgetting process using the volatile mem-capacitive effect of a resistive random access memory (RRAM). TiO2 dielectric, which is known to show volatile memory operations due to migration of inherent oxygen vacancies, was used to achieve the volatile mem-capacitive effect. By placing the volatile RRAM candidate along with SiO2 at the gate of a MOS capacitor, a volatile capacitance change resembling the forgetting nature of a human brain is demonstrated. Furthermore, the memory operation in the MOS capacitor does not require a current flow through the gate dielectric indicating the feasibility of obtaining low power memory operations. Thus, the mem-capacitive effect of volatile RRAM candidates can be attractive to the future neuromorphic systems for implementing the forgetting process of a human brain.

  19. Demonstration of Novel Sampling Techniques for Measurement of Turbine Engine Volatile and Non-Volatile Particulate Matter (PM) Emissions

    Science.gov (United States)

    2017-03-06

    WP-201317) Demonstration of Novel Sampling Techniques for Measurement of Turbine Engine Volatile and Non-volatile Particulate Matter (PM... Engine Volatile and Non-Volatile Particulate Matter (PM) Emissions 6. AUTHOR(S) E. Corporan, M. DeWitt, C. Klingshirn, M.D. Cheng, R. Miake-Lye, J. Peck...the performance and viability of two devices to condition aircraft turbine engine exhaust to allow the accurate measurement of total (volatile and non

  20. Effects of Financial Crises on the Long Memory Volatility Dependency of Foreign Exchange Rates: the Asian Crisis vs. the Global Crisis

    Directory of Open Access Journals (Sweden)

    Young Wook Han

    2014-03-01

    Full Text Available This paper examines the effects of financial crises on the long memory volatility dependency of daily exchange returns focusing on the Asian crisis in 97-98 and the Global crisis in 08-09. By using the daily KRW-USD and JPY-USD exchange rates which have different trading regions and volumes, this paper first applies both the parametric FIGARCH model and the semi-parametric Local Whittle method to estimate the long memory volatility dependency of the daily returns and the temporally aggregated returns of the two exchange rates. Then it compares the effects of the two financial crises on the long memory volatility dependency of the daily returns. The estimation results reflect that the long memory volatility dependency of the KRW-USD is generally greater than that of the JPY-USD returns and the long memory dependency of the two returns appears to be invariant to temporal aggregation. And, the two financial crises appear to affect the volatility dynamics of all the returns by inducing greater long memory dependency in the volatility process of the exchange returns, but the degree of the effects of the two crises seems to be different on the exchange rates.

  1. Discovering Authentication Credentials in Volatile Memory of Android Mobile Devices

    OpenAIRE

    Apostolopoulos , Dimitris; Marinakis , Giannis; Ntantogian , Christoforos; Xenakis , Christos

    2013-01-01

    Part 5: Adoption Issues in e/m-Services; International audience; This paper investigates whether authentication credentials in the volatile memory of Android mobile devices can be discovered using freely available tools. The experiments that we carried out for each application included two different sets: In the first set, our goal was to check if we could recover our own submitted credentials from the memory dump of the mobile device. In the second set of experiments, the goal was to find pa...

  2. Supercritical fluid extraction of volatile and non-volatile compounds from Schinus molle L.

    Directory of Open Access Journals (Sweden)

    M. S. T. Barroso

    2011-06-01

    Full Text Available Schinus molle L., also known as pepper tree, has been reported to have antimicrobial, antifungal, anti-inflammatory, antispasmodic, antipyretic, antitumoural and cicatrizing properties. This work studies supercritical fluid extraction (SFE to obtain volatile and non-volatile compounds from the aerial parts of Schinus molle L. and the influence of the process on the composition of the extracts. Experiments were performed in a pilot-scale extractor with a capacity of 1 L at pressures of 9, 10, 12, 15 and 20 MPa at 323.15 K. The volatile compounds were obtained by CO2 supercritical extraction with moderate pressure (9 MPa, whereas the non-volatile compounds were extracted at higher pressure (12 to 20 MPa. The analysis of the essential oil was carried out by GC-MS and the main compounds identified were sabinene, limonene, D-germacrene, bicyclogermacrene, and spathulenol. For the non-volatile extracts, the total phenolic content was determined by the Folin-Ciocalteau method. Moreover, one of the goals of this study was to compare the experimental data with the simulated yields predicted by a mathematical model based on mass transfer. The model used requires three adjustable parameters to predict the experimental extraction yield curves.

  3. NAND flash memory technologies

    CERN Document Server

    Aritome, Seiichi

    2016-01-01

    This book discusses basic and advanced NAND flash memory technologies, including the principle of NAND flash, memory cell technologies, multi-bits cell technologies, scaling challenges of memory cell, reliability, and 3-dimensional cell as the future technology. Chapter 1 describes the background and early history of NAND flash. The basic device structures and operations are described in Chapter 2. Next, the author discusses the memory cell technologies focused on scaling in Chapter 3, and introduces the advanced operations for multi-level cells in Chapter 4. The physical limitations for scaling are examined in Chapter 5, and Chapter 6 describes the reliability of NAND flash memory. Chapter 7 examines 3-dimensional (3D) NAND flash memory cells and discusses the pros and cons in structure, process, operations, scalability, and performance. In Chapter 8, challenges of 3D NAND flash memory are dis ussed. Finally, in Chapter 9, the author summarizes and describes the prospect of technologies and market for the fu...

  4. Emerging site characterization technologies for volatile organic compounds

    International Nuclear Information System (INIS)

    Rohay, V.J.; Last, G.V.

    1992-05-01

    A Comprehensive Environmental Response, Compensation, and Liability Act of 1980 (CERCLA) expedited response action (ERA) has been initiated at Hanford Site's 200 West Area for the removal of carbon tetrachloride from the unsaturated soils. In coordination with the ERA, innovative technology demonstrations are being conducted as part of DOE's Volatile Organic Compounds -- Arid Integrated Demonstration in an effort to improve upon baseline technologies. Improved methods for accessing, sampling, and analyzing soil and soil-vapor contaminants is a high priority. Sonic drilling is being evaluated as an alternative to cable-tool drilling, while still providing the advantages of reliability, containment, and waste minimization. Applied Research Associates, Inc. used their cone penetrometer in the 200 West Area to install a permanent soil-gas monitoring probe and to collect soil-gas profile data. However, successful application of this technology will require the development of an improved ability to penetrate coarse gravel units. A Science and Engineering Associates Membrane Instrumentation and Sampling Technique (SEAMIST) system designed for collecting in situ soil samples and air permeability data in between drilling runs at variable depths is being tested in 200 West Area boreholes. Analytical technologies scheduled for testing include supercritical fluid extraction and analysis for non- and semi-volatile organic co-contaminants and an unsaturated flow apparatus developed by Washington State University for the measurement of transport parameters

  5. Exponential Smoothing, Long Memory and Volatility Prediction

    DEFF Research Database (Denmark)

    Proietti, Tommaso

    three models that are natural extensions of ES: the fractionally integrated first order moving average (FIMA) model, a new integrated moving average model formulated in terms of the fractional lag operator (FLagIMA), and a fractional equal root integrated moving average (FerIMA) model, proposed...... originally by Hosking. We investigate the properties of the volatility components and the forecasts arising from these specification, which depend uniquely on the memory and the moving average parameters. For statistical inference we show that, under mild regularity conditions, the Whittle pseudo...

  6. Exploring memory hierarchy design with emerging memory technologies

    CERN Document Server

    Sun, Guangyu

    2014-01-01

    This book equips readers with tools for computer architecture of high performance, low power, and high reliability memory hierarchy in computer systems based on emerging memory technologies, such as STTRAM, PCM, FBDRAM, etc.  The techniques described offer advantages of high density, near-zero static power, and immunity to soft errors, which have the potential of overcoming the “memory wall.”  The authors discuss memory design from various perspectives: emerging memory technologies are employed in the memory hierarchy with novel architecture modification;  hybrid memory structure is introduced to leverage advantages from multiple memory technologies; an analytical model named “Moguls” is introduced to explore quantitatively the optimization design of a memory hierarchy; finally, the vulnerability of the CMPs to radiation-based soft errors is improved by replacing different levels of on-chip memory with STT-RAMs.   ·         Provides a holistic study of using emerging memory technologies i...

  7. SITE TECHNOLOGY CAPSULE: SUBSURFACE VOLATILIZATION AND VENTILATION SYSTEM (SVVS)

    Science.gov (United States)

    The Subsurface Volatilization and Ventilation System is an integrated technology used for attacking all phases of volatile organic compound (VOC) contamination in soil and groundwater. The SVVS technology promotes insitu remediation of soil and groundwater contaminated with or-ga...

  8. Volatile Composition of Smoked and Non-Smoked Iranian Rice

    Directory of Open Access Journals (Sweden)

    Leontina Lipan

    2016-11-01

    Full Text Available In this work, the volatile profiles of smoked and non-smoked Iranian rice were identified, and their relative abundance was calculated and compared. Headspace solid-phase microextraction together with gas chromatography-mass spectrometry (SPME-GC-MS were used to extract and identify the volatile compounds. The main groups of volatiles in Iranian rice were aldehydes, ketones, phenol derivatives, furans, linear hydrocarbons, esters and terpenes. The chemical family aldehydes was the most abundant one in the profile of non-smoked rice, while phenol derivatives and furans predominated in smoked samples. This study is the first one reporting comparative data of volatile compounds between smoked and non-smoked Iranian rice.

  9. Lower Bounds in the Asymmetric External Memory Model

    DEFF Research Database (Denmark)

    Jacob, Riko; Sitchinava, Nodari

    2017-01-01

    Motivated by the asymmetric read and write costs of emerging non-volatile memory technologies, we study lower bounds for the problems of sorting, permuting and multiplying a sparse matrix by a dense vector in the asymmetric external memory model (AEM). Given an AEM with internal (symmetric) memory...... of size M, transfers between symmetric and asymmetric memory in blocks of size B and the ratio ω between write and read costs, we show Ω(min (N, ωN/B logω M/B N/B) lower bound for the cost of permuting N input elements. This lower bound also applies to the problem of sorting N elements. This proves...

  10. An electrically reprogrammable 1024 bits MNOS ROM using MNOS-SOS e/d technology

    International Nuclear Information System (INIS)

    Mackowiak, E.; Le Goascoz, V.

    1976-01-01

    A 1024 bits fully decoded electrically writable and erasable non volatile ROM is described. Memory cells and peripheral circuits are made using P channel silicon on sapphire enhancement depletion technology [fr

  11. Atomic and molecular physics of plasma-based environmental technologies for abatement of volatile organic compounds

    International Nuclear Information System (INIS)

    Penetrante, B. M.; Hsiao, M. C.; Bardsley, J. N.; Merritt, B. T.; Vogtin, G. E.; Kuthi, A.; Burkhart, C. P.; Bayless, J. R.

    1997-01-01

    Non-thermal plasma techniques represent a new generation of air emission control technology that potentially could treat large-volume emissions containing dilute concentrations of volatile organic compounds. In order to apply non-thermal plasmas in an industrial scale, it is important to establish the electrical power requirements and byproducts of the process.There is a need for reliable data concerning the primary decomposition mechanisms and subsequent chemical kinetics associated with non- thermal plasma processing of volatile organic compounds. There are many basic atomic and molecular physics issues that are essential in evaluating the economic performance of non-thermal plasma reactors. These studies are important in understanding how the input electrical power is dissipated in the plasma and how efficiently it is converted to the production of the plasma species (radicals, ions or electrons) responsible for the decomposition of the volatile organic compounds. This paper will present results from basic experimental and theoretical studies aimed at identifying the reaction mechanisms responsible for the primary decomposition of various types of volatile organic compounds. (authors)

  12. Estimating and Forecasting Generalized Fractional Long Memory Stochastic Volatility Models

    Directory of Open Access Journals (Sweden)

    Shelton Peiris

    2017-12-01

    Full Text Available This paper considers a flexible class of time series models generated by Gegenbauer polynomials incorporating the long memory in stochastic volatility (SV components in order to develop the General Long Memory SV (GLMSV model. We examine the corresponding statistical properties of this model, discuss the spectral likelihood estimation and investigate the finite sample properties via Monte Carlo experiments. We provide empirical evidence by applying the GLMSV model to three exchange rate return series and conjecture that the results of out-of-sample forecasts adequately confirm the use of GLMSV model in certain financial applications.

  13. Uncorrelated multiple conductive filament nucleation and rupture in ultra-thin high-κ dielectric based resistive random access memory

    KAUST Repository

    Wu, Xing; Li, Kun; Raghavan, Nagarajan; Bosman, Michel; Wang, Qing-Xiao; Cha, Dong Kyu; Zhang, Xixiang; Pey, Kin-Leong

    2011-01-01

    Resistive switching in transition metal oxides could form the basis for next-generation non-volatile memory (NVM). It has been reported that the current in the high-conductivity state of several technologically relevant oxide materials flows through

  14. Three-terminal resistive switching memory in a transparent vertical-configuration device

    International Nuclear Information System (INIS)

    Ungureanu, Mariana; Llopis, Roger; Casanova, Fèlix; Hueso, Luis E.

    2014-01-01

    The resistive switching phenomenon has attracted much attention recently for memory applications. It describes the reversible change in the resistance of a dielectric between two non-volatile states by the application of electrical pulses. Typical resistive switching memories are two-terminal devices formed by an oxide layer placed between two metal electrodes. Here, we report on the fabrication and operation of a three-terminal resistive switching memory that works as a reconfigurable logic component and offers an increased logic density on chip. The three-terminal memory device we present is transparent and could be further incorporated in transparent computing electronic technologies

  15. Progress In Optical Memory Technology

    Science.gov (United States)

    Tsunoda, Yoshito

    1987-01-01

    More than 20 years have passed since the concept of optical memory was first proposed in 1966. Since then considerable progress has been made in this area together with the creation of completely new markets of optical memory in consumer and computer application areas. The first generation of optical memory was mainly developed with holographic recording technology in late 1960s and early 1970s. Considerable number of developments have been done in both analog and digital memory applications. Unfortunately, these technologies did not meet a chance to be a commercial product. The second generation of optical memory started at the beginning of 1970s with bit by bit recording technology. Read-only type optical memories such as video disks and compact audio disks have extensively investigated. Since laser diodes were first applied to optical video disk read out in 1976, there have been extensive developments of laser diode pick-ups for optical disk memory systems. The third generation of optical memory started in 1978 with bit by bit read/write technology using laser diodes. Developments of recording materials including both write-once and erasable have been actively pursued at several research institutes. These technologies are mainly focused on the optical memory systems for computer application. Such practical applications of optical memory technology has resulted in the creation of such new products as compact audio disks and computer file memories.

  16. Conceptual Study of LSTAT Integration to Robotics and Other Advanced Medical Technologies

    Science.gov (United States)

    2004-07-31

    Ballistic Impact Detection CDDS Clinical Decision-Support System CPAP Continuous Positive Airway Pressure CRT Cathode Ray Tube CSH Combat Support...by soldier non-volatile flash memory various commercial technologies available referred to as electronic information carrier (EIC) or

  17. Investigation of Hafnium oxide/Copper resistive memory for advanced encryption applications

    Science.gov (United States)

    Briggs, Benjamin D.

    The Advanced Encryption Standard (AES) is a widely used encryption algorithm to protect data and communications in today's digital age. Modern AES CMOS implementations require large amounts of dedicated logic and must be tuned for either performance or power consumption. A high throughput, low power, and low die area AES implementation is required in the growing mobile sector. An emerging non-volatile memory device known as resistive memory (ReRAM) is a simple metal-insulator-metal capacitor device structure with the ability to switch between two stable resistance states. Currently, ReRAM is targeted as a non-volatile memory replacement technology to eventually replace flash. Its advantages over flash include ease of fabrication, speed, and lower power consumption. In addition to memory, ReRAM can also be used in advanced logic implementations given its purely resistive behavior. The combination of a new non-volatile memory element ReRAM along with high performance, low power CMOS opens new avenues for logic implementations. This dissertation will cover the design and process implementation of a ReRAM-CMOS hybrid circuit, built using IBM's 10LPe process, for the improvement of hardware AES implementations. Further the device characteristics of ReRAM, specifically the HfO2/Cu memory system, and mechanisms for operation are not fully correlated. Of particular interest to this work is the role of material properties such as the stoichiometry, crystallinity, and doping of the HfO2 layer and their effect on the switching characteristics of resistive memory. Material properties were varied by a combination of atomic layer deposition and reactive sputtering of the HfO2 layer. Several studies will be discussed on how the above mentioned material properties influence switching parameters, and change the underlying physics of device operation.

  18. Ferroelectric polymer gates for non-volatile field effect control of ferromagnetism in (Ga, Mn)As layers

    International Nuclear Information System (INIS)

    Stolichnov, I; Riester, S W E; Mikheev, E; Setter, N; Rushforth, A W; Edmonds, K W; Campion, R P; Foxon, C T; Gallagher, B L; Jungwirth, T; Trodahl, H J

    2011-01-01

    (Ga, Mn)As and other diluted magnetic semiconductors (DMS) attract a great deal of attention for potential spintronic applications because of the possibility of controlling the magnetic properties via electrical gating. Integration of a ferroelectric gate on the DMS channel adds to the system a non-volatile memory functionality and permits nanopatterning via the polarization domain engineering. This topical review is focused on the multiferroic system, where the ferromagnetism in the (Ga, Mn)As DMS channel is controlled by the non-volatile field effect of the spontaneous polarization. Use of ferroelectric polymer gates in such heterostructures offers a viable alternative to the traditional oxide ferroelectrics generally incompatible with DMS. Here we review the proof-of-concept experiments demonstrating the ferroelectric control of ferromagnetism, analyze the performance issues of the ferroelectric gates and discuss prospects for further development of the ferroelectric/DMS heterostructures toward the multiferroic field effect transistor. (topical review)

  19. Fault-tolerant NAND-flash memory module for next-generation scientific instruments

    Science.gov (United States)

    Lange, Tobias; Michel, Holger; Fiethe, Björn; Michalik, Harald; Walter, Dietmar

    2015-10-01

    Remote sensing instruments on today's space missions deliver a high amount of data which is typically evaluated on ground. Especially for deep space missions the telemetry downlink is very limited which creates the need for the scientific evaluation and thereby a reduction of data volume already on-board the spacecraft. A demanding example is the Polarimetric and Helioseismic Imager (PHI) instrument on Solar Orbiter. To enable on-board offline processing for data reduction, the instrument has to be equipped with a high capacity memory module. The module is based on non-volatile NAND-Flash technology, which requires more advanced operation than volatile DRAM. Unlike classical mass memories, the module is integrated into the instrument and allows readback of data for processing. The architecture and safe operation of such kind of memory module is described in the following paper.

  20. Malware Memory Analysis of the IVYL Linux Rootkit: Investigating a Publicly Available Linux Rootkit Using the Volatility Memory Analysis Framework

    Science.gov (United States)

    2015-04-01

    report is to examine how a computer forensic investigator/incident handler, without specialised computer memory or software reverse engineering skills ...The skills amassed by incident handlers and investigators alike while using Volatility to examine Windows memory images will be of some help...bin/pulseaudio --start --log-target=syslog 1362 1000 1000 nautilus 1366 1000 1000 /usr/lib/pulseaudio/pulse/gconf- helper 1370 1000 1000 nm-applet

  1. Transparent Memory For Harsh Electronics

    KAUST Repository

    Ho, C. H.; Duran Retamal, Jose Ramon; Yang, P. K.; Lee, C. P.; Tsai, M. L.; Kang, C. F.; He, Jr-Hau

    2017-01-01

    As a new class of non-volatile memory, resistive random access memory (RRAM) offers not only superior electronic characteristics, but also advanced functionalities, such as transparency and radiation hardness. However, the environmental tolerance

  2. Modeling of Volatility with Non-linear Time Series Model

    OpenAIRE

    Kim Song Yon; Kim Mun Chol

    2013-01-01

    In this paper, non-linear time series models are used to describe volatility in financial time series data. To describe volatility, two of the non-linear time series are combined into form TAR (Threshold Auto-Regressive Model) with AARCH (Asymmetric Auto-Regressive Conditional Heteroskedasticity) error term and its parameter estimation is studied.

  3. A Multivariate Asymmetric Long Memory Conditional Volatility Model with X, Regularity and Asymptotics

    NARCIS (Netherlands)

    M. Asai (Manabu); M.J. McAleer (Michael)

    2016-01-01

    textabstractThe paper derives a Multivariate Asymmetric Long Memory conditional volatility model with Exogenous Variables (X), or the MALMX model, with dynamic conditional correlations, appropriate regularity conditions, and associated asymptotic theory. This enables checking of internal consistency

  4. Impact of process parameters on the structural and electrical properties of metal/PZT/Al2O3/silicon gate stack for non-volatile memory applications

    Science.gov (United States)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    In this paper, we present the structural and electrical properties of the Al2O3 buffer layer on non-volatile memory behavior using Metal/PZT/Al2O3/Silicon structures. Metal/PZT/Silicon and Metal/Al2O3/Silicon structures were also fabricated and characterized to obtain capacitance and leakage current parameters. Lead zirconate titanate (PZT::35:65) and Al2O3 films were deposited by sputtering on the silicon substrate. Memory window, PUND, endurance, breakdown voltage, effective charges, flat-band voltage and leakage current density parameters were measured and the effects of process parameters on the structural and electrical characteristics were investigated. X-ray data show dominant (110) tetragonal phase of the PZT film, which crystallizes at 500 °C. The sputtered Al2O3 film annealed at different temperatures show dominant (312) orientation and amorphous nature at 425 °C. Multiple angle laser ellipsometric analysis reveals the temperature dependence of PZT film refractive index and extinction coefficient. Electrical characterization shows the maximum memory window of 3.9 V and breakdown voltage of 25 V for the Metal/Ferroelectric/Silicon (MFeS) structures annealed at 500 °C. With 10 nm Al2O3 layer in the Metal/Ferroelectric/Insulator/Silicon (MFeIS) structure, the memory window and breakdown voltage was improved to 7.21 and 35 V, respectively. Such structures show high endurance with no significant reduction polarization charge for upto 2.2 × 109 iteration cycles.

  5. 2-22 Study of Oxidation/reduction Volatilization Technology

    Institute of Scientific and Technical Information of China (English)

    Tan; Cunmin[1; Cao; Shiwei[1; Tian; Yuan[1; Qin; Zhi[1

    2015-01-01

    As an advanced dry head-end processing of spent fuel reprocessing, the oxidation-reduction volatilization technology will use for pulverizing uranium oxide ceramic pellets, decladding, and removal of most of volatile and semi-volatile fission elements, 3H, 14C, Kr, Xe, I, Cs, Ru and Tc, from fuel prior to main treatment process. The AIROX and ORIOX process, including circulation of oxidation in oxygen atmosphere and reduction in hydrogen atmosphere, researched on international at present, is considered to be the first choice for head-end processing.

  6. Comparing Predictive Accuracy under Long Memory - With an Application to Volatility Forecasting

    DEFF Research Database (Denmark)

    Kruse, Robinson; Leschinski, Christian; Will, Michael

    This paper extends the popular Diebold-Mariano test to situations when the forecast error loss differential exhibits long memory. It is shown that this situation can arise frequently, since long memory can be transmitted from forecasts and the forecast objective to forecast error loss differentials....... The nature of this transmission mainly depends on the (un)biasedness of the forecasts and whether the involved series share common long memory. Further results show that the conventional Diebold-Mariano test is invalidated under these circumstances. Robust statistics based on a memory and autocorrelation...... extensions of the heterogeneous autoregressive model. While we find that forecasts improve significantly if jumps in the log-price process are considered separately from continuous components, improvements achieved by the inclusion of implied volatility turn out to be insignificant in most situations....

  7. Atomic crystals resistive switching memory

    International Nuclear Information System (INIS)

    Liu Chunsen; Zhang David Wei; Zhou Peng

    2017-01-01

    Facing the growing data storage and computing demands, a high accessing speed memory with low power and non-volatile character is urgently needed. Resistive access random memory with 4F 2 cell size, switching in sub-nanosecond, cycling endurances of over 10 12 cycles, and information retention exceeding 10 years, is considered as promising next-generation non-volatile memory. However, the energy per bit is still too high to compete against static random access memory and dynamic random access memory. The sneak leakage path and metal film sheet resistance issues hinder the further scaling down. The variation of resistance between different devices and even various cycles in the same device, hold resistive access random memory back from commercialization. The emerging of atomic crystals, possessing fine interface without dangling bonds in low dimension, can provide atomic level solutions for the obsessional issues. Moreover, the unique properties of atomic crystals also enable new type resistive switching memories, which provide a brand-new direction for the resistive access random memory. (topical reviews)

  8. Silicon nano crystal-based non-volatile memory devices

    International Nuclear Information System (INIS)

    Ng, C.Y.; Chen, T.P.; Sreeduth, D.; Chen, Q.; Ding, L.; Du, A.

    2006-01-01

    In this work, we have investigated the performance and reliability of a Flash memory based on silicon nanocrystal synthesized with very-low energy ion beams. The devices are fabricated with a conventional CMOS process and the size of the nanocrystal is ∼ 4 nm as determined from TEM measurement. Electrical properties of the devices with a tunnel oxide of either 3 nm or 7 nm are evaluated. The devices exhibit good endurance up to 10 5 W/E cycles even at the high operation temperature of 85 deg. C for both the tunnel oxide thicknesses. For the thicker tunnel oxide (i.e., the 7-nm tunnel oxide), a good retention performance with an extrapolated 10-year memory window of ∼ 0.3 V (or ∼ 20% of charge lose after 10 years) is achieved. However, ∼ 70% of charge loss after 10 years is expected for the thinner tunnel oxide (i.e., the 3-nm tunnel oxide)

  9. Overview of emerging nonvolatile memory technologies.

    Science.gov (United States)

    Meena, Jagan Singh; Sze, Simon Min; Chand, Umesh; Tseng, Tseung-Yuen

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  10. Overview of emerging nonvolatile memory technologies

    Science.gov (United States)

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  11. Towards Terabit Memories

    Science.gov (United States)

    Hoefflinger, Bernd

    Memories have been the major yardstick for the continuing validity of Moore's law. In single-transistor-per-Bit dynamic random-access memories (DRAM), the number of bits per chip pretty much gives us the number of transistors. For decades, DRAM's have offered the largest storage capacity per chip. However, DRAM does not scale any longer, both in density and voltage, severely limiting its power efficiency to 10 fJ/b. A differential DRAM would gain four-times in density and eight-times in energy. Static CMOS RAM (SRAM) with its six transistors/cell is gaining in reputation because it scales well in cell size and operating voltage so that its fundamental advantage of speed, non-destructive read-out and low-power standby could lead to just 2.5 electrons/bit in standby and to a dynamic power efficiency of 2aJ/b. With a projected 2020 density of 16 Gb/cm², the SRAM would be as dense as normal DRAM and vastly better in power efficiency, which would mean a major change in the architecture and market scenario for DRAM versus SRAM. Non-volatile Flash memory have seen two quantum jumps in density well beyond the roadmap: Multi-Bit storage per transistor and high-density TSV (through-silicon via) technology. The number of electrons required per Bit on the storage gate has been reduced since their first realization in 1996 by more than an order of magnitude to 400 electrons/Bit in 2010 for a complexity of 32Gbit per chip at the 32 nm node. Chip stacking of eight chips with TSV has produced a 32GByte solid-state drive (SSD). A stack of 32 chips with 2 b/cell at the 16 nm node will reach a density of 2.5 Terabit/cm². Non-volatile memory with a density of 10 × 10 nm²/Bit is the target for widespread development. Phase-change memory (PCM) and resistive memory (RRAM) lead in cell density, and they will reach 20 Gb/cm² in 2D and higher with 3D chip stacking. This is still almost an order-of-magnitude less than Flash. However, their read-out speed is ~10-times faster, with as yet

  12. Robust resistive memory devices using solution-processable metal-coordinated azo aromatics

    Science.gov (United States)

    Goswami, Sreetosh; Matula, Adam J.; Rath, Santi P.; Hedström, Svante; Saha, Surajit; Annamalai, Meenakshi; Sengupta, Debabrata; Patra, Abhijeet; Ghosh, Siddhartha; Jani, Hariom; Sarkar, Soumya; Motapothula, Mallikarjuna Rao; Nijhuis, Christian A.; Martin, Jens; Goswami, Sreebrata; Batista, Victor S.; Venkatesan, T.

    2017-12-01

    Non-volatile memories will play a decisive role in the next generation of digital technology. Flash memories are currently the key player in the field, yet they fail to meet the commercial demands of scalability and endurance. Resistive memory devices, and in particular memories based on low-cost, solution-processable and chemically tunable organic materials, are promising alternatives explored by the industry. However, to date, they have been lacking the performance and mechanistic understanding required for commercial translation. Here we report a resistive memory device based on a spin-coated active layer of a transition-metal complex, which shows high reproducibility (~350 devices), fast switching (106 s) and scalability (down to ~60 nm2). In situ Raman and ultraviolet-visible spectroscopy alongside spectroelectrochemistry and quantum chemical calculations demonstrate that the redox state of the ligands determines the switching states of the device whereas the counterions control the hysteresis. This insight may accelerate the technological deployment of organic resistive memories.

  13. Multicolour fluorescent memory based on the interaction of hydroxy terphenyls with fluoride anions.

    Science.gov (United States)

    Akamatsu, Masaaki; Mori, Taizo; Okamoto, Ken; Sakai, Hideki; Abe, Masahiko; Hill, Jonathan P; Ariga, Katsuhiko

    2014-12-01

    Memory operations based on variation of a molecule's properties are important because they may lead to device miniaturization to the molecular scale or increasingly complex information processing protocols beyond the binary level. Molecular memory also introduces possibilities related to information-storage security where chemical information (or reagents) might be used as an encryption key, in this case, acidic/basic reagents. Chemical memory that possesses both volatile and non-volatile functionality requires reversible conversion between at least two chemically different stable or quasi-stable states. Here we have developed the phenol-phenoxide equilibrium of phenol fluorophores as a data storage element, which can be used to write or modulate data using chemical reagents. The properties of this system allow data to be stored and erased either in non-volatile or volatile modes. We also demonstrate non-binary switching of states made possible by preparation of  a composite containing the molecular memory elements. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Radiation Testing, Characterization and Qualification Challenges for Modern Microelectronics and Photonics Devices and Technologies

    Science.gov (United States)

    LaBel, Kenneth A.; Cohn, Lewis M.

    2008-01-01

    At GOMAC 2007, we discussed a selection of the challenges for radiation testing of modern semiconductor devices focusing on state-of-the-art memory technologies. This included FLASH non-volatile memories (NVMs) and synchronous dynamic random access memories (SDRAMs). In this presentation, we extend this discussion in device packaging and complexity as well as single event upset (SEU) mechanisms using several technology areas as examples including: system-on-a-chip (SOC) devices and photonic or fiber optic systems. The underlying goal is intended to provoke thought for understanding the limitations and interpretation of radiation testing results.

  15. Pricing European option with transaction costs under the fractional long memory stochastic volatility model

    Science.gov (United States)

    Wang, Xiao-Tian; Wu, Min; Zhou, Ze-Min; Jing, Wei-Shu

    2012-02-01

    This paper deals with the problem of discrete time option pricing using the fractional long memory stochastic volatility model with transaction costs. Through the 'anchoring and adjustment' argument in a discrete time setting, a European call option pricing formula is obtained.

  16. A Survey of Phase Change Memory Systems

    Institute of Scientific and Technical Information of China (English)

    夏飞; 蒋德钧; 熊劲; 孙凝晖

    2015-01-01

    As the scaling of applications increases, the demand of main memory capacity increases in order to serve large working set. It is difficult for DRAM (dynamic random access memory) based memory system to satisfy the memory capacity requirement due to its limited scalability and high energy consumption. Compared to DRAM, PCM (phase change memory) has better scalability, lower energy leakage, and non-volatility. PCM memory systems have become a hot topic of academic and industrial research. However, PCM technology has the following three drawbacks: long write latency, limited write endurance, and high write energy, which raises challenges to its adoption in practice. This paper surveys architectural research work to optimize PCM memory systems. First, this paper introduces the background of PCM. Then, it surveys research efforts on PCM memory systems in performance optimization, lifetime improving, and energy saving in detail, respectively. This paper also compares and summarizes these techniques from multiple dimensions. Finally, it concludes these optimization techniques and discusses possible research directions of PCM memory systems in future.

  17. Data Centre Technology and Market Trends

    CERN Multimedia

    CERN. Geneva; GUERRI, Marco; GRIGORE, Alexandru; BONFILLOU, Eric; VALSAN, Liviu

    2015-01-01

    In this ITTF session we will provide an overview of data center technologies and market trends in the fields of server processors, memory architectures, server platforms, storage technology (both solid state and spinning media), Intel future roadmaps, Open Compute Project hardware and server-side networking. We will begin with a peek into the evolution of processors over the last 40+ years and provide an outlook into future processor trends. The highlights of the most recent Intel server processor generation (Xeon E5-2600 v3, Haswell-EP) will be presented together with the specifics of the new generation of DDR memory technology employed. Alternative processor architectures from contenders like ARM Holdings (with their AArch64 architecture) and IBM (with their OpenPOWER initiative) will be discussed. An overview of existing enterprise solid state technology will be given, showing the kind of performance provided by the currently available enterprise SSD drives and future directions for non volatile memory b...

  18. Testing for Co-integration in Vector Autoregressions with Non-Stationary Volatility

    DEFF Research Database (Denmark)

    Cavaliere, Guiseppe; Rahbæk, Anders; Taylor, A.M. Robert

    Many key macro-economic and financial variables are characterised by permanent changes in unconditional volatility. In this paper we analyse vector autoregressions with non-stationary (unconditional) volatility of a very general form, which includes single and multiple volatility breaks as special...

  19. A Skewed Student-t Value-at-Risk Approach for Long Memory Volatility Processes in Japanese Financial Markets

    Directory of Open Access Journals (Sweden)

    Seong¡-Min Yoon

    2007-06-01

    Full Text Available This paper investigates the relevance of skewed Student-t distributions in capturing long memory volatility properties in the daily return series of Japanese financial data (Nikkei 225 Index and JPY-USD exchange rate. For this purpose, we assess the performance of two long memory Value-at-Risk (VaR models (FIGARCH and FIAPARCH VaR model with three different distribution innovations: the normal, Student-t, and skewed Student-t distributions. From our results, we find that the skewed Student-t distribution model produces more accurate VaR estimations than normal and Student-t distribution models. Thus, accounting for skewness and excess kurtosis in the asset return distribution can provide suitable criteria for VaR model selection in the context of long memory volatility and enhance the performance of risk management in Japanese financial markets.

  20. Testing for Co-integration in Vector Autoregressions with Non-Stationary Volatility

    DEFF Research Database (Denmark)

    Cavaliere, Giuseppe; Rahbek, Anders Christian; Taylor, A. M. Robert

    Many key macro-economic and …nancial variables are characterised by permanent changes in unconditional volatility. In this paper we analyse vector autoregressions with non-stationary (unconditional) volatility of a very general form, which includes single and multiple volatility breaks as special...

  1. Volatile and non-volatile compounds in green tea affected in harvesting time and their correlation to consumer preference.

    Science.gov (United States)

    Kim, Youngmok; Lee, Kwang-Geun; Kim, Mina K

    2016-10-01

    Current study was designed to find out how tea harvesting time affects the volatile and non-volatile compounds profiles of green tea. In addition, correlation of instrumental volatile and non-volatile compounds analyses to consumer perception were analyzed. Overall, earlier harvested green tea had stronger antioxidant capacity (~61.0%) due to the polyphenolic compounds from catechin (23,164 mg/L), in comparison to later harvested green teas (11,961 mg/L). However, high catechin content in green tea influenced negatively the consumer likings of green tea, due to high bitterness (27.6%) and astringency (13.4%). Volatile compounds drive consumer liking of green tea products were also identified, that included linalool, 2,3-methyl butanal, 2-heptanone, (E,E)-3,5-Octadien-2-one. Finding from current study are useful for green tea industry as it provide the difference in physiochemical properties of green tea harvested at different intervals.

  2. Magnetic Random Access Memory based non-volatile asynchronous Muller cell for ultra-low power autonomous applications

    Science.gov (United States)

    Di Pendina, G.; Zianbetov, E.; Beigne, E.

    2015-05-01

    Micro and nano electronic integrated circuit domain is today mainly driven by the advent of the Internet of Things for which the constraints are strong, especially in terms of power consumption and autonomy, not only during the computing phases but also during the standby or idle phases. In such ultra-low power applications, the circuit has to meet new constraints mainly linked to its changing energetic environment: long idle phases, automatic wake up, data back-up when the circuit is sporadically turned off, and ultra-low voltage power supply operation. Such circuits have to be completely autonomous regarding their unstable environment, while remaining in an optimum energetic configuration. Therefore, we propose in this paper the first MRAM-based non-volatile asynchronous Muller cell. This cell has been simulated and characterized in a very advanced 28 nm CMOS fully depleted silicon-on-insulator technology, presenting good power performance results due to an extremely efficient body biasing control together with ultra-wide supply voltage range from 160 mV up to 920 mV. The leakage current can be reduced to 154 pA thanks to reverse body biasing. We also propose an efficient standard CMOS bulk version of this cell in order to be compatible with different fabrication processes.

  3. Magnetic Random Access Memory based non-volatile asynchronous Muller cell for ultra-low power autonomous applications

    Energy Technology Data Exchange (ETDEWEB)

    Di Pendina, G., E-mail: gregory.dipendina@cea.fr, E-mail: eldar.zianbetov@cea.fr, E-mail: edith.beigne@cea.fr; Zianbetov, E., E-mail: gregory.dipendina@cea.fr, E-mail: eldar.zianbetov@cea.fr, E-mail: edith.beigne@cea.fr [Univ. Grenoble Alpes, INAC-SPINTEC, F-38000 Grenoble (France); CNRS, SPINTEC, F-38000 Grenoble (France); CEA, INAC-SPINTEC, F-38000 Grenoble (France); Beigne, E., E-mail: gregory.dipendina@cea.fr, E-mail: eldar.zianbetov@cea.fr, E-mail: edith.beigne@cea.fr [Univ. Grenoble Alpes, CEA, LETI, F-38000 Grenoble (France)

    2015-05-07

    Micro and nano electronic integrated circuit domain is today mainly driven by the advent of the Internet of Things for which the constraints are strong, especially in terms of power consumption and autonomy, not only during the computing phases but also during the standby or idle phases. In such ultra-low power applications, the circuit has to meet new constraints mainly linked to its changing energetic environment: long idle phases, automatic wake up, data back-up when the circuit is sporadically turned off, and ultra-low voltage power supply operation. Such circuits have to be completely autonomous regarding their unstable environment, while remaining in an optimum energetic configuration. Therefore, we propose in this paper the first MRAM-based non-volatile asynchronous Muller cell. This cell has been simulated and characterized in a very advanced 28 nm CMOS fully depleted silicon-on-insulator technology, presenting good power performance results due to an extremely efficient body biasing control together with ultra-wide supply voltage range from 160 mV up to 920 mV. The leakage current can be reduced to 154 pA thanks to reverse body biasing. We also propose an efficient standard CMOS bulk version of this cell in order to be compatible with different fabrication processes.

  4. Magnetic Random Access Memory based non-volatile asynchronous Muller cell for ultra-low power autonomous applications

    International Nuclear Information System (INIS)

    Di Pendina, G.; Zianbetov, E.; Beigne, E.

    2015-01-01

    Micro and nano electronic integrated circuit domain is today mainly driven by the advent of the Internet of Things for which the constraints are strong, especially in terms of power consumption and autonomy, not only during the computing phases but also during the standby or idle phases. In such ultra-low power applications, the circuit has to meet new constraints mainly linked to its changing energetic environment: long idle phases, automatic wake up, data back-up when the circuit is sporadically turned off, and ultra-low voltage power supply operation. Such circuits have to be completely autonomous regarding their unstable environment, while remaining in an optimum energetic configuration. Therefore, we propose in this paper the first MRAM-based non-volatile asynchronous Muller cell. This cell has been simulated and characterized in a very advanced 28 nm CMOS fully depleted silicon-on-insulator technology, presenting good power performance results due to an extremely efficient body biasing control together with ultra-wide supply voltage range from 160 mV up to 920 mV. The leakage current can be reduced to 154 pA thanks to reverse body biasing. We also propose an efficient standard CMOS bulk version of this cell in order to be compatible with different fabrication processes

  5. Embedded Memory Hierarchy Exploration Based on Magnetic Random Access Memory

    Directory of Open Access Journals (Sweden)

    Luís Vitório Cargnini

    2014-08-01

    Full Text Available Static random access memory (SRAM is the most commonly employed semiconductor in the design of on-chip processor memory. However, it is unlikely that the SRAM technology will have a cell size that will continue to scale below 45 nm, due to the leakage current that is caused by the quantum tunneling effect. Magnetic random access memory (MRAM is a candidate technology to replace SRAM, assuming appropriate dimensioning given an operating threshold voltage. The write current of spin transfer torque (STT-MRAM is a known limitation; however, this has been recently mitigated by leveraging perpendicular magnetic tunneling junctions. In this article, we present a comprehensive comparison of spin transfer torque-MRAM (STT-MRAM and SRAM cache set banks. The non-volatility of STT-MRAM allows the definition of new instant on/off policies and leakage current optimizations. Through our experiments, we demonstrate that STT-MRAM is a candidate for the memory hierarchy of embedded systems, due to the higher densities and reduced leakage of MRAM.We demonstrate that adopting STT-MRAM in L1 and L2 caches mitigates the impact of higher write latencies and increased current draw due to the use of MRAM. With the correct system-on-chip (SoC design, we believe that STT-MRAM is a viable alternative to SRAM, which minimizes leakage current and the total power consumed by the SoC.

  6. Non-Host Plant Volatiles Disrupt Sex Pheromone Communication in a Specialist Herbivore

    OpenAIRE

    Wang, Fumin; Deng, Jianyu; Schal, Coby; Lou, Yonggen; Zhou, Guoxin; Ye, Bingbing; Yin, Xiaohui; Xu, Zhihong; Shen, Lize

    2016-01-01

    The ecological effects of plant volatiles on herbivores are manifold. Little is known, however, about the impacts of non-host plant volatiles on intersexual pheromonal communication in specialist herbivores. We tested the effects of several prominent constitutive terpenoids released by conifers and Eucalyptus trees on electrophysiological and behavioral responses of an oligophagous species, Plutella xylostella, which feeds on Brassicaceae. The non-host plant volatile terpenoids adversely affe...

  7. Measurements of non-volatile aerosols with a VTDMA and their correlations with carbonaceous aerosols in Guangzhou, China

    Science.gov (United States)

    Cheung, Heidi H. Y.; Tan, Haobo; Xu, Hanbing; Li, Fei; Wu, Cheng; Yu, Jian Z.; Chan, Chak K.

    2016-07-01

    Simultaneous measurements of aerosol volatility and carbonaceous matters were conducted at a suburban site in Guangzhou, China, in February and March 2014 using a volatility tandem differential mobility analyzer (VTDMA) and an organic carbon/elemental carbon (OC / EC) analyzer. Low volatility (LV) particles, with a volatility shrink factor (VSF) at 300 °C exceeding 0.9, contributed 5 % of number concentrations of the 40 nm particles and 11-15 % of the 80-300 nm particles. They were composed of non-volatile material externally mixed with volatile material, and therefore did not evaporate significantly at 300 °C. Non-volatile material mixed internally with the volatile material was referred to as medium volatility (MV, 0.4 transported at low altitudes (below 1500 m) for over 40 h before arrival. Further comparison with the diurnal variations in the mass fractions of EC and the non-volatile OC in PM2.5 suggests that the non-volatile residuals may be related to both EC and non-volatile OC in the afternoon, during which the concentration of aged organics increased. A closure analysis of the total mass of LV and MV residuals and the mass of EC or the sum of EC and non-volatile OC was conducted. It suggests that non-volatile OC, in addition to EC, was one of the components of the non-volatile residuals measured by the VTDMA in this study.

  8. Large non-volatile tuning of magnetism mediated by electric field in Fe–Al/Pb(Mg1/3Nb2/3)O3–PbTiO3 heterostructure

    International Nuclear Information System (INIS)

    Chen, Zhendong; Gao, Cunxu; Wei, Yanping; Zhang, Peng; Wang, Yutian; Zhang, Chao; Ma, Zhikun

    2017-01-01

    Electric-field control of magnetism is now an attractive trend to approach a new kind of fast, low-power-cost memory device. In this work, we report a strong non-volatile electric control of magnetism in an Fe–Al/Pb(Mg 1/3 Nb 2/3 )O 3 –PbTiO 3 heterostructure. In this system, a 90° rotation of the in-plane uniaxial magnetic anisotropy is exhibited during the increase of the external electric field, which means the easy axis turns into a hard axis and the hard axis turns into an easy one. Additionally, a non-volatile switch of the remanence is observed after a sweeping of the electric field from 0 kV cm −1 to  ±  10 kV cm −1 , then back to 0 kV cm −1 . More interestingly, a 20% non-volatile magnetic state tuning driven by individual pulse electric fields is shown in contrast to large tuning up to 120% caused by pulse electric fields with small assistant pulse magnetic fields, which means a 180° reverse of the magnetization. These remarkable behaviors demonstrated in this heterostructure reveal a promising potential application in magnetic memory devices mediated by electric fields. (paper)

  9. Electric Field Tuning Non-volatile Magnetism in Half-Metallic Alloys Co2FeAl/Pb(Mg1/3Nb2/3)O3-PbTiO3 Heterostructure

    Science.gov (United States)

    Dunzhu, Gesang; Wang, Fenglong; Zhou, Cai; Jiang, Changjun

    2018-03-01

    We reported the non-volatile electric field-mediated magnetic properties in the half-metallic Heusler alloy Co2FeAl/Pb(Mg1/3Nb2/3)O3-PbTiO3 heterostructure at room temperature. The remanent magnetization with different applied electric field along [100] and [01-1] directions was achieved, which showed the non-volatile remanent magnetization driven by an electric field. The two giant reversible and stable remanent magnetization states were obtained by applying pulsed electric field. This can be attributed to the piezostrain effect originating from the piezoelectric substrate, which can be used for magnetoelectric-based memory devices.

  10. DESTINY: A Comprehensive Tool with 3D and Multi-Level Cell Memory Modeling Capability

    Directory of Open Access Journals (Sweden)

    Sparsh Mittal

    2017-09-01

    Full Text Available To enable the design of large capacity memory structures, novel memory technologies such as non-volatile memory (NVM and novel fabrication approaches, e.g., 3D stacking and multi-level cell (MLC design have been explored. The existing modeling tools, however, cover only a few memory technologies, technology nodes and fabrication approaches. We present DESTINY, a tool for modeling 2D/3D memories designed using SRAM, resistive RAM (ReRAM, spin transfer torque RAM (STT-RAM, phase change RAM (PCM and embedded DRAM (eDRAM and 2D memories designed using spin orbit torque RAM (SOT-RAM, domain wall memory (DWM and Flash memory. In addition to single-level cell (SLC designs for all of these memories, DESTINY also supports modeling MLC designs for NVMs. We have extensively validated DESTINY against commercial and research prototypes of these memories. DESTINY is very useful for performing design-space exploration across several dimensions, such as optimizing for a target (e.g., latency, area or energy-delay product for a given memory technology, choosing the suitable memory technology or fabrication method (i.e., 2D v/s 3D for a given optimization target, etc. We believe that DESTINY will boost studies of next-generation memory architectures used in systems ranging from mobile devices to extreme-scale supercomputers. The latest source-code of DESTINY is available from the following git repository: https://bitbucket.org/sparshmittal/destinyv2.

  11. Field-effect transistor memories based on ferroelectric polymers

    Science.gov (United States)

    Zhang, Yujia; Wang, Haiyang; Zhang, Lei; Chen, Xiaomeng; Guo, Yu; Sun, Huabin; Li, Yun

    2017-11-01

    Field-effect transistors based on ferroelectrics have attracted intensive interests, because of their non-volatile data retention, rewritability, and non-destructive read-out. In particular, polymeric materials that possess ferroelectric properties are promising for the fabrications of memory devices with high performance, low cost, and large-area manufacturing, by virtue of their good solubility, low-temperature processability, and good chemical stability. In this review, we discuss the material characteristics of ferroelectric polymers, providing an update on the current development of ferroelectric field-effect transistors (Fe-FETs) in non-volatile memory applications. Program supported partially by the NSFC (Nos. 61574074, 61774080), NSFJS (No. BK20170075), and the Open Partnership Joint Projects of NSFC-JSPS Bilateral Joint Research Projects (No. 61511140098).

  12. Silicon photonic integrated circuits with electrically programmable non-volatile memory functions.

    Science.gov (United States)

    Song, J-F; Lim, A E-J; Luo, X-S; Fang, Q; Li, C; Jia, L X; Tu, X-G; Huang, Y; Zhou, H-F; Liow, T-Y; Lo, G-Q

    2016-09-19

    Conventional silicon photonic integrated circuits do not normally possess memory functions, which require on-chip power in order to maintain circuit states in tuned or field-configured switching routes. In this context, we present an electrically programmable add/drop microring resonator with a wavelength shift of 426 pm between the ON/OFF states. Electrical pulses are used to control the choice of the state. Our experimental results show a wavelength shift of 2.8 pm/ms and a light intensity variation of ~0.12 dB/ms for a fixed wavelength in the OFF state. Theoretically, our device can accommodate up to 65 states of multi-level memory functions. Such memory functions can be integrated into wavelength division mutiplexing (WDM) filters and applied to optical routers and computing architectures fulfilling large data downloading demands.

  13. Flash memories economic principles of performance, cost and reliability optimization

    CERN Document Server

    Richter, Detlev

    2014-01-01

    The subject of this book is to introduce a model-based quantitative performance indicator methodology applicable for performance, cost and reliability optimization of non-volatile memories. The complex example of flash memories is used to introduce and apply the methodology. It has been developed by the author based on an industrial 2-bit to 4-bit per cell flash development project. For the first time, design and cost aspects of 3D integration of flash memory are treated in this book. Cell, array, performance and reliability effects of flash memories are introduced and analyzed. Key performance parameters are derived to handle the flash complexity. A performance and array memory model is developed and a set of performance indicators characterizing architecture, cost and durability is defined.   Flash memories are selected to apply the Performance Indicator Methodology to quantify design and technology innovation. A graphical representation based on trend lines is introduced to support a requirement based pr...

  14. Generalized Fractional Processes with Long Memory and Time Dependent Volatility Revisited

    Directory of Open Access Journals (Sweden)

    M. Shelton Peiris

    2016-09-01

    Full Text Available In recent years, fractionally-differenced processes have received a great deal of attention due to their flexibility in financial applications with long-memory. This paper revisits the class of generalized fractionally-differenced processes generated by Gegenbauer polynomials and the ARMA structure (GARMA with both the long-memory and time-dependent innovation variance. We establish the existence and uniqueness of second-order solutions. We also extend this family with innovations to follow GARCH and stochastic volatility (SV. Under certain regularity conditions, we give asymptotic results for the approximate maximum likelihood estimator for the GARMA-GARCH model. We discuss a Monte Carlo likelihood method for the GARMA-SV model and investigate finite sample properties via Monte Carlo experiments. Finally, we illustrate the usefulness of this approach using monthly inflation rates for France, Japan and the United States.

  15. Non-Host Plant Volatiles Disrupt Sex Pheromone Communication in a Specialist Herbivore.

    Science.gov (United States)

    Wang, Fumin; Deng, Jianyu; Schal, Coby; Lou, Yonggen; Zhou, Guoxin; Ye, Bingbing; Yin, Xiaohui; Xu, Zhihong; Shen, Lize

    2016-09-02

    The ecological effects of plant volatiles on herbivores are manifold. Little is known, however, about the impacts of non-host plant volatiles on intersexual pheromonal communication in specialist herbivores. We tested the effects of several prominent constitutive terpenoids released by conifers and Eucalyptus trees on electrophysiological and behavioral responses of an oligophagous species, Plutella xylostella, which feeds on Brassicaceae. The non-host plant volatile terpenoids adversely affected the calling behavior (pheromone emission) of adult females, and the orientation responses of adult males to sex pheromone were also significantly inhibited by these terpenoids in a wind tunnel and in the field. We suggest that disruption of both pheromone emission and orientation to sex pheromone may explain, at least in part, an observed reduction in herbivore attack in polyculture compared with monoculture plantings. We also propose that mating disruption of both male and female moths with non-host plant volatiles may be a promising alternative pest management strategy.

  16. Non-Host Plant Volatiles Disrupt Sex Pheromone Communication in a Specialist Herbivore

    Science.gov (United States)

    Wang, Fumin; Deng, Jianyu; Schal, Coby; Lou, Yonggen; Zhou, Guoxin; Ye, Bingbing; Yin, Xiaohui; Xu, Zhihong; Shen, Lize

    2016-01-01

    The ecological effects of plant volatiles on herbivores are manifold. Little is known, however, about the impacts of non-host plant volatiles on intersexual pheromonal communication in specialist herbivores. We tested the effects of several prominent constitutive terpenoids released by conifers and Eucalyptus trees on electrophysiological and behavioral responses of an oligophagous species, Plutella xylostella, which feeds on Brassicaceae. The non-host plant volatile terpenoids adversely affected the calling behavior (pheromone emission) of adult females, and the orientation responses of adult males to sex pheromone were also significantly inhibited by these terpenoids in a wind tunnel and in the field. We suggest that disruption of both pheromone emission and orientation to sex pheromone may explain, at least in part, an observed reduction in herbivore attack in polyculture compared with monoculture plantings. We also propose that mating disruption of both male and female moths with non-host plant volatiles may be a promising alternative pest management strategy. PMID:27585907

  17. Volatile and Nonvolatile Characteristics of Asymmetric Dual-Gate Thyristor RAM with Vertical Structure.

    Science.gov (United States)

    Kim, Hyun-Min; Kwon, Dae Woong; Kim, Sihyun; Lee, Kitae; Lee, Junil; Park, Euyhwan; Lee, Ryoongbin; Kim, Hyungjin; Kim, Sangwan; Park, Byung-Gook

    2018-09-01

    In this paper, the volatile and nonvolatile characteristics of asymmetric dual-gate thyristor random access memory (TRAM) are investigated using the technology of a computer-aided design (TCAD) simulation. Owing to the use of two independent gates having different gate dielectric layers, volatile and nonvolatile memory functions can be realized in a single device. The first gate with a silicon oxide layer controls the one-transistor dynamic random access memory (1T-DRAM) characteristics of the device. From the simulation results, a rapid write speed (107) can be achieved. The second gate, whose dielectric material is composed of oxide/nitride/oxide (O/N/O) layers, is used to implement the nonvolatile property by trapping charges in the nitride layer. In addition, this offers an advantage when processing the 3D-stack memory application, as the device has a vertical channel structure with polycrystalline silicon.

  18. Multiscaling and clustering of volatility

    Science.gov (United States)

    Pasquini, Michele; Serva, Maurizio

    1999-07-01

    The dynamics of prices in stock markets has been studied intensively both experimentally (data analysis) and theoretically (models). Nevertheless, while the distribution of returns of the most important indices is known to be a truncated Lévy, the behaviour of volatility correlations is still poorly understood. What is well known is that absolute returns have memory on a long time range, this phenomenon is known in financial literature as clustering of volatility. In this paper we show that volatility correlations are power laws with a non-unique scaling exponent. This kind of multiscale phenomenology is known to be relevant in fully developed turbulence and in disordered systems and it is pointed out here for the first time for a financial series. In our study we consider the New York Stock Exchange (NYSE) daily index, from January 1966 to June 1998, for a total of 8180 working days.

  19. Measurements of non-volatile aerosols with a VTDMA and their correlations with carbonaceous aerosols in Guangzhou, China

    Directory of Open Access Journals (Sweden)

    H. H. Y. Cheung

    2016-07-01

    Full Text Available Simultaneous measurements of aerosol volatility and carbonaceous matters were conducted at a suburban site in Guangzhou, China, in February and March 2014 using a volatility tandem differential mobility analyzer (VTDMA and an organic carbon/elemental carbon (OC ∕ EC analyzer. Low volatility (LV particles, with a volatility shrink factor (VSF at 300 °C exceeding 0.9, contributed 5 % of number concentrations of the 40 nm particles and 11–15 % of the 80–300 nm particles. They were composed of non-volatile material externally mixed with volatile material, and therefore did not evaporate significantly at 300 °C. Non-volatile material mixed internally with the volatile material was referred to as medium volatility (MV, 0.4  <  VSF  <  0.9 and high volatility (HV, VSF  <  0.4 particles. The MV and HV particles contributed 57–71 % of number concentration for the particles between 40 and 300 nm in size. The average EC and OC concentrations measured by the OC ∕ EC analyzer were 3.4 ± 3.0 and 9.0 ± 6.0 µg m−3, respectively. Non-volatile OC evaporating at 475 °C or above, together with EC, contributed 67 % of the total carbon mass. In spite of the daily maximum and minimum, the diurnal variations in the volume fractions of the volatile material, HV, MV and LV residuals were less than 15 % for the 80–300 nm particles. Back trajectory analysis also suggests that over 90 % of the air masses influencing the sampling site were well aged as they were transported at low altitudes (below 1500 m for over 40 h before arrival. Further comparison with the diurnal variations in the mass fractions of EC and the non-volatile OC in PM2.5 suggests that the non-volatile residuals may be related to both EC and non-volatile OC in the afternoon, during which the concentration of aged organics increased. A closure analysis of the total mass of LV and MV residuals and the mass of EC or the

  20. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  1. Occurence and dietary exposure of volatile and non-volatile N-Nitrosamines in processed meat products

    DEFF Research Database (Denmark)

    Herrmann, Susan Strange; Duedahl-Olesen, Lene; Granby, Kit

    Nitrite and nitrate have for many decades been used for preservation of meat. However, nitrite can react with secondary amines in meat to form N-Nitrosamines (NAs), many of which have been shown to be genotoxic1 . The use of nitrite therefore ought to be limited as much as possible. To maintain...... a high level of consumer protection Denmark obtains National low limits of the nitrite use in meat products. An estimation of the dietary exposure to volatile NAs (VNA) and non-volatile NAs (NVNA) is necessary when performing a risk assessment of the use of nitrite and nitrate for meat preservation....

  2. The information content of implied volatilities of options on eurodeposit futures traded on the LIFFE: is there long memory?

    OpenAIRE

    Cifarelli, giulio

    2002-01-01

    Under rather general conditions Black - Scholes implied volatilities from at-the-money options appropriately quantify, in each period, the market expectations of the average volatility of the return of the underlying asset until contract expiration. The efficiency of these expectation estimates is investigated here, for options on two major short term interest rate futures contracts traded at the LIFFE, using a long memory framework. Over the 1993 – 1997 time interval the performance of im...

  3. Detection of Volatile Compounds Emitted from Nasal Secretions and Serum: Towards Non-Invasive Identification of Diseased Cattle Biomarkers

    Directory of Open Access Journals (Sweden)

    Devin L. Maurer

    2018-03-01

    Full Text Available Non-invasive diagnostics and finding biomarkers of disease in humans have been a very active research area. Some of the analytical technologies used for finding biomarkers of human disease are finding their use in livestock. Non-invasive sample collection from diseased cattle using breath and headspace of fecal samples have been reported. In this work, we explore the use of volatile organic compounds (VOCs emitted from bovine nasal secretions and serum for finding biomarkers for bovine respiratory disease (BRD. One hundred nasal swabs and 100 serum samples (n = 50 for both ‘sick’ and ‘healthy’ were collected at the time of treatment for suspected BRD. Solid-phase microextraction (SPME was used to collect headspace samples that were analyzed using gas chromatography-mass spectrometry (GC-MS. It was possible to separate sick cattle using non-invasive analyses of nasal swabs and also serum samples by analyzing and comparing volatiles emitted from each group of samples. Four volatile compounds were found to be statistically significantly different between ‘sick’ and ‘normal’ cattle nasal swabs samples. Five volatile compounds were found to be significantly different between ‘sick’ and ‘normal’ cattle serum samples, with phenol being the common marker. Future studies are warranted to improve the extraction efficiency targeting VOCs preliminarily identified in this study. These findings bring us closer to the long-term goal of real-time, animal-side detection and separation of sick cattle.

  4. Scaling Techniques for Massive Scale-Free Graphs in Distributed (External) Memory

    KAUST Repository

    Pearce, Roger; Gokhale, Maya; Amato, Nancy M.

    2013-01-01

    We present techniques to process large scale-free graphs in distributed memory. Our aim is to scale to trillions of edges, and our research is targeted at leadership class supercomputers and clusters with local non-volatile memory, e.g., NAND Flash

  5. Non-pharmacological intervention for memory decline

    Directory of Open Access Journals (Sweden)

    Maria eCotelli

    2012-03-01

    Full Text Available Non-pharmacological treatment of memory difficulties in healthy older adults, as well as those with brain damage and neurodegenerative disorders, has gained much attention in recent years (Ball et al., 2002, Willis et al., 2006, Acevedo and Loewenstein, 2007. The two main reasons that explain this growing interest in memory rehabilitation are the limited efficacy of current drug therapies and the plasticity of the human central nervous system (Cotelli et al., 2011c and the discovery that during aging, the connections in the brain are not fixed but retain the capacity to change with learning.Moreover, several studies have reported enhanced cognitive performance in patients with neurological disease, following non-invasive brain stimulation (i.e., repetitive transcranial magnetic stimulation (rTMS and transcranial direct current stimulation (tDCS to specific cortical areas. The present review provides an overview of memory rehabilitation in individuals with Mild Cognitive Impairment (MCI and in patients with Alzheimer’s Disease (AD with particular regard to cognitive rehabilitation interventions focused on memory and non-invasive brain stimulation. Reviewed data suggest that in patients with memory deficits, memory intervention therapy could lead to performance improvements in memory, nevertheless further studies need to be conducted in order to establish the real value of this approach.

  6. Magnetization Dynamics in Two Novel Current-Driven Spintronic Memory Cell Structures

    KAUST Repository

    Velazquez-Rizo, Martin

    2017-07-01

    In this work, two new spintronic memory cell structures are proposed. The first cell uses the diffusion of polarized spins into ferromagnets with perpendicular anisotropy to tilt their magnetization followed by their dipolar coupling to a fixed magnet (Bhowmik et al., 2014). The possibility of setting the magnetization to both stable magnetization states in a controlled manner using a similar concept remains unknown, but the proposed structure poses to be a solution to this difficulty. The second cell proposed takes advantage of the multiple stable magnetic states that exist in ferromagnets with configurational anisotropy and also uses spin torques to manipulate its magnetization. It utilizes a square-shaped ferromagnet whose stable magnetization has preferred directions along the diagonals of the square, giving four stable magnetic states allowing to use the structure as a multi-bit memory cell. Both devices use spin currents generated in heavy metals by the Spin Hall effect present in these materials. Among the advantages of the structures proposed are their inherent non-volatility and the fact that there is no need for applying external magnetic fields during their operation, which drastically improves the energy efficiency of the devices. Computational simulations using the Object Oriented Micromagnetic Framework (OOMMF) software package were performed to study the dynamics of the magnetization process in both structures and predict their behavior. Besides, we fabricated a 4-terminal memory cell with configurational anisotropy similar to the device proposed, and found four stable resistive states on the structure, proving the feasibility of this technology for implementation of high-density, non-volatile memory cells.

  7. Enhanced non-volatile and updatable holography using a polymer composite system.

    Science.gov (United States)

    Wu, Pengfei; Sun, Sam Q; Baig, Sarfaraz; Wang, Michael R

    2012-03-12

    Updatable holography is considered as the ultimate technique for true 3D information recording and display. However, there is no practical solution to preserve the required features of both non-volatility and reversibility which conflict with each other when the reading has the same wavelength as the recording. We demonstrate a non-volatile and updatable holographic approach by exploiting new features of molecular transformations in a polymer recording system. In addition, by using a new composite recording film containing photo-reconfigurable liquid-crystal (LC) polymer, the holographic recording is enhanced due to the collective reorientation of LC molecules around the reconfigured polymer chains.

  8. Level Shifts in Volatility and the Implied-Realized Volatility Relation

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; de Magistris, Paolo Santucci

    We propose a simple model in which realized stock market return volatility and implied volatility backed out of option prices are subject to common level shifts corresponding to movements between bull and bear markets. The model is estimated using the Kalman filter in a generalization to the mult......We propose a simple model in which realized stock market return volatility and implied volatility backed out of option prices are subject to common level shifts corresponding to movements between bull and bear markets. The model is estimated using the Kalman filter in a generalization...... to the multivariate case of the univariate level shift technique by Lu and Perron (2008). An application to the S&P500 index and a simulation experiment show that the recently documented empirical properties of strong persistence in volatility and forecastability of future realized volatility from current implied...... volatility, which have been interpreted as long memory (or fractional integration) in volatility and fractional cointegration between implied and realized volatility, are accounted for by occasional common level shifts....

  9. Stochastic volatility of volatility in continuous time

    DEFF Research Database (Denmark)

    Barndorff-Nielsen, Ole; Veraart, Almut

    This paper introduces the concept of stochastic volatility of volatility in continuous time and, hence, extends standard stochastic volatility (SV) models to allow for an additional source of randomness associated with greater variability in the data. We discuss how stochastic volatility...... of volatility can be defined both non-parametrically, where we link it to the quadratic variation of the stochastic variance process, and parametrically, where we propose two new SV models which allow for stochastic volatility of volatility. In addition, we show that volatility of volatility can be estimated...

  10. Contamination aspects in integrating high dielectric constant and ferroelectric materials into CMOS processes

    OpenAIRE

    Boubekeur, Hocine

    2004-01-01

    n memory technology, new materials are being intensively investigated to overcome the integration limits of conventional dielectrics for Giga-bit scale integration, or to be able to produce new types of non-volatile low power memories such as FeRAM. Perovskite type high dielectric constant films for use in Giga-bit scale memories or layered perovskite films for use in non-volatile memories involve materials to semiconductor process flows, which entail a high risk of contamination. The introdu...

  11. Assistive technology for memory support in dementia.

    Science.gov (United States)

    Van der Roest, Henriëtte G; Wenborn, Jennifer; Pastink, Channah; Dröes, Rose-Marie; Orrell, Martin

    2017-06-11

    maintained by the Information Specialists of the CDCIG and contains studies in the areas of dementia prevention, dementia treatment and cognitive enhancement in healthy people. We also searched the following list of databases, adapting the search strategy as necessary: Centre for Reviews and Dissemination (CRD) Databases, up to May 2016; The Collection of Computer Science Bibliographies; DBLP Computer Science Bibliography; HCI Bibliography: Human-Computer Interaction Resources; and AgeInfo, all to June 2016; PiCarta; Inspec; Springer Link Lecture Notes; Social Care Online; and IEEE Computer Society Digital Library, all to October 2016; J-STAGE: Japan Science and Technology Information Aggregator, Electronic; and Networked Computer Science Technical Reference Library (NCSTRL), both to November 2016; Computing Research Repository (CoRR) up to December 2016; and OT seeker; and ADEAR, both to February 2017. In addition, we searched Google Scholar and OpenSIGLE for grey literature. We intended to review randomised controlled trials (RCTs) and clustered randomised trials with blinded assessment of outcomes that evaluated an electronic assistive device used with the single aim of supporting memory function in people diagnosed with dementia. The control interventions could either be 'care (or treatment) as usual' or non-technological psychosocial interventions (including interventions that use non-electronic assistive devices) also specifically aimed at supporting memory. Outcome measures included activities of daily living, level of dependency, clinical and care-related outcomes (for example admission to long-term care), perceived quality of life and well-being, and adverse events resulting from the use of AT; as well as the effects of AT on carers. Two review authors independently screened all titles and abstracts identified by the search. We identified no studies which met the inclusion criteria. This review highlights the current lack of high-quality evidence to determine

  12. Magnetic vortex racetrack memory

    Science.gov (United States)

    Geng, Liwei D.; Jin, Yongmei M.

    2017-02-01

    We report a new type of racetrack memory based on current-controlled movement of magnetic vortices in magnetic nanowires with rectangular cross-section and weak perpendicular anisotropy. Data are stored through the core polarity of vortices and each vortex carries a data bit. Besides high density, non-volatility, fast data access, and low power as offered by domain wall racetrack memory, magnetic vortex racetrack memory has additional advantages of no need for constrictions to define data bits, changeable information density, adjustable current magnitude for data propagation, and versatile means of ultrafast vortex core switching. By using micromagnetic simulations, current-controlled motion of magnetic vortices in cobalt nanowire is demonstrated for racetrack memory applications.

  13. Threshold-voltage modulated phase change heterojunction for application of high density memory

    International Nuclear Information System (INIS)

    Yan, Baihan; Tong, Hao; Qian, Hang; Miao, Xiangshui

    2015-01-01

    Phase change random access memory is one of the most important candidates for the next generation non-volatile memory technology. However, the ability to reduce its memory size is compromised by the fundamental limitations inherent in the CMOS technology. While 0T1R configuration without any additional access transistor shows great advantages in improving the storage density, the leakage current and small operation window limit its application in large-scale arrays. In this work, phase change heterojunction based on GeTe and n-Si is fabricated to address those problems. The relationship between threshold voltage and doping concentration is investigated, and energy band diagrams and X-ray photoelectron spectroscopy measurements are provided to explain the results. The threshold voltage is modulated to provide a large operational window based on this relationship. The switching performance of the heterojunction is also tested, showing a good reverse characteristic, which could effectively decrease the leakage current. Furthermore, a reliable read-write-erase function is achieved during the tests. Phase change heterojunction is proposed for high-density memory, showing some notable advantages, such as modulated threshold voltage, large operational window, and low leakage current

  14. Threshold-voltage modulated phase change heterojunction for application of high density memory

    Science.gov (United States)

    Yan, Baihan; Tong, Hao; Qian, Hang; Miao, Xiangshui

    2015-09-01

    Phase change random access memory is one of the most important candidates for the next generation non-volatile memory technology. However, the ability to reduce its memory size is compromised by the fundamental limitations inherent in the CMOS technology. While 0T1R configuration without any additional access transistor shows great advantages in improving the storage density, the leakage current and small operation window limit its application in large-scale arrays. In this work, phase change heterojunction based on GeTe and n-Si is fabricated to address those problems. The relationship between threshold voltage and doping concentration is investigated, and energy band diagrams and X-ray photoelectron spectroscopy measurements are provided to explain the results. The threshold voltage is modulated to provide a large operational window based on this relationship. The switching performance of the heterojunction is also tested, showing a good reverse characteristic, which could effectively decrease the leakage current. Furthermore, a reliable read-write-erase function is achieved during the tests. Phase change heterojunction is proposed for high-density memory, showing some notable advantages, such as modulated threshold voltage, large operational window, and low leakage current.

  15. A Non-Targeted Approach Unravels the Volatile Network in Peach Fruit

    Science.gov (United States)

    Sánchez, Gerardo; Besada, Cristina; Badenes, María Luisa; Monforte, Antonio José; Granell, Antonio

    2012-01-01

    Volatile compounds represent an important part of the plant metabolome and are of particular agronomic and biological interest due to their contribution to fruit aroma and flavor and therefore to fruit quality. By using a non-targeted approach based on HS-SPME-GC-MS, the volatile-compound complement of peach fruit was described. A total of 110 volatile compounds (including alcohols, ketones, aldehydes, esters, lactones, carboxylic acids, phenolics and terpenoids) were identified and quantified in peach fruit samples from different genetic backgrounds, locations, maturity stages and physiological responses. By using a combination of hierarchical cluster analysis and metabolomic correlation network analysis we found that previously known peach fruit volatiles are clustered according to their chemical nature or known biosynthetic pathways. Moreover, novel volatiles that had not yet been described in peach were identified and assigned to co-regulated groups. In addition, our analyses showed that most of the co-regulated groups showed good intergroup correlations that are therefore consistent with the existence of a higher level of regulation orchestrating volatile production under different conditions and/or developmental stages. In addition, this volatile network of interactions provides the ground information for future biochemical studies as well as a useful route map for breeding or biotechnological purposes. PMID:22761719

  16. A non-destructive crossbar architecture of multi-level memory-based resistor

    Science.gov (United States)

    Sahebkarkhorasani, Seyedmorteza

    Nowadays, researchers are trying to shrink the memory cell in order to increase the capacity of the memory system and reduce the hardware costs. In recent years, there has been a revolution in electronics by using fundamentals of physics to build a new memory for computer application in order to increase the capacity and decrease the power consumption. Increasing the capacity of the memory causes a growth in the chip area. From 1971 to 2012 semiconductor manufacturing process improved from 6mum to 22 mum. In May 2008, S.Williams stated that "it is time to stop shrinking". In his paper, he declared that the process of shrinking memory element has recently become very slow and it is time to use another alternative in order to create memory elements [9]. In this project, we present a new design of a memory array using the new element named Memristor [3]. Memristor is a two-terminal passive electrical element that relates the charge and magnetic flux to each other. The device remained unknown since 1971 when it was discovered by Chua and introduced as the fourth fundamental passive element like capacitor, inductor and resistor [3]. Memristor has a dynamic resistance and it can retain its previous value even after disconnecting the power supply. Due to this interesting behavior of the Memristor, it can be a good replacement for all of the Non-Volatile Memories (NVMs) in the near future. Combination of this newly introduced element with the nanowire crossbar architecture would be a great structure which is called Crossbar Memristor. Some frameworks have recently been introduced in literature that utilized Memristor crossbar array, but there are many challenges to implement the Memristor crossbar array due to fabrication and device limitations. In this work, we proposed a simple design of Memristor crossbar array architecture which uses input feedback in order to preserve its data after each read operation.

  17. Dietary exposure to volatile and non-volatile N-nitrosamines from processed meat products in Denmark

    DEFF Research Database (Denmark)

    Herrmann, Susan Strange; Duedahl-Olesen, Lene; Christensen, Tue

    2015-01-01

    the carcinogenicity for the majority of the non-volatile NA (NVNA) remains to be elucidated. Danish adults (15–75 years) and children (4–6 years) consume 20 g and 16 g of processed meat per day (95th percentile), respectively. The consumption is primarily accounted for by sausages, salami, pork flank (spiced...

  18. Scientific developments of liquid crystal-based optical memory: a review

    Science.gov (United States)

    Prakash, Jai; Chandran, Achu; Biradar, Ashok M.

    2017-01-01

    The memory behavior in liquid crystals (LCs), although rarely observed, has made very significant headway over the past three decades since their discovery in nematic type LCs. It has gone from a mere scientific curiosity to application in variety of commodities. The memory element formed by numerous LCs have been protected by patents, and some commercialized, and used as compensation to non-volatile memory devices, and as memory in personal computers and digital cameras. They also have the low cost, large area, high speed, and high density memory needed for advanced computers and digital electronics. Short and long duration memory behavior for industrial applications have been obtained from several LC materials, and an LC memory with interesting features and applications has been demonstrated using numerous LCs. However, considerable challenges still exist in searching for highly efficient, stable, and long-lifespan materials and methods so that the development of useful memory devices is possible. This review focuses on the scientific and technological approach of fascinating applications of LC-based memory. We address the introduction, development status, novel design and engineering principles, and parameters of LC memory. We also address how the amalgamation of LCs could bring significant change/improvement in memory effects in the emerging field of nanotechnology, and the application of LC memory as the active component for futuristic and interesting memory devices.

  19. The properties of realized volatility and realized correlation: Evidence from the Indian stock market

    Science.gov (United States)

    Gkillas (Gillas), Konstantinos; Vortelinos, Dimitrios I.; Saha, Shrabani

    2018-02-01

    This paper investigates the properties of realized volatility and correlation series in the Indian stock market by employing daily data converting to monthly frequency of five different stock indices from January 2, 2006 to November 30, 2014. Using non-parametric estimation technique the properties examined include normality, long-memory, asymmetries, jumps, and heterogeneity. The realized volatility is a useful technique which provides a relatively accurate measure of volatility based on the actual variance which is beneficial for asset management in particular for non-speculative funds. The results show that realized volatility and correlation series are not normally distributed, with some evidence of persistence. Asymmetries are also evident in both volatilities and correlations. Both jumps and heterogeneity properties are significant; whereas, the former is more significant than the latter. The findings show that properties of volatilities and correlations in Indian stock market have similarities as that show in the stock markets in developed countries such as the stock market in the United States which is more prevalent for speculative business traders.

  20. Effect of neutron and gamma irradiation on magnetic bubble memories

    International Nuclear Information System (INIS)

    Cambou, B.

    1981-06-01

    Many years of research preceeded the introduction of magnetic bubble memories (M.B.M.) into the memory components market. They are used as bulk storage memories principally for their non volatile characteristics under irradiation. A physical and technological description of MBM is given in the first part of the text together with the results of work on their vulnerability when subjected to irradiation. Permanent damage caused by neutrons and gamma radiation on thin magnetic layers is then studied. A theoretical analysis on the stability of bubbles based on the results of pulsed laser experiments is given. The stability of the information stored in a commercially available MBM subjected to neutron and gamma irradiation (MBM - TIB 203 of 92 kBits, Texas) is described in the last part of the text. The vulnerability thresholds determined for the MBM are too high for them to be used in a radioactive environment with an improved electronic control system [fr

  1. Low-cost fabrication of ternary CuInSe{sub 2} nanocrystals by colloidal route using a novel combination of volatile and non-volatile capping agents

    Energy Technology Data Exchange (ETDEWEB)

    Chawla, Parul; Narain Sharma, Shailesh, E-mail: shailesh@nplindia.org; Singh, Son

    2014-11-15

    Wet-route synthesis of CuInSe{sub 2} (CISe) nanocrystals has been envisaged with the utilization of the unique combination of coordinating ligand and non coordinating solvent. Our work demonstrates the formation of a single-phase, nearly stoichiometric and monodispersive, stable and well-passivated colloidal ternary CISe nanocrystals (band gap (E{sub g})∼1.16 eV) using a novel combination of ligands; viz. volatile arylamine aniline and non-volatile solvent 1-octadecene. The synthesis and growth conditions have been manoeuvred using the colligative properties of the mixture and thus higher growth temperature (∼250 °C) could be attained that promoted larger grain growth. The beneficial influence of the capping agents (aniline and 1-octadecene) on the properties of chalcopyrite nanocrystals has enabled us to pictorally model the structural, morphological and optoelectronic aspects of CISe nanoparticles. - Graphical abstract: Without resorting to any post-selenization process and using the colligative properties of the mixture comprising of volatile aniline and non-volatile 1-octadecene to manoeuvre the growth conditions to promote Ostwald ripening, a single phase, monodispersive and nearly stoichiometric ternary CISe nanocrystals are formed by wet-synthesis route. - Highlights: • Wet-route synthesis of CISe nanocrystals reported without post-selenization process. • Single-phase, stable and well-passivated colloidal ternary CISe nanocrystals formed. • Novel combination of capping agents: volatile aniline and non-volatile 1-octadecene. • Higher growth temperature attained using the colligative properties of the mixture. • Metallic salts presence explains exp. and theoretical boiling point difference.

  2. Fractional Black–Scholes option pricing, volatility calibration and implied Hurst exponents in South African context

    Directory of Open Access Journals (Sweden)

    Emlyn Flint

    2017-03-01

    Full Text Available Background: Contingent claims on underlying assets are typically priced under a framework that assumes, inter alia, that the log returns of the underlying asset are normally distributed. However, many researchers have shown that this assumption is violated in practice. Such violations include the statistical properties of heavy tails, volatility clustering, leptokurtosis and long memory. This paper considers the pricing of contingent claims when the underlying is assumed to display long memory, an issue that has heretofore not received much attention. Aim: We address several theoretical and practical issues in option pricing and implied volatility calibration in a fractional Black–Scholes market. We introduce a novel eight-parameter fractional Black–Scholes-inspired (FBSI model for the implied volatility surface, and consider in depth the issue of calibration. One of the main benefits of such a model is that it allows one to decompose implied volatility into an independent long-memory component – captured by an implied Hurst exponent – and a conditional implied volatility component. Such a decomposition has useful applications in the areas of derivatives trading, risk management, delta hedging and dynamic asset allocation. Setting: The proposed FBSI volatility model is calibrated to South African equity index options data as well as South African Rand/American Dollar currency options data. However, given the focus on the theoretical development of the model, the results in this paper are applicable across all financial markets. Methods: The FBSI model essentially combines a deterministic function form of the 1-year implied volatility skew with a separate deterministic function for the implied Hurst exponent, thus allowing one to model both observed implied volatility surfaces as well as decompose them into independent volatility and long-memory components respectively. Calibration of the model makes use of a quasi-explicit weighted

  3. A new approach for two-terminal electronic memory devices - Storing information on silicon nanowires

    Science.gov (United States)

    Saranti, Konstantina; Alotaibi, Sultan; Paul, Shashi

    2016-06-01

    The work described in this paper focuses on the utilisation of silicon nanowires as the information storage element in flash-type memory devices. Silicon nanostructures have attracted attention due to interesting electrical and optical properties, and their potential integration into electronic devices. A detailed investigation of the suitability of silicon nanowires as the charge storage medium in two-terminal non-volatile memory devices are presented in this report. The deposition of the silicon nanostructures was carried out at low temperatures (less than 400 °C) using a previously developed a novel method within our research group. Two-terminal non-volatile (2TNV) memory devices and metal-insulator-semiconductor (MIS) structures containing the silicon nanowires were fabricated and an in-depth study of their characteristics was carried out using current-voltage and capacitance techniques.

  4. EqualChance: Addressing Intra-set Write Variation to Increase Lifetime of Non-volatile Caches

    Energy Technology Data Exchange (ETDEWEB)

    Mittal, Sparsh [ORNL; Vetter, Jeffrey S [ORNL

    2014-01-01

    To address the limitations of SRAM such as high-leakage and low-density, researchers have explored use of non-volatile memory (NVM) devices, such as ReRAM (resistive RAM) and STT-RAM (spin transfer torque RAM) for designing on-chip caches. A crucial limitation of NVMs, however, is that their write endurance is low and the large intra-set write variation introduced by existing cache management policies may further exacerbate this problem, thereby reducing the cache lifetime significantly. We present EqualChance, a technique to increase cache lifetime by reducing intra-set write variation. EqualChance works by periodically changing the physical cache-block location of a write-intensive data item within a set to achieve wear-leveling. Simulations using workloads from SPEC CPU2006 suite and HPC (high-performance computing) field show that EqualChance improves the cache lifetime by 4.29X. Also, its implementation overhead is small, and it incurs very small performance and energy loss.

  5. Realized volatility and absolute return volatility: a comparison indicating market risk.

    Science.gov (United States)

    Zheng, Zeyu; Qiao, Zhi; Takaishi, Tetsuya; Stanley, H Eugene; Li, Baowen

    2014-01-01

    Measuring volatility in financial markets is a primary challenge in the theory and practice of risk management and is essential when developing investment strategies. Although the vast literature on the topic describes many different models, two nonparametric measurements have emerged and received wide use over the past decade: realized volatility and absolute return volatility. The former is strongly favored in the financial sector and the latter by econophysicists. We examine the memory and clustering features of these two methods and find that both enable strong predictions. We compare the two in detail and find that although realized volatility has a better short-term effect that allows predictions of near-future market behavior, absolute return volatility is easier to calculate and, as a risk indicator, has approximately the same sensitivity as realized volatility. Our detailed empirical analysis yields valuable guidelines for both researchers and market participants because it provides a significantly clearer comparison of the strengths and weaknesses of the two methods.

  6. Realized volatility and absolute return volatility: a comparison indicating market risk.

    Directory of Open Access Journals (Sweden)

    Zeyu Zheng

    Full Text Available Measuring volatility in financial markets is a primary challenge in the theory and practice of risk management and is essential when developing investment strategies. Although the vast literature on the topic describes many different models, two nonparametric measurements have emerged and received wide use over the past decade: realized volatility and absolute return volatility. The former is strongly favored in the financial sector and the latter by econophysicists. We examine the memory and clustering features of these two methods and find that both enable strong predictions. We compare the two in detail and find that although realized volatility has a better short-term effect that allows predictions of near-future market behavior, absolute return volatility is easier to calculate and, as a risk indicator, has approximately the same sensitivity as realized volatility. Our detailed empirical analysis yields valuable guidelines for both researchers and market participants because it provides a significantly clearer comparison of the strengths and weaknesses of the two methods.

  7. Forecasting volatility of crude oil markets

    International Nuclear Information System (INIS)

    Kang, Sang Hoon; Kang, Sang-Mok; Yoon, Seong-Min

    2009-01-01

    This article investigates the efficacy of a volatility model for three crude oil markets - Brent, Dubai, and West Texas Intermediate (WTI) - with regard to its ability to forecast and identify volatility stylized facts, in particular volatility persistence or long memory. In this context, we assess persistence in the volatility of the three crude oil prices using conditional volatility models. The CGARCH and FIGARCH models are better equipped to capture persistence than are the GARCH and IGARCH models. The CGARCH and FIGARCH models also provide superior performance in out-of-sample volatility forecasts. We conclude that the CGARCH and FIGARCH models are useful for modeling and forecasting persistence in the volatility of crude oil prices. (author)

  8. Forecasting volatility of crude oil markets

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Sang Hoon [Department of Business Administration, Gyeongsang National University, Jinju, 660-701 (Korea); Kang, Sang-Mok; Yoon, Seong-Min [Department of Economics, Pusan National University, Busan, 609-735 (Korea)

    2009-01-15

    This article investigates the efficacy of a volatility model for three crude oil markets - Brent, Dubai, and West Texas Intermediate (WTI) - with regard to its ability to forecast and identify volatility stylized facts, in particular volatility persistence or long memory. In this context, we assess persistence in the volatility of the three crude oil prices using conditional volatility models. The CGARCH and FIGARCH models are better equipped to capture persistence than are the GARCH and IGARCH models. The CGARCH and FIGARCH models also provide superior performance in out-of-sample volatility forecasts. We conclude that the CGARCH and FIGARCH models are useful for modeling and forecasting persistence in the volatility of crude oil prices. (author)

  9. Messier: A Detailed NVM-Based DIMM Model for the SST Simulation Framework.

    Energy Technology Data Exchange (ETDEWEB)

    Awad, Amro [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Voskuilen, Gwendolyn Renae [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Rodrigues, Arun F. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hammond, Simon David [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hoekstra, Robert J. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hughes, Clayton [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2017-02-01

    DRAM technology is the main building block of main memory, however, DRAM scaling is becoming very challenging. The main issues for DRAM scaling are the increasing error rates with each new generation, the geometric and physical constraints of scaling the capacitor part of the DRAM cells, and the high power consumption caused by the continuous need for refreshing cell values. At the same time, emerging Non- Volatile Memory (NVM) technologies, such as Phase-Change Memory (PCM), are emerging as promising replacements for DRAM. NVMs, when compared to current technologies e.g., NAND-based ash, have latencies comparable to DRAM. Additionally, NVMs are non-volatile, which eliminates the need for refresh power and enables persistent memory applications. Finally, NVMs have promising densities and the potential for multi-level cell (MLC) storage.

  10. Ionic liquid technology to recover volatile organic compounds (VOCs).

    Science.gov (United States)

    Salar-García, M J; Ortiz-Martínez, V M; Hernández-Fernández, F J; de Los Ríos, A P; Quesada-Medina, J

    2017-01-05

    Volatile organic compounds (VOCs) comprise a wide variety of carbon-based materials which are volatile at relatively low temperatures. Most of VOCs pose a hazard to both human health and the environment. For this reason, in the last years, big efforts have been made to develop efficient techniques for the recovery of VOCs produced from industry. The use of ionic liquids (ILs) is among the most promising separation technologies in this field. This article offers a critical overview on the use of ionic liquids for the separation of VOCs both in bulk and in immobilized form. It covers the most relevant works within this field and provides a global outlook on the limitations and future prospects of this technology. The extraction processes of VOCs by using different IL-based assemblies are described in detail and compared with conventional methods This review also underlines the advantages and limitations posed by ionic liquids according to the nature of the cation and the anions present in their structure and the stability of the membrane configurations in which ILs are used as liquid phase. Copyright © 2016 Elsevier B.V. All rights reserved.

  11. Logic and memory concepts for all-magnetic computing based on transverse domain walls

    International Nuclear Information System (INIS)

    Vandermeulen, J; Van de Wiele, B; Dupré, L; Van Waeyenberge, B

    2015-01-01

    We introduce a non-volatile digital logic and memory concept in which the binary data is stored in the transverse magnetic domain walls present in in-plane magnetized nanowires with sufficiently small cross sectional dimensions. We assign the digital bit to the two possible orientations of the transverse domain wall. Numerical proofs-of-concept are presented for a NOT-, AND- and OR-gate, a FAN-out as well as a reading and writing device. Contrary to the chirality based vortex domain wall logic gates introduced in Omari and Hayward (2014 Phys. Rev. Appl. 2 044001), the presented concepts remain applicable when miniaturized and are driven by electrical currents, making the technology compatible with the in-plane racetrack memory concept. The individual devices can be easily combined to logic networks working with clock speeds that scale linearly with decreasing design dimensions. This opens opportunities to an all-magnetic computing technology where the digital data is stored and processed under the same magnetic representation. (paper)

  12. Working memory for sequences of temporal durations reveals a volatile single-item store

    Directory of Open Access Journals (Sweden)

    Sanjay G Manohar

    2016-10-01

    remembered better when more items were expected, but worse when irrelevant features were present. This suggests that the privileged state of one item in memory is particularly volatile and susceptible to interference.

  13. Organic ferroelectric/semiconducting nanowire hybrid layer for memory storage

    NARCIS (Netherlands)

    Cai, R.; Kassa, H.G.; Haouari, R.; Marrani, A.; Geerts, Y.H.; Ruzié, C.; Breemen, A.J.J.M. van; Gelinck, G.H.; Nysten, B.; Hu, Z.; Jonas, A.M.

    2016-01-01

    Ferroelectric materials are important components of sensors, actuators and non-volatile memories. However, possible device configurations are limited due to the need to provide screening charges to ferroelectric interfaces to avoid depolarization. Here we show that, by alternating ferroelectric and

  14. Long memory of abnormal investor attention and the cross-correlations between abnormal investor attention and trading volume, volatility respectively

    Science.gov (United States)

    Fan, Xiaoqian; Yuan, Ying; Zhuang, Xintian; Jin, Xiu

    2017-03-01

    Taking Baidu Index as a proxy for abnormal investor attention (AIA), the long memory property in the AIA of Shanghai Stock Exchange (SSE) 50 Index component stocks was empirically investigated using detrended fluctuation analysis (DFA) method. The results show that abnormal investor attention is power-law correlated with Hurst exponents between 0.64 and 0.98. Furthermore, the cross-correlations between abnormal investor attention and trading volume, volatility respectively are studied using detrended cross-correlation analysis (DCCA) and the DCCA cross-correlation coefficient (ρDCCA). The results suggest that there are positive correlations between AIA and trading volume, volatility respectively. In addition, the correlations for trading volume are in general higher than the ones for volatility. By carrying on rescaled range analysis (R/S) and rolling windows analysis, we find that the results mentioned above are effective and significant.

  15. Periodic Cellular Structure Technology for Shape Memory Alloys

    Science.gov (United States)

    Chen, Edward Y.

    2015-01-01

    Shape memory alloys are being considered for a wide variety of adaptive components for engine and airframe applications because they can undergo large amounts of strain and then revert to their original shape upon heating or unloading. Transition45 Technologies, Inc., has developed an innovative periodic cellular structure (PCS) technology for shape memory alloys that enables fabrication of complex bulk configurations, such as lattice block structures. These innovative structures are manufactured using an advanced reactive metal casting technology that offers a relatively low cost and established approach for constructing near-net shape aerospace components. Transition45 is continuing to characterize these structures to determine how best to design a PCS to better exploit the use of shape memory alloys in aerospace applications.

  16. Atomic and molecular physics of plasma-based environmental technologies for abatement of volatile organic compounds

    International Nuclear Information System (INIS)

    Penetrante, B.M.; Hsiao, M.C.; Bardsley, J.N.; Merrit, B.T.; Vogtlin, G.E.; Kuthi, A.; Burkhart, C.P.; Bayless, J.R.

    1996-01-01

    Non-thermal plasma techniques represent a new generation of air emission control technology that potentially could treat large-volume emissions containing dilute concentrations of volatile organic compounds (VOCs). In order to apply non-thermal in an industrial scale, it is important to establish the electrical power requirements and byproducts of the process. There is a need for reliable data concerning the primary decomposition mechanisms and subsequent chemical kinetics associated with non-thermal plasma processing of VOCs. There are many basic atomic and molecular physics issues that are essential in evaluating the economic performance of non-thermal plasma reactor. These studies are important in understanding how the input electrical power is dissipated in the plasma and how efficiency it is converted to the production of the plasma species (radicals, ions or electrons) responsible for the decomposition of the VOCs. This paper presents results from basic experimental and theoretical studied aimed at identifying the reaction mechanisms responsible for the primary decomposition of various types of VOCs. (Authors)

  17. The efficacy of cognitive prosthetic technology for people with memory impairments: a systematic review and meta-analysis.

    Science.gov (United States)

    Jamieson, Matthew; Cullen, Breda; McGee-Lennon, Marilyn; Brewster, Stephen; Evans, Jonathan J

    2014-01-01

    Technology can compensate for memory impairment. The efficacy of assistive technology for people with memory difficulties and the methodology of selected studies are assessed. A systematic search was performed and all studies that investigated the impact of technology on memory performance for adults with impaired memory resulting from acquired brain injury (ABI) or a degenerative disease were included. Two 10-point scales were used to compare each study to an ideally reported single case experimental design (SCED) study (SCED scale; Tate et al., 2008 ) or randomised control group study (PEDro-P scale; Maher, Sherrington, Herbert, Moseley, & Elkins, 2003 ). Thirty-two SCED (mean = 5.9 on the SCED scale) and 11 group studies (mean = 4.45 on the PEDro-P scale) were found. Baseline and intervention performance for each participant in the SCED studies was re-calculated using non-overlap of all pairs (Parker & Vannest, 2009 ) giving a mean score of 0.85 on a 0 to 1 scale (17 studies, n = 36). A meta-analysis of the efficacy of technology vs. control in seven group studies gave a large effect size (d = 1.27) (n = 147). It was concluded that prosthetic technology can improve performance on everyday tasks requiring memory. There is a specific need for investigations of technology for people with degenerative diseases.

  18. Differential effects of non-REM and REM sleep on memory consolidation?

    Science.gov (United States)

    Ackermann, Sandra; Rasch, Björn

    2014-02-01

    Sleep benefits memory consolidation. Previous theoretical accounts have proposed a differential role of slow-wave sleep (SWS), rapid-eye-movement (REM) sleep, and stage N2 sleep for different types of memories. For example the dual process hypothesis proposes that SWS is beneficial for declarative memories, whereas REM sleep is important for consolidation of non-declarative, procedural and emotional memories. In fact, numerous recent studies do provide further support for the crucial role of SWS (or non-REM sleep) in declarative memory consolidation. However, recent evidence for the benefit of REM sleep for non-declarative memories is rather scarce. In contrast, several recent studies have related consolidation of procedural memories (and some also emotional memories) to SWS (or non-REM sleep)-dependent consolidation processes. We will review this recent evidence, and propose future research questions to advance our understanding of the role of different sleep stages for memory consolidation.

  19. Magnetic vortex racetrack memory

    Energy Technology Data Exchange (ETDEWEB)

    Geng, Liwei D.; Jin, Yongmei M., E-mail: ymjin@mtu.edu

    2017-02-01

    We report a new type of racetrack memory based on current-controlled movement of magnetic vortices in magnetic nanowires with rectangular cross-section and weak perpendicular anisotropy. Data are stored through the core polarity of vortices and each vortex carries a data bit. Besides high density, non-volatility, fast data access, and low power as offered by domain wall racetrack memory, magnetic vortex racetrack memory has additional advantages of no need for constrictions to define data bits, changeable information density, adjustable current magnitude for data propagation, and versatile means of ultrafast vortex core switching. By using micromagnetic simulations, current-controlled motion of magnetic vortices in cobalt nanowire is demonstrated for racetrack memory applications. - Highlights: • Advance fundamental knowledge of current-driven magnetic vortex phenomena. • Report appealing new magnetic racetrack memory based on current-controlled magnetic vortices in nanowires. • Provide a novel approach to adjust current magnitude for data propagation. • Overcome the limitations of domain wall racetrack memory.

  20. Non-thermal plasma at atmospheric pressure for ozone generation and volatile organic compounds decomposition

    International Nuclear Information System (INIS)

    Pekarek, S.; Khun, J.

    2006-01-01

    The non-thermal plasma technologies based on electrical discharges play an important role in ecological applications. The classical corona discharge is however relatively low power discharge. With the aim to extend its current-voltage range we studied hollow needle-to-plate DC corona discharge enhanced by the flow of a gas through the needle electrode. With this type of the discharge we performed an extensive study of ozone generation and volatile organic compounds decomposition. We found that supply of air through the needle substantially increases current-voltage range of the discharge in comparison with classical pin-to-plate corona discharge. Consequently the ozone generation as well as toluene decomposition efficiency was increased (Authors)

  1. Long memory volatility of gold price returns: How strong is the evidence from distinct economic cycles?

    Science.gov (United States)

    Bentes, Sonia R.

    2016-02-01

    This paper examines the long memory behavior in the volatility of gold returns using daily data for the period 1985-2009. We divided the whole sample into eight sub-samples in order to analyze the robustness and consistency of our results during different crisis periods. This constitutes our main contribution. We cover four major world crises, namely, (i) the US stock market crash of 1987; (ii) the Asian financial crisis of 1997; (iii) the World Trade Center terrorist attack of 2001 and finally, (iv) the sub-prime crisis of 2007, in order to investigate how the fractional integrated parameter of the FIGARCH(1, d,1) model evolves over time. Our findings are twofold: (i) there is evidence of long memory in the conditional variance over the whole sample period; (ii) when we consider the sub-sample analysis, the results show mixed evidence. Thus, for the 1985-2003 period the long memory parameter is positive and statistically significant in the pre-crisis sub-samples, and there is no evidence of long memory in the crisis sub-sample periods; however the reverse pattern occurs for the 2005-2009 period. This highlights the unique characteristics of the 2007 sub-prime crisis.

  2. Identification and Quantification of Oxidoselina-1,3,7(11)-Trien-8-One and Cyanidin-3-Glucoside as One of the Major Volatile and Non-Volatile Low-Molecular-Weight Constituents in Pitanga Pulp.

    Science.gov (United States)

    Josino Soares, Denise; Pignitter, Marc; Ehrnhöfer-Ressler, Miriam Margit; Walker, Jessica; Montenegro Brasil, Isabella; Somoza, Veronika

    2015-01-01

    The pulp of pitanga (Eugenia uniflora L.) is used to prepare pitanga juice. However, there are no reports on the identification and quantification of the main constituents in pitanga pulp. The aim of this study was to identify and quantify the major volatile and non-volatile low-molecular-weight constituents of the pulp. Isolation of volatile compounds was performed by solvent-assisted flavor evaporation technique. Characterization of the main volatile and non-volatile constituents was performed by GC-MS, LC-MS and NMR spectroscopy. For quantitative measurements, the main volatile compound needed to be isolated from pitanga pulp to obtain a commercially not available reference standard. Cyanidin-3-glucoside was determined as one of the most abundant non-volatile pulp compound yielding 53.8% of the sum of the intensities of all ions detected by LC-MS. Quantification of cyanidin-3-glucoside in pitanga pulp resulted in a concentration of 344 ± 66.4 μg/mL corresponding to 688 ± 133 μg/g dried pulp and 530 ± 102 μg/g fruit. For the volatile fraction, oxidoselina-1,3,7(11)-trien-8-one was identified as the main volatile pulp constituent (27.7% of the sum of the intensities of all ions detected by GC-MS), reaching a concentration of 89.0 ± 16.9 μg/mL corresponding to 1.34 ± 0.25 μg/g fresh pulp and 1.03 ± 0.19 μg/g fruit. The results provide quantitative evidence for the occurrence of an anthocyanin and an oxygenated sesquiterpene as one of the major volatile and non-volatile low-molecular-weight compounds in pitanga pulp.

  3. Size distributions of non-volatile particle residuals (Dp<800 nm at a rural site in Germany and relation to air mass origin

    Directory of Open Access Journals (Sweden)

    T. Tuch

    2007-11-01

    Full Text Available Atmospheric aerosol particle size distributions at a continental background site in Eastern Germany were examined for a one-year period. Particles were classified using a twin differential mobility particle sizer in a size range between 3 and 800 nm. As a novelty, every second measurement of this experiment involved the removal of volatile chemical compounds in a thermodenuder at 300°C. This concept allowed to quantify the number size distribution of non-volatile particle cores – primarily associated with elemental carbon, and to compare this to the original non-conditioned size distribution. As a byproduct of the volatility analysis, new particles originating from nucleation inside the thermodenuder can be observed, however, overwhelmingly at diameters below 6 nm. Within the measurement uncertainty, every particle down to particle sizes of 15 nm is concluded to contain a non-volatile core. The volume fraction of non-volatile particulate matter (non-conditioned diameter < 800 nm varied between 10 and 30% and was largely consistent with the experimentally determined mass fraction of elemental carbon. The average size of the non-volatile particle cores was estimated as a function of original non-conditioned size using a summation method, which showed that larger particles (>200 nm contained more non-volatile compounds than smaller particles (<50 nm, thus indicating a significantly different chemical composition. Two alternative air mass classification schemes based on either, synoptic chart analysis (Berliner Wetterkarte or back trajectories showed that the volume and number fraction of non-volatile cores depended less on air mass than the total particle number concentration. In all air masses, the non-volatile size distributions showed a more and a less volatile ("soot" mode, the latter being located at about 50 nm. During unstable conditions and in maritime air masses, smaller values were observed compared to stable or continental conditions

  4. Nanocrystals manufacturing by ultra-low-energy ion-beam-synthesis for non-volatile memory applications

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Kapetanakis, E.; Dimitrakis, P.; Skarlatos, D.; Beltsios, K.; Tsoukalas, D.; Bonafos, C.; Ben Assayag, G.; Cherkashin, N.; Claverie, A.; Berg, J.A. van den; Soncini, V.; Agarwal, A.; Ameen, M.; Perego, M.; Fanciulli, M

    2004-02-01

    An overview of recent developments regarding the fabrication and structure of thin silicon dioxide films with embedded nanocrystals through ultra-low-energy ion-beam-synthesis (ULE-IBS) is presented. Advances in fabrication, increased understanding of structure formation processes and ways to control them allow for the fabrication of reproducible and attractive silicon-nanocrystal memory devices for a wide-range of memory applications as herein demonstrated in the case of low-voltage EEPROM-like applications.

  5. Nanocrystals manufacturing by ultra-low-energy ion-beam-synthesis for non-volatile memory applications

    International Nuclear Information System (INIS)

    Normand, P.; Kapetanakis, E.; Dimitrakis, P.; Skarlatos, D.; Beltsios, K.; Tsoukalas, D.; Bonafos, C.; Ben Assayag, G.; Cherkashin, N.; Claverie, A.; Berg, J.A. van den; Soncini, V.; Agarwal, A.; Ameen, M.; Perego, M.; Fanciulli, M.

    2004-01-01

    An overview of recent developments regarding the fabrication and structure of thin silicon dioxide films with embedded nanocrystals through ultra-low-energy ion-beam-synthesis (ULE-IBS) is presented. Advances in fabrication, increased understanding of structure formation processes and ways to control them allow for the fabrication of reproducible and attractive silicon-nanocrystal memory devices for a wide-range of memory applications as herein demonstrated in the case of low-voltage EEPROM-like applications

  6. Current status of fluoride volatility method development

    Energy Technology Data Exchange (ETDEWEB)

    Uhlir, J.; Marecek, M.; Skarohlid, J. [UJV - Nuclear Research Institute, Research Centre Rez, CZ-250 68 Husinec - Rez 130 (Czech Republic)

    2013-07-01

    The Fluoride Volatility Method is based on a separation process, which comes out from the specific property of uranium, neptunium and plutonium to form volatile hexafluorides whereas most of fission products (mainly lanthanides) and higher transplutonium elements (americium, curium) present in irradiated fuel form nonvolatile tri-fluorides. Fluoride Volatility Method itself is based on direct fluorination of the spent fuel, but before the fluorination step, the removal of cladding material and subsequent transformation of the fuel into a powdered form with a suitable grain size have to be done. The fluorination is made with fluorine gas in a flame fluorination reactor, where the volatile fluorides (mostly UF{sub 6}) are separated from the non-volatile ones (trivalent minor actinides and majority of fission products). The subsequent operations necessary for partitioning of volatile fluorides are the condensation and evaporation of volatile fluorides, the thermal decomposition of PuF{sub 6} and the finally distillation and sorption used for the purification of uranium product. The Fluoride Volatility Method is considered to be a promising advanced pyrochemical reprocessing technology, which can mainly be used for the reprocessing of oxide spent fuels coming from future GEN IV fast reactors.

  7. A radiation-tolerant, low-power non-volatile memory based on silicon nanocrystal quantum dots

    OpenAIRE

    Bell, L. D.; Boer, E.; Ostraat, M.; Brongersma, M. L.; Flagan, R. C.; Atwater, H. A.; De Blauwe, J.; Green, M. L.

    2001-01-01

    Nanocrystal nonvolatile floating-gate memories are a good candidate for space applications - initial results suggest they are fast, more reliable and consume less power than conventional floating gate memories. In the nanocrystal based NVM device, charge is not stored on a continuous polysilicon layer (so-called floating gate), but instead on a layer of discrete nanocrystals. Charge injection and storage in dense arrays of silicon nanocrystals in SiO_2 is a critical aspect of the performance ...

  8. Identification and Quantification of Oxidoselina-1,3,7(11-Trien-8-One and Cyanidin-3-Glucoside as One of the Major Volatile and Non-Volatile Low-Molecular-Weight Constituents in Pitanga Pulp.

    Directory of Open Access Journals (Sweden)

    Denise Josino Soares

    Full Text Available The pulp of pitanga (Eugenia uniflora L. is used to prepare pitanga juice. However, there are no reports on the identification and quantification of the main constituents in pitanga pulp. The aim of this study was to identify and quantify the major volatile and non-volatile low-molecular-weight constituents of the pulp. Isolation of volatile compounds was performed by solvent-assisted flavor evaporation technique. Characterization of the main volatile and non-volatile constituents was performed by GC-MS, LC-MS and NMR spectroscopy. For quantitative measurements, the main volatile compound needed to be isolated from pitanga pulp to obtain a commercially not available reference standard. Cyanidin-3-glucoside was determined as one of the most abundant non-volatile pulp compound yielding 53.8% of the sum of the intensities of all ions detected by LC-MS. Quantification of cyanidin-3-glucoside in pitanga pulp resulted in a concentration of 344 ± 66.4 μg/mL corresponding to 688 ± 133 μg/g dried pulp and 530 ± 102 μg/g fruit. For the volatile fraction, oxidoselina-1,3,7(11-trien-8-one was identified as the main volatile pulp constituent (27.7% of the sum of the intensities of all ions detected by GC-MS, reaching a concentration of 89.0 ± 16.9 μg/mL corresponding to 1.34 ± 0.25 μg/g fresh pulp and 1.03 ± 0.19 μg/g fruit. The results provide quantitative evidence for the occurrence of an anthocyanin and an oxygenated sesquiterpene as one of the major volatile and non-volatile low-molecular-weight compounds in pitanga pulp.

  9. Volatile compounds in meat and meat products

    Directory of Open Access Journals (Sweden)

    Monika KOSOWSKA

    Full Text Available Abstract Meaty flavor is composed of a few hundreds of volatile compounds, only minor part of which are responsible for the characteristic odor. It is developed as a result of multi-directional reactions proceeding between non-volatile precursors contained in raw meat under the influence of temperature. The volatile compounds are generated upon: Maillard reactions, lipid oxidation, interactions between Maillard reaction products and lipid oxidation products as well as upon thiamine degradation. The developed flavor is determined by many factors associated with: raw material (breed, sex, diet and age of animal, conditions and process of slaughter, duration and conditions of meat storage, type of muscle, additives applied and the course of the technological process. The objective of this review article is to draw attention to the issue of volatile compounds characteristic for meat products and factors that affect their synthesis.

  10. Non-Saccharomyces Yeasts Nitrogen Source Preferences: Impact on Sequential Fermentation and Wine Volatile Compounds Profile

    Directory of Open Access Journals (Sweden)

    Antoine Gobert

    2017-11-01

    Full Text Available Nitrogen sources in the must are important for yeast metabolism, growth, and performance, and wine volatile compounds profile. Yeast assimilable nitrogen (YAN deficiencies in grape must are one of the main causes of stuck and sluggish fermentation. The nitrogen requirement of Saccharomyces cerevisiae metabolism has been described in detail. However, the YAN preferences of non-Saccharomyces yeasts remain unknown despite their increasingly widespread use in winemaking. Furthermore, the impact of nitrogen consumption by non-Saccharomyces yeasts on YAN availability, alcoholic performance and volatile compounds production by S. cerevisiae in sequential fermentation has been little studied. With a view to improving the use of non-Saccharomyces yeasts in winemaking, we studied the use of amino acids and ammonium by three strains of non-Saccharomyces yeasts (Starmerella bacillaris, Metschnikowia pulcherrima, and Pichia membranifaciens in grape juice. We first determined which nitrogen sources were preferentially used by these yeasts in pure cultures at 28 and 20°C (because few data are available. We then carried out sequential fermentations at 20°C with S. cerevisiae, to assess the impact of the non-Saccharomyces yeasts on the availability of assimilable nitrogen for S. cerevisiae. Finally, 22 volatile compounds were quantified in sequential fermentation and their levels compared with those in pure cultures of S. cerevisiae. We report here, for the first time, that non-Saccharomyces yeasts have specific amino-acid consumption profiles. Histidine, methionine, threonine, and tyrosine were not consumed by S. bacillaris, aspartic acid was assimilated very slowly by M. pulcherrima, and glutamine was not assimilated by P. membranifaciens. By contrast, cysteine appeared to be a preferred nitrogen source for all non-Saccharomyces yeasts. In sequential fermentation, these specific profiles of amino-acid consumption by non-Saccharomyces yeasts may account for

  11. Non-Saccharomyces Yeasts Nitrogen Source Preferences: Impact on Sequential Fermentation and Wine Volatile Compounds Profile

    Science.gov (United States)

    Gobert, Antoine; Tourdot-Maréchal, Raphaëlle; Morge, Christophe; Sparrow, Céline; Liu, Youzhong; Quintanilla-Casas, Beatriz; Vichi, Stefania; Alexandre, Hervé

    2017-01-01

    Nitrogen sources in the must are important for yeast metabolism, growth, and performance, and wine volatile compounds profile. Yeast assimilable nitrogen (YAN) deficiencies in grape must are one of the main causes of stuck and sluggish fermentation. The nitrogen requirement of Saccharomyces cerevisiae metabolism has been described in detail. However, the YAN preferences of non-Saccharomyces yeasts remain unknown despite their increasingly widespread use in winemaking. Furthermore, the impact of nitrogen consumption by non-Saccharomyces yeasts on YAN availability, alcoholic performance and volatile compounds production by S. cerevisiae in sequential fermentation has been little studied. With a view to improving the use of non-Saccharomyces yeasts in winemaking, we studied the use of amino acids and ammonium by three strains of non-Saccharomyces yeasts (Starmerella bacillaris, Metschnikowia pulcherrima, and Pichia membranifaciens) in grape juice. We first determined which nitrogen sources were preferentially used by these yeasts in pure cultures at 28 and 20°C (because few data are available). We then carried out sequential fermentations at 20°C with S. cerevisiae, to assess the impact of the non-Saccharomyces yeasts on the availability of assimilable nitrogen for S. cerevisiae. Finally, 22 volatile compounds were quantified in sequential fermentation and their levels compared with those in pure cultures of S. cerevisiae. We report here, for the first time, that non-Saccharomyces yeasts have specific amino-acid consumption profiles. Histidine, methionine, threonine, and tyrosine were not consumed by S. bacillaris, aspartic acid was assimilated very slowly by M. pulcherrima, and glutamine was not assimilated by P. membranifaciens. By contrast, cysteine appeared to be a preferred nitrogen source for all non-Saccharomyces yeasts. In sequential fermentation, these specific profiles of amino-acid consumption by non-Saccharomyces yeasts may account for some of the

  12. Determination of non-volatile radiolytic compounds in ethylene co-vinyl alcohol

    International Nuclear Information System (INIS)

    Kothapalli, A.; Sadler, G.

    2003-01-01

    The use of ionizing radiation on food contact polymers is increasing due to the critical role of the package in holding or containing the irradiated foods [Food Add. Contam. 18(6) (2001) 475]. Irradiation benefits the food if properly applied and the food is pre-packaged prior to irradiation to protect it from subsequent recontamination. The United States Food and Drug Administration (USFDA) has approved the use of ionizing radiation within the dosage range of 0-60 kGy on limited films since the 1960s [USFDA 21CFR 179.45]. The obstacle in the way of approval of additional polymers is that FDA fears that these materials may undergo changes during irradiation producing toxic radiolytic fragments. Ethylene co-vinyl alcohol (EVOH), which is often used in food applications, is not approved by the FDA for pre-packaged irradiated foods. The present work examines the non-volatile radiolytic compounds, which may be formed due to exposure to gamma irradiation at the dosage levels of 3 and 10 kGy versus a non-radiated control. Irradiated EVOH is subjected to extraction with 95:5 ethanol and water (by volume) as the food simulating solvent (FSS) for a period of 10 days at 40 deg. C, which models the amount of radiolytic compound a food would extract in 1 year [USFDA Chemistry Requirement for Food Contact Notification]. The FSS is then analyzed for the presence of non-volatile compounds using advanced liquid chromatographic techniques. The chromatograms obtained from different dosages show that non-volatile radiolytic compounds are not formed in EVOH and it would, therefore be in compliance with safety demands of USFDA [Available at: http://www.cfsan.fda.gov/~dms/opa-guid.htmlref and http://www.access.gpo.gov/nara/cfr/cfr-table-search.htmlpage1

  13. Determination of non-volatile radiolytic compounds in ethylene co-vinyl alcohol

    Science.gov (United States)

    Kothapalli, A.; Sadler, G.

    2003-08-01

    The use of ionizing radiation on food contact polymers is increasing due to the critical role of the package in holding or containing the irradiated foods [Food Add. Contam. 18(6) (2001) 475]. Irradiation benefits the food if properly applied and the food is pre-packaged prior to irradiation to protect it from subsequent recontamination. The United States Food and Drug Administration (USFDA) has approved the use of ionizing radiation within the dosage range of 0-60 kGy on limited films since the 1960s [USFDA 21CFR 179.45]. The obstacle in the way of approval of additional polymers is that FDA fears that these materials may undergo changes during irradiation producing toxic radiolytic fragments. Ethylene co-vinyl alcohol (EVOH), which is often used in food applications, is not approved by the FDA for pre-packaged irradiated foods. The present work examines the non-volatile radiolytic compounds, which may be formed due to exposure to gamma irradiation at the dosage levels of 3 and 10 kGy versus a non-radiated control. Irradiated EVOH is subjected to extraction with 95:5 ethanol and water (by volume) as the food simulating solvent (FSS) for a period of 10 days at 40 °C, which models the amount of radiolytic compound a food would extract in 1 year [USFDA Chemistry Requirement for Food Contact Notification]. The FSS is then analyzed for the presence of non-volatile compounds using advanced liquid chromatographic techniques. The chromatograms obtained from different dosages show that non-volatile radiolytic compounds are not formed in EVOH and it would, therefore be in compliance with safety demands of USFDA [Available at: http://www.cfsan.fda.gov/~dms/opa-guid.html#ref and http://www.access.gpo.gov/nara/cfr/cfr-table-search.html#page1].

  14. Return-Volatility Relationship: Insights from Linear and Non-Linear Quantile Regression

    NARCIS (Netherlands)

    D.E. Allen (David); A.K. Singh (Abhay); R.J. Powell (Robert); M.J. McAleer (Michael); J. Taylor (James); L. Thomas (Lyn)

    2013-01-01

    textabstractThe purpose of this paper is to examine the asymmetric relationship between price and implied volatility and the associated extreme quantile dependence using linear and non linear quantile regression approach. Our goal in this paper is to demonstrate that the relationship between the

  15. Flexible and twistable non-volatile memory cell array with all-organic one diode-one resistor architecture.

    Science.gov (United States)

    Ji, Yongsung; Zeigler, David F; Lee, Dong Su; Choi, Hyejung; Jen, Alex K-Y; Ko, Heung Cho; Kim, Tae-Wook

    2013-01-01

    Flexible organic memory devices are one of the integral components for future flexible organic electronics. However, high-density all-organic memory cell arrays on malleable substrates without cross-talk have not been demonstrated because of difficulties in their fabrication and relatively poor performances to date. Here we demonstrate the first flexible all-organic 64-bit memory cell array possessing one diode-one resistor architectures. Our all-organic one diode-one resistor cell exhibits excellent rewritable switching characteristics, even during and after harsh physical stresses. The write-read-erase-read output sequence of the cells perfectly correspond to the external pulse signal regardless of substrate deformation. The one diode-one resistor cell array is clearly addressed at the specified cells and encoded letters based on the standard ASCII character code. Our study on integrated organic memory cell arrays suggests that the all-organic one diode-one resistor cell architecture is suitable for high-density flexible organic memory applications in the future.

  16. Stochastic model of financial markets reproducing scaling and memory in volatility return intervals

    Science.gov (United States)

    Gontis, V.; Havlin, S.; Kononovicius, A.; Podobnik, B.; Stanley, H. E.

    2016-11-01

    We investigate the volatility return intervals in the NYSE and FOREX markets. We explain previous empirical findings using a model based on the interacting agent hypothesis instead of the widely-used efficient market hypothesis. We derive macroscopic equations based on the microscopic herding interactions of agents and find that they are able to reproduce various stylized facts of different markets and different assets with the same set of model parameters. We show that the power-law properties and the scaling of return intervals and other financial variables have a similar origin and could be a result of a general class of non-linear stochastic differential equations derived from a master equation of an agent system that is coupled by herding interactions. Specifically, we find that this approach enables us to recover the volatility return interval statistics as well as volatility probability and spectral densities for the NYSE and FOREX markets, for different assets, and for different time-scales. We find also that the historical S&P500 monthly series exhibits the same volatility return interval properties recovered by our proposed model. Our statistical results suggest that human herding is so strong that it persists even when other evolving fluctuations perturbate the financial system.

  17. Volatilities, Traded Volumes, and Price Increments in Derivative Securities

    Science.gov (United States)

    Kim, Kyungsik; Lim, Gyuchang; Kim, Soo Yong; Scalas, Enrico

    2007-03-01

    We apply the detrended fluctuation analysis (DFA) to the statistics of the Korean treasury bond (KTB) futures from which the logarithmic increments, volatilities, and traded volumes are estimated over a specific time lag. For our case, the logarithmic increment of futures prices has no long-memory property, while the volatility and the traded volume exhibit the existence of long-memory property. To analyze and calculate whether the volatility clustering is due to the inherent higher-order correlation not detected by applying directly the DFA to logarithmic increments of the KTB futures, it is of importance to shuffle the original tick data of futures prices and to generate the geometric Brownian random walk with the same mean and standard deviation. It is really shown from comparing the three tick data that the higher-order correlation inherent in logarithmic increments makes the volatility clustering. Particularly, the result of the DFA on volatilities and traded volumes may be supported the hypothesis of price changes.

  18. Inkjet-printing of non-volatile organic resistive devices and crossbar array structures

    Science.gov (United States)

    Sax, Stefan; Nau, Sebastian; Popovic, Karl; Bluemel, Alexander; Klug, Andreas; List-Kratochvil, Emil J. W.

    2015-09-01

    Due to the increasing demand for storage capacity in various electronic gadgets like mobile phones or tablets, new types of non-volatile memory devices have gained a lot of attention over the last few years. Especially multilevel conductance switching elements based on organic semiconductors are of great interest due to their relatively simple device architecture and their small feature size. Since organic semiconductors combine the electronic properties of inorganic materials with the mechanical characteristics of polymers, this class of materials is suitable for solution based large area device preparation techniques. Consequently, inkjet based deposition techniques are highly capable of facing preparation related challenges. By gradually replacing the evaporated electrodes with inkjet printed silver, the preparation related influence onto device performance parameters such as the ON/OFF ratio was investigated with IV measurements and high resolution transmission electron microscopy. Due to the electrode surface roughness the solvent load during the printing of the top electrode as well as organic layer inhomogeneity's the utilization in array applications is hampered. As a prototypical example a 1diode-1resistor element and a 2×2 subarray from 5×5 array matrix were fully characterized demonstrating the versatility of inkjet printing for device preparation.

  19. High Temperature Memories in SiC Technology

    OpenAIRE

    Ekström, Mattias

    2014-01-01

    This thesis is part of the Working On Venus (WOV) project. The aim of the project is to design electronics in silicon carbide (SiC) that can withstand the extreme surface environmen  of Venus. This thesis investigates some possible computer memory technologies that could survive on the surface of Venus. A memory must be able to function at 460 °C and after a total radiation dose of at least 200 Gy (SiC). This thesis is a literature survey. The thesis covers several Random-Access Memory (RAM) ...

  20. Metabolic engineering of volatile isoprenoids in plants and microbes.

    Science.gov (United States)

    Vickers, Claudia E; Bongers, Mareike; Liu, Qing; Delatte, Thierry; Bouwmeester, Harro

    2014-08-01

    The chemical properties and diversity of volatile isoprenoids lends them to a broad variety of biological roles. It also lends them to a host of biotechnological applications, both by taking advantage of their natural functions and by using them as industrial chemicals/chemical feedstocks. Natural functions include roles as insect attractants and repellents, abiotic stress protectants in pathogen defense, etc. Industrial applications include use as pharmaceuticals, flavours, fragrances, fuels, fuel additives, etc. Here we will examine the ways in which researchers have so far found to exploit volatile isoprenoids using biotechnology. Production and/or modification of volatiles using metabolic engineering in both plants and microorganisms are reviewed, including engineering through both mevalonate and methylerythritol diphosphate pathways. Recent advances are illustrated using several case studies (herbivores and bodyguards, isoprene, and monoterpene production in microbes). Systems and synthetic biology tools with particular utility for metabolic engineering are also reviewed. Finally, we discuss the practical realities of various applications in modern biotechnology, explore possible future applications, and examine the challenges of moving these technologies forward so that they can deliver tangible benefits. While this review focuses on volatile isoprenoids, many of the engineering approaches described here are also applicable to non-isoprenoid volatiles and to non-volatile isoprenoids. © 2014 John Wiley & Sons Ltd.

  1. Schizophrenia patients demonstrate a dissociation on declarative and non-declarative memory tests.

    Science.gov (United States)

    Perry, W; Light, G A; Davis, H; Braff, D L

    2000-12-15

    Declarative memory refers to the recall and recognition of factual information. In contrast, non-declarative memory entails a facilitation of memory based on prior exposure and is typically assessed with priming and perceptual-motor sequencing tasks. In this study, schizophrenia patients were compared to normal comparison subjects on two computerized memory tasks: the Word-stem Priming Test (n=30) and the Pattern Sequence Learning Test (n=20). Word-stem Priming includes recall, recognition (declarative) and priming (non-declarative) components of memory. The schizophrenia patients demonstrated an impaired performance on recall of words with relative improvement during the recognition portion of the test. Furthermore, they performed normally on the priming portion of the test. Thus, on tests of declarative memory, the patients had retrieval deficits with intact performance on the non-declarative memory component. The Pattern Sequence Learning Test utilizes a serial reaction time paradigm to assess non-declarative memory. The schizophrenia patients' serial reaction time was significantly slower than that of comparison subjects. However, the patients' rate of acquisition was not different from the normal comparison group. The data suggest that patients with schizophrenia process more slowly than normal, but have an intact non-declarative memory. The schizophrenia patients' dissociation on declarative vs. non-declarative memory tests is discussed in terms of possible underlying structural impairment.

  2. Spatial correlation of conductive filaments for multiple switching cycles in CBRAM

    KAUST Repository

    Pey, K. L.; Raghavan, N.; Wu, X.; Bosman, M.; Zhang, Xixiang; Li, Kun

    2014-01-01

    Conducting bridge random access memory (CBRAM) is one of the potential technologies being considered for replacement of Flash memory for non-volatile data storage. CBRAM devices operate on the principle of nucleation and rupture of metallic

  3. On the non-causal link between volatility and growth

    DEFF Research Database (Denmark)

    Posch, Olaf; Wälde, Klaus

    A model highlighting the endogeneity of both volatility and growth is presented. Volatility and growth are therefore correlated but there is no causal link from volatility to growth. This joint endogeneity is illustrated by working out the effects through which economies with different tax levels...... di er both in their volatility and growth. Using a continuous-time DSGE model with plausible parametric restrictions, we obtain closedform measures of macro volatility based on cyclical components and output growth rates. Given our results, empirical volatility-growth analysis should include controls...

  4. Integration of ammonia-plasma-functionalized graphene nanodiscs as charge trapping centers for nonvolatile memory applications

    KAUST Repository

    Wang, Jer-Chyi

    2016-11-23

    Graphene nanodiscs (GNDs), functionalized using NH3 plasma, as charge trapping sites (CTSs) for non-volatile memory applications have been investigated in this study. The fabrication process relies on the patterning of Au nanoparticles (Au-NPs), whose thicknesses are tuned to adjust the GND density and size upon etching. A GND density as high as 8 × 1011 cm−2 and a diameter of approximately 20 nm are achieved. The functionalization of GNDs by NH3 plasma creates Nsingle bondH+ functional groups that act as CTSs, as observed by Raman and Fourier transform infrared spectroscopy. This inherently enhances the density of CTSs in the GNDs, as a result, the memory window becomes more than 2.4 V and remains stable after 104 operating cycles. The charge loss is less than 10% for a 10-year data retention testing, making this low-temperature process suitable for low-cost non-volatile memory applications on flexible substrates.

  5. The microstructure investigation of GeTi thin film used for non-volatile memory

    International Nuclear Information System (INIS)

    Shen Jie; Liu Bo; Song Zhitang; Xu Cheng; Liang Shuang; Feng Songlin; Chen Bomy

    2008-01-01

    GeTi thin film has been found to have the reversible resistance switching property in our previous work. In this paper, the microstructure of this material with a given composition was investigated. The film was synthesized by magnetron sputtering and treated by the rapid temperature process. The results indicate a coexist status of amorphous and polycrystalline states in the as-deposited GeTi film, and the grains in the film are extremely fine. Furthermore, not until the film annealed at 600 deg. C, can the polycrystalline state be detected by X-ray diffraction. Based on the morphological analysis, the sputtered GeTi has the column growth tendency, and the column structure vanishes with the temperature increasing. The microstructure and thermal property analysis indicate that GeTi does not undergo evident phase change process during the annealing process, which makes the switching mechanism of GeTi different from that of chalcogenide memory material, the most widely used phase change memory material

  6. Field-induced strain memory with non-180 .deg. domain-reorientation control

    International Nuclear Information System (INIS)

    Kadota, Yoichi; Hosaka, Hiroshi; Morita, Takeshi

    2010-01-01

    Using non-180 .deg. domain-reorientation control, we propose the strain memory effect in ferroelectric ceramics. Electric fields with asymmetric amplitudes were applied to soft-type lead zirconate titanate (PZT) ceramics, and the strain hysteresis and the polarization loop were measured. The butterfly curve became asymmetric under an electric field with a particular asymmetric amplitude. The asymmetric butterfly curve had two stable strain states at zero electric field. Thus, the strain memory effect was realized as the difference between the two stable strain states. An XRD analysis was carried out to verify the contribution of the non-180 .deg. domain reorientation to the strain memory effect. The non-180 .deg. domain reorientation was determined as the intensity ratio of the (002) to the (200) peak. The strain memory determined from macroscopic strain measurements had a linear relationship to the non-180 .deg. domain volume fraction. This result indicated the origin of the strain memory to be the non-180 .deg. domain reorientation.

  7. Spin torque switching of 20 nm magnetic tunnel junctions with perpendicular anisotropy

    Science.gov (United States)

    Gajek, M.; Nowak, J. J.; Sun, J. Z.; Trouilloud, P. L.; O'Sullivan, E. J.; Abraham, D. W.; Gaidis, M. C.; Hu, G.; Brown, S.; Zhu, Y.; Robertazzi, R. P.; Gallagher, W. J.; Worledge, D. C.

    2012-03-01

    Spin-transfer torque magnetic random access memory (STT-MRAM) is one of the most promising emerging non-volatile memory technologies. MRAM has so far been demonstrated with a unique combination of density, speed, and non-volatility in a single chip, however, without the capability to replace any single mainstream memory. In this paper, we demonstrate the basic physics of spin torque switching in 20 nm diameter magnetic tunnel junctions with perpendicular magnetic anisotropy materials. This deep scaling capability clearly indicates the STT MRAM device itself may be suitable for integration at much higher densities than previously proven.

  8. Biotransformation of volatile fatty acids by oleaginous and non-oleaginous yeast species

    Czech Academy of Sciences Publication Activity Database

    Kolouchová, I.; Schreiberová, O.; Sigler, Karel; Masák, J.; Řezanka, Tomáš

    2015-01-01

    Roč. 15, č. 7 (2015) ISSN 1567-1356 R&D Projects: GA ČR GA14-00227S Institutional support: RVO:61388971 Keywords : oleaginous yeasts * non-oleaginous yeasts * volatile fatty acids Subject RIV: EE - Microbiology, Virology Impact factor: 2.479, year: 2015

  9. The multivariate supOU stochastic volatility model

    DEFF Research Database (Denmark)

    Barndorff-Nielsen, Ole; Stelzer, Robert

    Using positive semidefinite supOU (superposition of Ornstein-Uhlenbeck type) processes to describe the volatility, we introduce a multivariate stochastic volatility model for financial data which is capable of modelling long range dependence effects. The finiteness of moments and the second order...... structure of the volatility, the log returns, as well as their "squares" are discussed in detail. Moreover, we give several examples in which long memory effects occur and study how the model as well as the simple Ornstein-Uhlenbeck type stochastic volatility model behave under linear transformations....... In particular, the models are shown to be preserved under invertible linear transformations. Finally, we discuss how (sup)OU stochastic volatility models can be combined with a factor modelling approach....

  10. Technology projects for characterization--monitoring of volatile organic compounds (VOCs)

    Energy Technology Data Exchange (ETDEWEB)

    Junk, G.A.; Haas, W.J. Jr.

    1992-07-01

    One hundred thirty technology project titles related to the characterization of volatile organic compounds (VOCs) at an arid site are listed alphabetically by first contact person in a master compilation that includes phone numbers, addresses, keywords, and short descriptions. Separate tables are presented for 62 field-demonstrated, 36 laboratory-demonstrated, and 35 developing technology projects. The technology projects in each of these three categories are also prioritized in separate summary tables. Additional tables are presented for a number of other categorizations of the technology projects: In Situ; Fiberoptic; Mass Spectrometer; Optical Spectroscopy; Raman or SERS; Ion Mobility or Acoustic; Associated; and Commercial. Four lists of contact person names are provided so details concerning the projects that deal with sampling, and VOCs in gases, waters, and soils (sediments) can be obtained. Finally, seven wide-ranging conclusions based on observations and experiences during this work are presented.

  11. Technology projects for characterization--monitoring of volatile organic compounds (VOCs)

    International Nuclear Information System (INIS)

    Junk, G.A.; Haas, W.J. Jr.

    1992-07-01

    One hundred thirty technology project titles related to the characterization of volatile organic compounds (VOCs) at an arid site are listed alphabetically by first contact person in a master compilation that includes phone numbers, addresses, keywords, and short descriptions. Separate tables are presented for 62 field-demonstrated, 36 laboratory-demonstrated, and 35 developing technology projects. The technology projects in each of these three categories are also prioritized in separate summary tables. Additional tables are presented for a number of other categorizations of the technology projects: In Situ; Fiberoptic; Mass Spectrometer; Optical Spectroscopy; Raman or SERS; Ion Mobility or Acoustic; Associated; and Commercial. Four lists of contact person names are provided so details concerning the projects that deal with sampling, and VOCs in gases, waters, and soils (sediments) can be obtained. Finally, seven wide-ranging conclusions based on observations and experiences during this work are presented

  12. Low-field Switching Four-state Nonvolatile Memory Based on Multiferroic Tunnel Junctions

    Science.gov (United States)

    Yau, H. M.; Yan, Z. B.; Chan, N. Y.; Au, K.; Wong, C. M.; Leung, C. W.; Zhang, F. Y.; Gao, X. S.; Dai, J. Y.

    2015-08-01

    Multiferroic tunneling junction based four-state non-volatile memories are very promising for future memory industry since this kind of memories hold the advantages of not only the higher density by scaling down memory cell but also the function of magnetically written and electrically reading. In this work, we demonstrate a success of this four-state memory in a material system of NiFe/BaTiO3/La0.7Sr0.3MnO3 with improved memory characteristics such as lower switching field and larger tunneling magnetoresistance (TMR). Ferroelectric switching induced resistive change memory with OFF/ON ratio of 16 and 0.3% TMR effect have been achieved in this multiferroic tunneling structure.

  13. Cost-effective, transfer-free, flexible resistive random access memory using laser-scribed reduced graphene oxide patterning technology.

    Science.gov (United States)

    Tian, He; Chen, Hong-Yu; Ren, Tian-Ling; Li, Cheng; Xue, Qing-Tang; Mohammad, Mohammad Ali; Wu, Can; Yang, Yi; Wong, H-S Philip

    2014-06-11

    Laser scribing is an attractive reduced graphene oxide (rGO) growth and patterning technology because the process is low-cost, time-efficient, transfer-free, and flexible. Various laser-scribed rGO (LSG) components such as capacitors, gas sensors, and strain sensors have been demonstrated. However, obstacles remain toward practical application of the technology where all the components of a system are fabricated using laser scribing. Memory components, if developed, will substantially broaden the application space of low-cost, flexible electronic systems. For the first time, a low-cost approach to fabricate resistive random access memory (ReRAM) using laser-scribed rGO as the bottom electrode is experimentally demonstrated. The one-step laser scribing technology allows transfer-free rGO synthesis directly on flexible substrates or non-flat substrates. Using this time-efficient laser-scribing technology, the patterning of a memory-array area up to 100 cm(2) can be completed in 25 min. Without requiring the photoresist coating for lithography, the surface of patterned rGO remains as clean as its pristine state. Ag/HfOx/LSG ReRAM using laser-scribing technology is fabricated in this work. Comprehensive electrical characteristics are presented including forming-free behavior, stable switching, reasonable reliability performance and potential for 2-bit storage per memory cell. The results suggest that laser-scribing technology can potentially produce more cost-effective and time-effective rGO-based circuits and systems for practical applications.

  14. Switching speed in resistive random access memories (RRAMS) based on plastic semiconductor

    NARCIS (Netherlands)

    Rocha, P.R.F.; Gomes, H.L.; Kiazadeh, A.; Chen, Qian; Leeuw, de D.M.; Meskers, S.C.J.

    2011-01-01

    This work addresses non-volatile memories based on metal-oxide polymer diodes. We make a thorough investigation into the static and dynamic behavior. Current-voltage characteristics with varying voltage ramp speed demonstrate that the internal capacitive double-layer structure inhibits the switching

  15. Soluble dendrimers europium(III) β-diketonate complex for organic memory devices

    International Nuclear Information System (INIS)

    Wang Binbin; Fang Junfeng; Li Bin; You Han; Ma Dongge; Hong Ziruo; Li Wenlian; Su Zhongmin

    2008-01-01

    We report the synthesis of a soluble dendrimers europium(III) complex, tris(dibenzoylmethanato)(1,3,5-tris[2-(2'-pyridyl) benzimidazoly]methylbenzene)-europium(III), and its application in organic electrical bistable memory device. Excellent stability that ensured more than 10 6 write-read-erase-reread cycles has been performed in ambient conditions without current-induced degradation. High-density, low-cost memory, good film-firming property, fascinating thermal and morphological stability allow the application of the dendrimers europium(III) complex as an active medium in non-volatile memory devices

  16. RE-COST: Cost and Business Comparisons of Renewable vs. Non-renewable Technologies

    Energy Technology Data Exchange (ETDEWEB)

    Mostajo Veiga, Mercedes; Farina Alvarez, Pablo; Fernandez-Montes Moraleda, Manuel; Kleinsorge, Anne

    2012-07-15

    Based on real plant data, the RE-COST project concludes that in many OECD energy markets, new renewable energy technologies (RET) are close to be competitive with non-RET electricity plants. RET costs are decreasing rapidly, while conventional power plants are affected by lower utilisation rates, volatile coal and gas prices, CO2 pricing, and lower electricity demand than expected. If energy prices would account for air pollution and climate change, renewables would already be the most beneficial for society and business.

  17. High-performance and low-power rewritable SiOx 1 kbit one diode-one resistor crossbar memory array.

    Science.gov (United States)

    Wang, Gunuk; Lauchner, Adam C; Lin, Jian; Natelson, Douglas; Palem, Krishna V; Tour, James M

    2013-09-14

    An entire 1-kilobit crossbar device based upon SiOx resistive memories with integrated diodes has been made. The SiOx -based one diode-one resistor device system has promise to satisfy the prerequisite conditions for next generation non-volatile memory applications. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Fluctuation behaviors of financial return volatility duration

    Science.gov (United States)

    Niu, Hongli; Wang, Jun; Lu, Yunfan

    2016-04-01

    It is of significantly crucial to understand the return volatility of financial markets because it helps to quantify the investment risk, optimize the portfolio, and provide a key input of option pricing models. The characteristics of isolated high volatility events above certain threshold in price fluctuations and the distributions of return intervals between these events arouse great interest in financial research. In the present work, we introduce a new concept of daily return volatility duration, which is defined as the shortest passage time when the future volatility intensity is above or below the current volatility intensity (without predefining a threshold). The statistical properties of the daily return volatility durations for seven representative stock indices from the world financial markets are investigated. Some useful and interesting empirical results of these volatility duration series about the probability distributions, memory effects and multifractal properties are obtained. These results also show that the proposed stock volatility series analysis is a meaningful and beneficial trial.

  19. FPGA-based prototype storage system with phase change memory

    Science.gov (United States)

    Li, Gezi; Chen, Xiaogang; Chen, Bomy; Li, Shunfen; Zhou, Mi; Han, Wenbing; Song, Zhitang

    2016-10-01

    With the ever-increasing amount of data being stored via social media, mobile telephony base stations, and network devices etc. the database systems face severe bandwidth bottlenecks when moving vast amounts of data from storage to the processing nodes. At the same time, Storage Class Memory (SCM) technologies such as Phase Change Memory (PCM) with unique features like fast read access, high density, non-volatility, byte-addressability, positive response to increasing temperature, superior scalability, and zero standby leakage have changed the landscape of modern computing and storage systems. In such a scenario, we present a storage system called FLEET which can off-load partial or whole SQL queries to the storage engine from CPU. FLEET uses an FPGA rather than conventional CPUs to implement the off-load engine due to its highly parallel nature. We have implemented an initial prototype of FLEET with PCM-based storage. The results demonstrate that significant performance and CPU utilization gains can be achieved by pushing selected query processing components inside in PCM-based storage.

  20. Declarative and Non-declarative Memory Consolidation in Children with Sleep Disorder.

    Science.gov (United States)

    Csábi, Eszter; Benedek, Pálma; Janacsek, Karolina; Zavecz, Zsófia; Katona, Gábor; Nemeth, Dezso

    2015-01-01

    Healthy sleep is essential in children's cognitive, behavioral, and emotional development. However, remarkably little is known about the influence of sleep disorders on different memory processes in childhood. Such data could give us a deeper insight into the effect of sleep on the developing brain and memory functions and how the relationship between sleep and memory changes from childhood to adulthood. In the present study we examined the effect of sleep disorder on declarative and non-declarative memory consolidation by testing children with sleep-disordered breathing (SDB) which is characterized by disrupted sleep structure. We used a story recall task to measure declarative memory and Alternating Serial Reaction time (ASRT) task to assess non-declarative memory. This task enables us to measure two aspects of non-declarative memory, namely general motor skill learning and sequence-specific learning. There were two sessions: a learning phase and a testing phase, separated by a 12 h offline period with sleep. Our data showed that children with SDB exhibited a generally lower declarative memory performance both in the learning and testing phase; however, both the SDB and control groups exhibited retention of the previously recalled items after the offline period. Here we showed intact non-declarative consolidation in SDB group in both sequence-specific and general motor skill. These findings suggest that sleep disorders in childhood have a differential effect on different memory processes (online vs. offline) and give us insight into how sleep disturbances affects developing brain.

  1. Non-volatile polarization switch of magnetic domain wall velocity

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.; Stolichnov, I.; Setter, N. [Ceramics Laboratory, EPFL-Swiss Federal Institute of Technology, Lausanne 1015 (Switzerland); Bernand-Mantel, A.; Schott, Marine; Pizzini, S.; Ranno, L. [University of Grenoble Alpes, Institut Néel, F-38042 Grenoble (France); CNRS, Institut Néel, F-38042 Grenoble (France); Auffret, S.; Gaudin, G. [SPINTEC, UMR-8191, CEA/CNRS/UJF/GINP, INAC, F-38054 Grenoble (France)

    2015-12-21

    Controlled propagation speed of individual magnetic domains in metal channels at the room temperature is obtained via the non-volatile field effect associated with the switchable polarization of P(VDF-TrFE) (polyvinylidene fluoride-trifluoroethylene) ferroelectric polymer. Polarization domains directly written using conducting atomic force microscope probe locally accelerate/decelerate the magnetic domains in the 0.6 nm thick Co film. The change of the magnetic domain wall velocity is consistent with the magnetic anisotropy energy modulation through the polarization upward/downward orientation. Excellent retention is observed. The demonstrated local non-destructive and reversible change of magnetic properties via rewritable patterning of ferroelectric domains could be attractive for exploring the ultimate limit of miniaturization in devices based on ferromagnetic/ferroelectric bilayers.

  2. Embedded NVM technology at BEOL for 14nm FinFET and beyond

    Science.gov (United States)

    Chi, Min-hwa

    2016-10-01

    As the FinFET technology is state-of-art CMOS platform at 14nm node and beyond, the embedded non-volatile memory (NVM) technologies need to be fully compatible at front-of-line (FEOL) or back-of-line (BEOL), e.g. Phase-Change-RAM (PCRAM), Resistive-RAM (RRAM), Magnetic-RAM (MRAM), and Nanotube-RAM (NRAM). Each NVM technology at BEOL has its own challenges in program power/energy/speed, thermal stability, read/write stability, endurance, scalability, read/write margins, and degradation by Oxidation, thus, a combination of the NVM technologies at BEOL may offer new applications with capability of stacking-up into 3D array. The CNT-based logic and spin-based logic circuits can be integrated in BEOL and lead to powerful 3D-monolithic integration for new applications with high performance and low power.

  3. Hybrid Josephson-CMOS Memory in Advanced Technologies and Larger Sizes

    International Nuclear Information System (INIS)

    Liu, Q; Van Duzer, T; Fujiwara, K; Yoshikawa, N

    2006-01-01

    Recent progress on demonstrating components of the 64 kb Josephson-CMOS hybrid memory has encouraged exploration of the advancement possible with use of advanced technologies for both the Josephson and CMOS parts of the memory, as well as considerations of the effect of memory size on access time and power dissipation. The simulations to be reported depend on the use of an approximate model for 90 nm CMOS at 4 K. This model is an extension of the one we developed for 0.25 μm CMOS and have already verified. For the Josephson parts, we have chosen 20 kA/cm 2 technology, which was recently demonstrated. The calculations show that power dissipation and access time increase rather slowly with increasing size of the memory

  4. Long memory and the relation between implied and realized volatility

    OpenAIRE

    Federico Bandi; Benoit Perron

    2003-01-01

    We argue that the conventional predictive regression between implied volatility (regressor) and realized volatility over the remaining life of the option (regressand) is likely to be a fractional cointegrating relation. Since cointegration is associated with long-run comovements, this finding modifies the usual interpretation of such regression as a study towards assessing option market efficiency (given a certain option pricing model) and/or short-term unbiasedness of implied volatility as a...

  5. Expression of MEP Pathway Genes and Non-volatile Sequestration Are Associated with Circadian Rhythm of Dominant Terpenoids Emission in Osmanthus fragrans Lour. Flowers

    Directory of Open Access Journals (Sweden)

    Riru Zheng

    2017-10-01

    Full Text Available Osmanthus fragrans Lour. is one of the top 10 traditional ornamental flowers in China famous for its unique fragrance. Preliminary study proved that the terpenoids including ionone, linalool, and ocimene and their derivatives are the dominant aroma-active compounds that contribute greatly to the scent bouquet. Pollination observation implies the emission of aromatic terpenoids may follow a circadian rhythm. In this study, we investigated the variation of volatile terpenoids and its potential regulators. The results showed that both volatile and non-volatile terpenoids presented circadian oscillation with high emission or accumulation during the day and low emission or accumulation during the night. The volatile terpenoids always increased to reach their maximum values at 12:00 h, while free and glycosylated compounds continued increasing throughout the day. The depletion of non-volatile pool might provide the substrates for volatile emission at 0:00–6:00, suggesting the sequestration of non-volatile compounds acted like a buffer regulating emission of terpenoids. Further detection of MEP pathway genes demonstrated that their expressions increased significantly in parallel with the evident increase of both volatile and non-volatile terpenoids during the day, indicating that the gene expressions were also closely associated with terpenoid formation. Thus, the expression of MEP pathway genes and internal sequestration both played crucial roles in modulating circadian rhythm of terpenoid emission in O. fragrans.

  6. Medical imaging technology shock and volatility of macro economics: Analysis using a three-sector dynamical stochastic general equilibrium REC model.

    Science.gov (United States)

    Han, Shurong; Huang, Yeqing

    2017-07-07

    The study analysed the medical imaging technology business cycle from 1981 to 2009 and found that the volatility of consumption in Chinese medical imaging business was higher than that of the developed countries. The volatility of gross domestic product (GDP) and the correlation between consumption and GDP is also higher than that of the developed countries. Prior to the early 1990s the volatility of consumption is even higher than GDP. This fact makes it difficult to explain the volatile market using the standard one sector real economic cycle (REC) model. Contrary to the other domestic studies, this study considers a three-sector dynamical stochastic general equilibrium REC model. In this model there are two consumption sectors, whereby one is labour intensive and another is capital intensive. The more capital intensive investment sector only introduces technology shocks in the medical imaging market. Our response functions and Monte-Carlo simulation results show that the model can explain 90% of the volatility of consummation relative to GDP, and explain the correlation between consumption and GDP. The results demonstrated the significant correlation between the technological reform in medical imaging and volatility in the labour market on Chinese macro economy development.

  7. Declarative and non-declarative memory consolidation in children with sleep disorder

    Directory of Open Access Journals (Sweden)

    Eszter eCsabi

    2016-01-01

    Full Text Available Healthy sleep is essential in children’s cognitive, behavioral, and emotional development. However, remarkably little is known about the influence of sleep disorders on different memory processes in childhood. Such data could give us a deeper insight into the effect of sleep on the developing brain and memory functions and how the relationship between sleep and memory changes from childhood to adulthood. In the present study we examined the effect of sleep disorder on declarative and non-declarative memory consolidation by testing children with sleep-disordered breathing (SDB which is characterized by disrupted sleep structure. We used a story recall task to measure declarative memory and Alternating Serial Reaction Time (ASRT task to assess non-declarative memory. This task enables us to measure two aspects of non-declarative memory, namely general motor skill learning and sequence-specific learning. There were two sessions: a learning phase and a testing phase, separated by a 12-hour offline period with sleep. Our data showed that children with SDB exhibited a generally lower declarative memory performance both in the learning and testing phase; however, both the SDB and control groups exhibited retention of the previously recalled items after the offline period. Here we showed intact non-declarative consolidation in SDB group in both sequence-specific and general motor skill. These findings suggest that sleep disorders in childhood have a differential effect on different memory processes (online vs. offline and give us insight into how sleep disturbances affects developing brain.

  8. ENVIROMETAL TECHNOLOGIES, INC., METAL-ENHANCED DECHLORINATION OF VOLATILE ORGANIC COMPOUNDS USING AN IN-SITU REACTIVE IRON WALL

    Science.gov (United States)

    This report summarizes the results of a field demonstration conducted under the SITE program. The technology that was demonstrated was a metal-enhanced dechlorination process developed by EnviroMetal Technologies, Inc. to treat groundwater contaminated with chlorinated volatile ...

  9. Interim report on testing of off-gas treatment technologies for abatement of atmospheric emissions of chlorinated volatile organic compounds

    International Nuclear Information System (INIS)

    Haselow, J.S.; Jarosch, T.R.; Rossabi, J.; Burdick, S.; Lombard, K.

    1993-12-01

    The purpose of this report is to briefly summarize the results to date of the off-gas treatment program for atmospheric emissions of chlorinated volatile organic compounds (CVOCs), in particular trichloroethylene (TCE) and perchloroethylene (PCE). This program is part of the Department of Energy's Office of Technology Development's Integrated Demonstration for Treatment of Organics in Soil and Water at a Non-Arid Site. The off-gas treatment program was initiated after testing of in-situ air stripping with horizontal wells was completed. That successful test expectedly produced atmospheric emissions of CVOCs that were unabated. It was decided after that test that an off-gas treatment program would complement the Integrated Demonstration not only because off-gas treatment is an integral portion of remediation of CVOC contamination in groundwater and soil but also because several technologies were being developed across the US to mitigate CVOC emissions. A single platform for testing off-gas treatment technologies would facilitate systematic and unbiased evaluation of the emerging technologies

  10. Development of Next Generation Memory Test Experiment for Deployment on a Small Satellite

    Science.gov (United States)

    MacLeod, Todd; Ho, Fat D.

    2012-01-01

    The original Memory Test Experiment successfully flew on the FASTSAT satellite launched in November 2010. It contained a single Ramtron 512K ferroelectric memory. The memory device went through many thousands of read/write cycles and recorded any errors that were encountered. The original mission length was schedule to last 6 months but was extended to 18 months. New opportunities exist to launch a similar satellite and considerations for a new memory test experiment should be examined. The original experiment had to be designed and integrated in less than two months, so the experiment was a simple design using readily available parts. The follow-on experiment needs to be more sophisticated and encompass more technologies. This paper lays out the considerations for the design and development of this follow-on flight memory experiment. It also details the results from the original Memory Test Experiment that flew on board FASTSAT. Some of the design considerations for the new experiment include the number and type of memory devices to be used, the kinds of tests that will be performed, other data needed to analyze the results, and best use of limited resources on a small satellite. The memory technologies that are considered are FRAM, FLASH, SONOS, Resistive Memory, Phase Change Memory, Nano-wire Memory, Magneto-resistive Memory, Standard DRAM, and Standard SRAM. The kinds of tests that could be performed are read/write operations, non-volatile memory retention, write cycle endurance, power measurements, and testing Error Detection and Correction schemes. Other data that may help analyze the results are GPS location of recorded errors, time stamp of all data recorded, radiation measurements, temperature, and other activities being perform by the satellite. The resources of power, volume, mass, temperature, processing power, and telemetry bandwidth are extremely limited on a small satellite. Design considerations must be made to allow the experiment to not interfere

  11. Identifying Non-Volatile Data Storage Areas: Unique Notebook Identification Information as Digital Evidence

    Directory of Open Access Journals (Sweden)

    Nikica Budimir

    2007-03-01

    Full Text Available The research reported in this paper introduces new techniques to aid in the identification of recovered notebook computers so they may be returned to the rightful owner. We identify non-volatile data storage areas as a means of facilitating the safe storing of computer identification information. A forensic proof of concept tool has been designed to test the feasibility of several storage locations identified within this work to hold the data needed to uniquely identify a computer. The tool was used to perform the creation and extraction of created information in order to allow the analysis of the non-volatile storage locations as valid storage areas capable of holding and preserving the data created within them.  While the format of the information used to identify the machine itself is important, this research only discusses the insertion, storage and ability to retain such information.

  12. Comparison of volatile and non-volatile metabolites in rice wine fermented by Koji inoculated with Saccharomycopsis fibuligera and Aspergillus oryzae.

    Science.gov (United States)

    Son, Eun Yeong; Lee, Sang Mi; Kim, Minjoo; Seo, Jeong-Ah; Kim, Young-Suk

    2018-07-01

    This study investigated volatile and nonvolatile metabolite profiles of makgeolli (a traditional rice wine in Korea) fermented by koji inoculated with Saccharomycopsis fibuligera and/or Aspergillus oryzae. The enzyme activities in koji were also examined to determine their effects on the formation of metabolites. The contents of all 18 amino acids detected were the highest in makgeolli fermented by S. fibuligera CN2601-09, and increased after combining with A. oryzae CN1102-08, unlike the contents of most fatty acids. On the other hand, major volatile metabolites were fusel alcohols, acetate esters, and ethyl esters. The contents of most fusel alcohols and acetate esters were the highest in makgeolli fermented by S. fibuligera CN2601-09, for which the protease activity was the highest, leading to the largest amounts of amino acods. The makgeolli samples fermented only by koji inoculated with S. fibuligera could be discriminated on PCA plots from the makgeolli samples fermented in combination with A. oryzae. In the case of nonvolatile metabolites, all amino acids and some metabolites such as xylose, 2-methylbenzoic acid, and oxalic acid contributed mainly to the characteristics of makgeolli fermented by koji inoculated with S. fibuligera and A. oryzae. These results showed that the formations of volatile and nonvolatile metabolites in makgeolli can be significantly affected by microbial strains with different enzyme activities in koji. To our knowledge, this study is the first report on the effects of S. fibuligera strains on the formation of volatile and non-volatile metabolites in rice wine, facilitating their use in brewing rice wine. Copyright © 2018. Published by Elsevier Ltd.

  13. Overgeneral autobiographical memory bias in clinical and non-clinical voice hearers.

    Science.gov (United States)

    Jacobsen, Pamela; Peters, Emmanuelle; Ward, Thomas; Garety, Philippa A; Jackson, Mike; Chadwick, Paul

    2018-03-14

    Hearing voices can be a distressing and disabling experience for some, whilst it is a valued experience for others, so-called 'healthy voice-hearers'. Cognitive models of psychosis highlight the role of memory, appraisal and cognitive biases in determining emotional and behavioural responses to voices. A memory bias potentially associated with distressing voices is the overgeneral memory bias (OGM), namely the tendency to recall a summary of events rather than specific occasions. It may limit access to autobiographical information that could be helpful in re-appraising distressing experiences, including voices. We investigated the possible links between OGM and distressing voices in psychosis by comparing three groups: (1) clinical voice-hearers (N = 39), (2) non-clinical voice-hearers (N = 35) and (3) controls without voices (N = 77) on a standard version of the autobiographical memory test (AMT). Clinical and non-clinical voice-hearers also completed a newly adapted version of the task, designed to assess voices-related memories (vAMT). As hypothesised, the clinical group displayed an OGM bias by retrieving fewer specific autobiographical memories on the AMT compared with both the non-clinical and control groups, who did not differ from each other. The clinical group also showed an OGM bias in recall of voice-related memories on the vAMT, compared with the non-clinical group. Clinical voice-hearers display an OGM bias when compared with non-clinical voice-hearers on both general and voices-specific recall tasks. These findings have implications for the refinement and targeting of psychological interventions for psychosis.

  14. Solution-processed flexible NiO resistive random access memory device

    Science.gov (United States)

    Kim, Soo-Jung; Lee, Heon; Hong, Sung-Hoon

    2018-04-01

    Non-volatile memories (NVMs) using nanocrystals (NCs) as active materials can be applied to soft electronic devices requiring a low-temperature process because NCs do not require a heat treatment process for crystallization. In addition, memory devices can be implemented simply by using a patterning technique using a solution process. In this study, a flexible NiO ReRAM device was fabricated using a simple NC patterning method that controls the capillary force and dewetting of a NiO NC solution at low temperature. The switching behavior of a NiO NC based memory was clearly observed by conductive atomic force microscopy (c-AFM).

  15. In-chip optical CD measurements for non-volatile memory devices

    Science.gov (United States)

    Vasconi, Mauro; Kremer, Stephanie; Polli, M.; Severgnini, Ermes; Trovati, Silvia S.

    2006-03-01

    A potential limitation to a wider usage of the scatterometry technique for CD evaluation comes from its requirement of dedicated regular measurement gratings, located in wafer scribe lanes. In fact, the simplification of the original chip layout that is often requested to design these gratings may impact on their printed dimension and shape. Etched gratings might also suffer from micro-loading effects other than in the circuit. For all these reasons, measurements collected therein may not represent the real behavior of the device. On the other hand, memory devices come with large sectors that usually possess the characteristics required for a proper scatterometry evaluation. In particular, for a leading edge flash process this approach is in principle feasible for the most critical process steps. The impact of potential drawbacks, mainly lack of pattern regularity within the tool probe area, is investigated. More, a very large sampling plan on features with equal nominal CD and density spread over the same exposure shot becomes feasible, thus yielding a deeper insight of the overall lithographic process window and a quantitative method to evaluate process equipment performance along time by comparison to acceptance data and/or last preventive maintenance. All the results gathered in the device main array are compared to those collected in standard scatterometry targets, tailored to the characteristics of the considered layers in terms of designed CD, pitch, stack and orientation.

  16. Volatilities, traded volumes, and the hypothesis of price increments in derivative securities

    Science.gov (United States)

    Lim, Gyuchang; Kim, SooYong; Scalas, Enrico; Kim, Kyungsik

    2007-08-01

    A detrended fluctuation analysis (DFA) is applied to the statistics of Korean treasury bond (KTB) futures from which the logarithmic increments, volatilities, and traded volumes are estimated over a specific time lag. In this study, the logarithmic increment of futures prices has no long-memory property, while the volatility and the traded volume exhibit the existence of the long-memory property. To analyze and calculate whether the volatility clustering is due to a inherent higher-order correlation not detected by with the direct application of the DFA to logarithmic increments of KTB futures, it is of importance to shuffle the original tick data of future prices and to generate a geometric Brownian random walk with the same mean and standard deviation. It was found from a comparison of the three tick data that the higher-order correlation inherent in logarithmic increments leads to volatility clustering. Particularly, the result of the DFA on volatilities and traded volumes can be supported by the hypothesis of price changes.

  17. Radiation-hardened MRAM-based LUT for non-volatile FPGA soft error mitigation with multi-node upset tolerance

    Science.gov (United States)

    Zand, Ramtin; DeMara, Ronald F.

    2017-12-01

    In this paper, we have developed a radiation-hardened non-volatile lookup table (LUT) circuit utilizing spin Hall effect (SHE)-magnetic random access memory (MRAM) devices. The design is motivated by modeling the effect of radiation particles striking hybrid complementary metal oxide semiconductor/spin based circuits, and the resistive behavior of SHE-MRAM devices via established and precise physics equations. The models developed are leveraged in the SPICE circuit simulator to verify the functionality of the proposed design. The proposed hardening technique is based on using feedback transistors, as well as increasing the radiation capacity of the sensitive nodes. Simulation results show that our proposed LUT circuit can achieve multiple node upset (MNU) tolerance with more than 38% and 60% power-delay product improvement as well as 26% and 50% reduction in device count compared to the previous energy-efficient radiation-hardened LUT designs. Finally, we have performed a process variation analysis showing that the MNU immunity of our proposed circuit is realized at the cost of increased susceptibility to transistor and MRAM variations compared to an unprotected LUT design.

  18. Effect of Ag nanoparticles on resistive switching of polyfluorene-based organic non-volatile memory devices

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Oh, Seung-Hwan; Choi, Hye-Jung; Wang, Gun-Uk; Kim, Dong-Yu; Hwang, Hyun-Sang; Lee, Tak-Hee

    2010-01-01

    The effects of Ag nanoparticles on the switching behavior of polyfluorene-based organic nonvolatile memory devices were investigated. Polyfluorene-derivatives (WPF-oxy-F) with and without Ag nanoparticles were synthesized, and the presence of Ag nanoparticles in Ag-WPF-oxy-F was identified by transmission electron microscopy and X-ray photoelectron spectroscopy analyses. The Ag-nanoparticles did not significantly affect the basic switching performances, such as the current-voltage characteristics, the distribution of on/off resistance, and the retention. The pulse switching time of Ag-WPF-oxy-F was faster than that of WPF-oxy-F. Ag-WPF-oxy-F memory devices showed an area dependence in the high resistance state, implying that formation of a Ag metallic channel for current conduction.

  19. Quantum memories: emerging applications and recent advances

    Science.gov (United States)

    Heshami, Khabat; England, Duncan G.; Humphreys, Peter C.; Bustard, Philip J.; Acosta, Victor M.; Nunn, Joshua; Sussman, Benjamin J.

    2016-01-01

    Quantum light–matter interfaces are at the heart of photonic quantum technologies. Quantum memories for photons, where non-classical states of photons are mapped onto stationary matter states and preserved for subsequent retrieval, are technical realizations enabled by exquisite control over interactions between light and matter. The ability of quantum memories to synchronize probabilistic events makes them a key component in quantum repeaters and quantum computation based on linear optics. This critical feature has motivated many groups to dedicate theoretical and experimental research to develop quantum memory devices. In recent years, exciting new applications, and more advanced developments of quantum memories, have proliferated. In this review, we outline some of the emerging applications of quantum memories in optical signal processing, quantum computation and non-linear optics. We review recent experimental and theoretical developments, and their impacts on more advanced photonic quantum technologies based on quantum memories. PMID:27695198

  20. Trajectories towards clean technology. Example of volatile organic compound emission reductions

    Energy Technology Data Exchange (ETDEWEB)

    Belis-Bergouignan, Marie-Claude; Oltra, Vanessa; Saint Jean, Maider [IFREDE-E3i, University Montesquieu-Bordeaux IV, Avenue Leon Duguit, Pessac 33608 (France)

    2004-02-20

    This article is based on the observation that, up until now, corporate investment has been limited in clean technologies despite the will of governmental authorities to stimulate them in order to cope with the demands of sustainable development. The paper deals with the issue of the development of clean technologies and the role of regulations as clean technology promoters. It tries to apprehend the characteristics and specificity of clean technology from both an empirical and a theoretical point of view, so as to understand which are the most favourable (or inversely, the most detrimental) conditions for their development. We use case studies concerning the reduction of volatile organic compound (VOC) emissions in the chemical and metallurgical industries. These two examples highlight the problems created by the shift from a 'with-solvent paradigm' to a 'solvent-free paradigm' and the way clean technology trajectories may spread within such paradigms. We show that the problem of clean technology development primarily resides in some factors that impede technological adoption, although a strong and mixed incentives framework prevails. Such impediments are sector-specific, leading to different clean technology trajectories among sectors and indicating areas of sectoral intervention that could become the cornerstones of complementary technology policy.

  1. Implementation of digital equality comparator circuit on memristive memory crossbar array using material implication logic

    Science.gov (United States)

    Haron, Adib; Mahdzair, Fazren; Luqman, Anas; Osman, Nazmie; Junid, Syed Abdul Mutalib Al

    2018-03-01

    One of the most significant constraints of Von Neumann architecture is the limited bandwidth between memory and processor. The cost to move data back and forth between memory and processor is considerably higher than the computation in the processor itself. This architecture significantly impacts the Big Data and data-intensive application such as DNA analysis comparison which spend most of the processing time to move data. Recently, the in-memory processing concept was proposed, which is based on the capability to perform the logic operation on the physical memory structure using a crossbar topology and non-volatile resistive-switching memristor technology. This paper proposes a scheme to map digital equality comparator circuit on memristive memory crossbar array. The 2-bit, 4-bit, 8-bit, 16-bit, 32-bit, and 64-bit of equality comparator circuit are mapped on memristive memory crossbar array by using material implication logic in a sequential and parallel method. The simulation results show that, for the 64-bit word size, the parallel mapping exhibits 2.8× better performance in total execution time than sequential mapping but has a trade-off in terms of energy consumption and area utilization. Meanwhile, the total crossbar area can be reduced by 1.2× for sequential mapping and 1.5× for parallel mapping both by using the overlapping technique.

  2. Hydrodistillation-adsorption method for the isolation of water-soluble, non-soluble and high volatile compounds from plant materials.

    Science.gov (United States)

    Mastelić, J; Jerković, I; Blazević, I; Radonić, A; Krstulović, L

    2008-08-15

    Proposed method of hydrodistillation-adsorption (HDA) on activated carbon and hydrodistillation (HD) with solvent trap were compared for the isolation of water-soluble, non-soluble and high volatile compounds, such as acids, monoterpenes, isothiocyanates and others from carob (Certonia siliqua L.), rosemary (Rosmarinus officinalis L.) and rocket (Eruca sativa L.). Isolated volatiles were analyzed by GC and GC/MS. The main advantages of HDA method over ubiquitous HD method were higher yields of volatile compounds and their simultaneous separation in three fractions that enabled more detail analyses. This method is particularly suitable for the isolation and analysis of the plant volatiles with high amounts of water-soluble compounds. In distinction from previously published adsorption of remaining volatile compounds from distillation water on activated carbon, this method offers simultaneous hydrodistillation and adsorption in the same apparatus.

  3. Aerosol volatility in a boreal forest environment

    Science.gov (United States)

    Häkkinen, S. A. K.; ńijälä, M.; Lehtipalo, K.; Junninen, H.; Virkkula, A.; Worsnop, D. R.; Kulmala, M.; Petäjä, T.; Riipinen, I.

    2012-04-01

    Climate and health effects of atmospheric aerosols are determined by their properties such as their chemical composition. Aerosol chemical composition can be studied indirectly by measuring volatility of aerosol particles. The volatility of submicron aerosol particles (20-500 nm) was studied in a boreal forest site at SMEAR II (Station for Measuring Ecosystem-Atmosphere Relations II) station (Vesala et al., 1998) in Hyytiälä, Finland, during 01/2008-05/2010. The instrument used for the measurements was VDMPS (Volatility Differential Mobility Particle Sizer), which consists of two separate instruments: DMPS (Differential Mobility Particle Sizer, Aalto et al., 2001) and TD (Thermodenuder, Wehner et al., 2002). Aerosol evaporation was examined by heating the aerosol and comparing the total aerosol mass before and after heating. In the VDMPS system ambient aerosol sample was heated up to temperatures ranging from 80 °C to 280 °C. The higher the heating temperature was the more aerosol material was evaporated. There was a non-volatile residual present in aerosol particles when heated up to 280 °C. This residual explained (20±8)% of the total aerosol mass. Aerosol non-volatile mass fraction was highest during winter and smallest during summer months. The role of black carbon in the observed non-volatile residual was determined. Black carbon explained 40 to 90% of the non-volatile mass. Especially during colder seasons noticeable amount of non-volatile material, something else than black carbon, was observed. According to Kalberer et al. (2004) some atmospheric organic species can form polymers that have high evaporation temperatures. Also low-volatile organic salts may contribute to the non-volatile aerosol (Smith et al., 2010). Aerosol mass composition measured directly with AMS (Aerosol Mass Spectrometer, Jayne et al., 2000) was analyzed in order to examine the properties of the non-volatile material (other than black carbon). The AMS measurements were performed

  4. Persistent non-verbal memory impairment in remitted major depression - caused by encoding deficits?

    Science.gov (United States)

    Behnken, Andreas; Schöning, Sonja; Gerss, Joachim; Konrad, Carsten; de Jong-Meyer, Renate; Zwanzger, Peter; Arolt, Volker

    2010-04-01

    While neuropsychological impairments are well described in acute phases of major depressive disorders (MDD), little is known about the neuropsychological profile in remission. There is evidence for episodic memory impairments in both acute depressed and remitted patients with MDD. Learning and memory depend on individuals' ability to organize information during learning. This study investigates non-verbal memory functions in remitted MDD and whether nonverbal memory performance is mediated by organizational strategies whilst learning. 30 well-characterized fully remitted individuals with unipolar MDD and 30 healthy controls matching in age, sex and education were investigated. Non-verbal learning and memory were measured by the Rey-Osterrieth-Complex-Figure-Test (RCFT). The RCFT provides measures of planning, organizational skills, perceptual and non-verbal memory functions. For assessing the mediating effects of organizational strategies, we used the Savage Organizational Score. Compared to healthy controls, participants with remitted MDD showed more deficits in their non-verbal memory function. Moreover, participants with remitted MDD demonstrated difficulties in organizing non-verbal information appropriately during learning. In contrast, no impairments regarding visual-spatial functions in remitted MDD were observed. Except for one patient, all the others were taking psychopharmacological medication. The neuropsychological function was solely investigated in the remitted phase of MDD. Individuals with MDD in remission showed persistent non-verbal memory impairments, modulated by a deficient use of organizational strategies during encoding. Therefore, our results strongly argue for additional therapeutic interventions in order to improve these remaining deficits in cognitive function. Copyright 2009 Elsevier B.V. All rights reserved.

  5. 76 FR 47478 - Event Data Recorders

    Science.gov (United States)

    2011-08-05

    ... increase the cost of memory for storage of acceleration data. It further commented that the revised... Requirements of Part 563 Part 563 specifies that if the EDR records acceleration data ``in non-volatile memory... protocols to better reflect current accelerometer technologies. \\4\\ See Docket number NHTSA-2004-18029. \\5...

  6. Emerging technology and architecture for big-data analytics

    CERN Document Server

    Chang, Chip; Yu, Hao

    2017-01-01

    This book describes the current state of the art in big-data analytics, from a technology and hardware architecture perspective. The presentation is designed to be accessible to a broad audience, with general knowledge of hardware design and some interest in big-data analytics. Coverage includes emerging technology and devices for data-analytics, circuit design for data-analytics, and architecture and algorithms to support data-analytics. Readers will benefit from the realistic context used by the authors, which demonstrates what works, what doesn’t work, and what are the fundamental problems, solutions, upcoming challenges and opportunities. Provides a single-source reference to hardware architectures for big-data analytics; Covers various levels of big-data analytics hardware design abstraction and flow, from device, to circuits and systems; Demonstrates how non-volatile memory (NVM) based hardware platforms can be a viable solution to existing challenges in hardware architecture for big-data analytics.

  7. Modeling and Forecasting the Implied Volatility of the WIG20 Index

    OpenAIRE

    Buszkowska-Khemissi, Eliza; Płuciennik, Piotr

    2007-01-01

    The implied volatility is one of the most important notions in the financial market. It informs about the volatility forecasted by the participans of the market. In this paper we calculate the daily implied volatility from options on the WIG20 index. First we test the long memory property of the time series obtained in such a way, and then we model and forcast it as ARFIMA process

  8. Vertex trigger implementation using shared memory technology

    CERN Document Server

    Müller, H

    1998-01-01

    The implementation of a 1 st level vertex trigger for LHC-B is particularly difficult due to the high ( 1 MHz ) input data rate. With ca. 350 silicon hits per event, both the R strips and Phi strips of the detectors produce a total of ca 2 Gbyte/s zero-suppressed da ta.1 note succeeds to the ideas to use R-phi coordinates for fast integer linefinding in programmable hardware, as described in LHB note 97-006. For an implementation we propose a FPGA preprocessing stage operating at 1 MHz with the benefit to substantially reduce the amount of data to be transmitted to the CPUs and to liberate a large fraction of CPU time. Interconnected via 4 Gbit/s SCI technol-ogy 2 , a shared memory system can be built which allows to perform data driven eventbuilding with, or without preprocessing. A fully data driven architecture between source modules and destination memories provides a highly reliable memory-to-memory transfer mechanism of very low latency. The eventbuilding is performed via associating events at the sourc...

  9. Uncorrelated multiple conductive filament nucleation and rupture in ultra-thin high-κ dielectric based resistive random access memory

    KAUST Repository

    Wu, Xing

    2011-08-29

    Resistive switching in transition metal oxides could form the basis for next-generation non-volatile memory (NVM). It has been reported that the current in the high-conductivity state of several technologically relevant oxide materials flows through localized filaments, but these filaments have been characterized only individually, limiting our understanding of the possibility of multiple conductive filaments nucleation and rupture and the correlation kinetics of their evolution. In this study, direct visualization of uncorrelated multiple conductive filaments in ultra-thin HfO2-based high-κ dielectricresistive random access memory (RRAM) device has been achieved by high-resolution transmission electron microscopy (HRTEM), along with electron energy loss spectroscopy(EELS), for nanoscale chemical analysis. The locations of these multiple filaments are found to be spatially uncorrelated. The evolution of these microstructural changes and chemical properties of these filaments will provide a fundamental understanding of the switching mechanism for RRAM in thin oxide films and pave way for the investigation into improving the stability and scalability of switching memory devices.

  10. Reserve growth during financial volatility in a technologically challenging world

    Science.gov (United States)

    Klett, Timothy R.; Gautier, Donald L.

    2010-01-01

    Reserve growth (growth-to-known) is the addition of oil and gas quantities to reported proved or proved-plus-probable reserves in discovered fields. The amount of reserve growth fluctuates through time with prevailing economic and technological conditions. Most reserve additions are the result of investment in field operations and in development technology. These investments can be justified by higher prices of oil and gas, the desire to maintain cash flow, and by greater recovery efficiency in well established fields. The price/cost ratio affects decisions for field abandonment and (or) implementation of improved recovery methods. Although small- to medium-size fields might show higher percentages of reserve growth, a relatively few giant fields contribute most volumetric reserve growth, indicating that companies may prefer to invest in existing fields with low geologic and production risk and an established infrastructure in order to increase their price/cost relationship. Whereas many previous estimates of reserve growth were based on past trends of reported reserves, future reserve growth is expected to be greatly affected by financial volatility and fluctuating economic and technological conditions.

  11. Revising psychoanalytic interpretations of the past. An examination of declarative and non-declarative memory processes.

    Science.gov (United States)

    Davis, J T

    2001-06-01

    The author reviews a contemporary cognitive psychology perspective on memory that views memory as being composed of multiple separate systems. Most researchers draw a fundamental distinction between declarative/explicit and non-declarative/implicit forms of memory. Declarative memory is responsible for the conscious recollection of facts and events--what is typically meant by the everyday and the common psychoanalytic use of the word 'memory'. Non-declarative forms of memory, in contrast, are specialised processes that influence experience and behaviour without representing the past in terms of any consciously accessible content. They operate outside of an individual's awareness, but are not repressed or otherwise dynamically unconscious. Using this theoretical framework, the question of how childhood relationship experiences are carried forward from the past to influence the present is examined. It is argued that incorporating a conceptualisation of non-declarative memory processing into psychoanalytic theory is essential. Non-declarative memory processes are capable of forming complex and sophisticated representations of the interpersonal world. These non-declarative memory processes exert a major impact on interpersonal experience and behaviour that needs to be analysed on its own terms and not mistakenly viewed as a form of resistance.

  12. Simultaneous Microwave Extraction and Separation of Volatile and Non-Volatile Organic Compounds of Boldo Leaves. From Lab to Industrial Scale

    Directory of Open Access Journals (Sweden)

    Loïc Petigny

    2014-04-01

    Full Text Available Microwave extraction and separation has been used to increase the concentration of the extract compared to the conventional method with the same solid/liquid ratio, reducing extraction time and separate at the same time Volatile Organic Compounds (VOC from non-Volatile Organic Compounds (NVOC of boldo leaves. As preliminary study, a response surface method has been used to optimize the extraction of soluble material and the separation of VOC from the plant in laboratory scale. The results from the statistical analysis revealed that the optimized conditions were: microwave power 200 W, extraction time 56 min and solid liquid ratio of 7.5% of plants in water. Lab scale optimized microwave method is compared to conventional distillation, and requires a power/mass ratio of 0.4 W/g of water engaged. This power/mass ratio is kept in order to upscale from lab to pilot plant.

  13. Testing for long memory in potentially nonstationary perturbed fractional processes

    DEFF Research Database (Denmark)

    Nielsen, Frank; Frederiksen, Per S.

    ¤er simulation results that show good size properties of the tests, with power against spurious long memory. An empirical study of daily log-squared returns series of exchange rates and DJIA30 stocks shows that indeed there is long memory in exchange rate volatility and stock return volatility....

  14. New technologies in electromagnetic non-destructive testing

    CERN Document Server

    Huang, Songling

    2016-01-01

    This book introduces novel developments in the field of electromagnetic non-destructive testing and evaluation (NDT/E). The topics include electromagnetic ultrasonic guided wave testing, pulsed eddy current testing, remote field eddy current testing, low frequency eddy current testing, metal magnetic memory testing, and magnetic flux leakage testing. Considering the increasing concern about the safety maintenance of critical structures in various industries and everyday life, these topics presented here will be of particular interest to the readers in the NDT/E field. This book covers both theoretical researches and the engineering applications of the electromagnetic NDT technology. It could serve as a valuable reference for college students and relevant NDT technicians. It is also a useful material for qualification training and higher learning for nondestructive testing professionals.

  15. Investigation of atmospheric pressure capillary non-thermal plasmas and their applications to the degradation of volatile organic compounds

    Science.gov (United States)

    Yin, Shu-Min

    Atmospheric pressure capillary non-thermal plasma (AP-CNTP) has been investigated as a potential technology far the removal of volatile organic compounds (VOCs) in Advanced Life Support Systems (ALS). AP-CNTP is a destructive technology far the removal of VOCs from air streams by active plasma species, such as electrons, ions, and excited molecules. Complete VOC destruction ideally results in the formation of water, carbon dioxide (CO2), and other by-product's may also form, including ozone (O3), nitrous oxide (N2O), nitrogen dioxide (NO2), and decomposed hydrocarbons. Several organic compounds, such as BTEX, ethylene, n-heptane, isooctane, methanol and NH3, were tested in an AP-CNTP system. Parametric experiments were carried out by varying plasma discharge power, flowrates, and initial concentrations. The degradation efficiency varied depending on the chemical nature of the compounds. A plasmochemical kinetic model was derived for toluene, ethylbenzene, and m-xylene and n-heptane.

  16. Emerging memories: resistive switching mechanisms and current status

    International Nuclear Information System (INIS)

    Jeong, Doo Seok; Thomas, Reji; Katiyar, R S; Scott, J F; Kohlstedt, H; Petraru, A; Hwang, Cheol Seong

    2012-01-01

    The resistance switching behaviour of several materials has recently attracted considerable attention for its application in non-volatile memory (NVM) devices, popularly described as resistive random access memories (RRAMs). RRAM is a type of NVM that uses a material(s) that changes the resistance when a voltage is applied. Resistive switching phenomena have been observed in many oxides: (i) binary transition metal oxides (TMOs), e.g. TiO 2 , Cr 2 O 3 , FeO x and NiO; (ii) perovskite-type complex TMOs that are variously functional, paraelectric, ferroelectric, multiferroic and magnetic, e.g. (Ba,Sr)TiO 3 , Pb(Zr x Ti 1−x )O 3 , BiFeO 3 and Pr x Ca 1−x MnO 3 ; (iii) large band gap high-k dielectrics, e.g. Al 2 O 3 and Gd 2 O 3 ; (iv) graphene oxides. In the non-oxide category, higher chalcogenides are front runners, e.g. In 2 Se 3 and In 2 Te 3 . Hence, the number of materials showing this technologically interesting behaviour for information storage is enormous. Resistive switching in these materials can form the basis for the next generation of NVM, i.e. RRAM, when current semiconductor memory technology reaches its limit in terms of density. RRAMs may be the high-density and low-cost NVMs of the future. A review on this topic is of importance to focus concentration on the most promising materials to accelerate application into the semiconductor industry. This review is a small effort to realize the ambitious goal of RRAMs. Its basic focus is on resistive switching in various materials with particular emphasis on binary TMOs. It also addresses the current understanding of resistive switching behaviour. Moreover, a brief comparison between RRAMs and memristors is included. The review ends with the current status of RRAMs in terms of stability, scalability and switching speed, which are three important aspects of integration onto semiconductors. (review article)

  17. Emerging memories: resistive switching mechanisms and current status

    Science.gov (United States)

    Jeong, Doo Seok; Thomas, Reji; Katiyar, R. S.; Scott, J. F.; Kohlstedt, H.; Petraru, A.; Hwang, Cheol Seong

    2012-07-01

    The resistance switching behaviour of several materials has recently attracted considerable attention for its application in non-volatile memory (NVM) devices, popularly described as resistive random access memories (RRAMs). RRAM is a type of NVM that uses a material(s) that changes the resistance when a voltage is applied. Resistive switching phenomena have been observed in many oxides: (i) binary transition metal oxides (TMOs), e.g. TiO2, Cr2O3, FeOx and NiO; (ii) perovskite-type complex TMOs that are variously functional, paraelectric, ferroelectric, multiferroic and magnetic, e.g. (Ba,Sr)TiO3, Pb(Zrx Ti1-x)O3, BiFeO3 and PrxCa1-xMnO3 (iii) large band gap high-k dielectrics, e.g. Al2O3 and Gd2O3; (iv) graphene oxides. In the non-oxide category, higher chalcogenides are front runners, e.g. In2Se3 and In2Te3. Hence, the number of materials showing this technologically interesting behaviour for information storage is enormous. Resistive switching in these materials can form the basis for the next generation of NVM, i.e. RRAM, when current semiconductor memory technology reaches its limit in terms of density. RRAMs may be the high-density and low-cost NVMs of the future. A review on this topic is of importance to focus concentration on the most promising materials to accelerate application into the semiconductor industry. This review is a small effort to realize the ambitious goal of RRAMs. Its basic focus is on resistive switching in various materials with particular emphasis on binary TMOs. It also addresses the current understanding of resistive switching behaviour. Moreover, a brief comparison between RRAMs and memristors is included. The review ends with the current status of RRAMs in terms of stability, scalability and switching speed, which are three important aspects of integration onto semiconductors.

  18. Structural, magnetic and photocatalytic characterization of Bi1 ...

    Indian Academy of Sciences (India)

    ... of Metallurgy and Materials Engineering, Iran University of Science and Technology, Tehran 13114-16846, Iran ... films are also a promising material for non-volatile memory ... impurity phases and improve ferroelectric properties as well.

  19. Materials and Physics Challenges for Spin Transfer Torque Magnetic Random Access Memories

    Energy Technology Data Exchange (ETDEWEB)

    Heinonen, O.

    2014-10-05

    Magnetic random access memories utilizing the spin transfer torque effect for writing information are a strong contender for non-volatile memories scalable to the 20 nm node, and perhaps beyond. I will here examine how these devices behave as the device size is scaled down from 70 nm size to 20 nm. As device sizes go below ~50 nm, the size becomes comparable to intrinsic magnetic length scales and the device behavior does not simply scale with size. This has implications for the device design and puts additional constraints on the materials in the device.

  20. Characteristics of a non-volatile liquid propellant in liquid-fed ablative pulsed plasma thrusters

    Science.gov (United States)

    Ling, William Yeong Liang; Schönherr, Tony; Koizumi, Hiroyuki

    2017-02-01

    In the past several decades, the use of electric propulsion in spacecraft has experienced tremendous growth. With the increasing adoption of small satellites in the kilogram range, suitable propulsion systems will be necessary in the near future. Pulsed plasma thrusters (PPTs) were the first form of electric propulsion to be deployed in orbit, and are highly suitable for small satellites due to their inherent simplicity. However, their lifetime is limited by disadvantages such as carbon deposition leading to thruster failure, and complicated feeding systems required due to the conventional use of solid propellants (usually polytetrafluoroethylene (PTFE)). A promising alternative to solid propellants has recently emerged in the form of non-volatile liquids that are stable in vacuum. This study presents a broad comparison of the non-volatile liquid perfluoropolyether (PFPE) and solid PTFE as propellants on a PPT with a common design base. We show that liquid PFPE can be successfully used as a propellant, and exhibits similar plasma discharge properties to conventional solid PTFE, but with a mass bit that is an order of magnitude higher for an identical ablation area. We also demonstrate that the liquid PFPE propellant has exceptional resistance to carbon deposition, completely negating one of the major causes of thruster failure, while solid PTFE exhibited considerable carbon build-up. Energy dispersive X-ray spectroscopy was used to examine the elemental compositions of the surface deposition on the electrodes and the ablation area of the propellant (or PFPE encapsulator). The results show that based on its physical characteristics and behavior, non-volatile liquid PFPE is an extremely promising propellant for use in PPTs, with an extensive scope available for future research and development.

  1. Scaling Non-Regular Shared-Memory Codes by Reusing Custom Loop Schedules

    Directory of Open Access Journals (Sweden)

    Dimitrios S. Nikolopoulos

    2003-01-01

    Full Text Available In this paper we explore the idea of customizing and reusing loop schedules to improve the scalability of non-regular numerical codes in shared-memory architectures with non-uniform memory access latency. The main objective is to implicitly setup affinity links between threads and data, by devising loop schedules that achieve balanced work distribution within irregular data spaces and reusing them as much as possible along the execution of the program for better memory access locality. This transformation provides a great deal of flexibility in optimizing locality, without compromising the simplicity of the shared-memory programming paradigm. In particular, the programmer does not need to explicitly distribute data between processors. The paper presents practical examples from real applications and experiments showing the efficiency of the approach.

  2. Non-declarative memory in the rehabilitation of amnesia.

    Science.gov (United States)

    Cavaco, S; Malec, J F; Bergquist, T

    2005-09-01

    The ability of amnesic patients to learn and retain non-declarative information has been consistently demonstrated in the literature. This knowledge provided by basic cognitive neuroscience studies has been widely neglected in neuropsychological rehabilitation of memory impaired patients. This study reports the case of a 43 year old man with severe amnesia following an anterior communicating artery (ACoA) aneurysm rupture. The patient integrated a comprehensive (holistic) day treatment programme for rehabilitation of brain injury. The programme explored the advantages of using preserved non-declarative memory capacities, in the context of commonly used rehabilitation approaches (i.e. compensation for lost function and domain-specific learning). The patient's ability to learn and retain new cognitive and perceptual-motor skills was found to be critical for the patient's improved independence and successful return to work.

  3. Decoupling the short- and long-term behavior of stochastic volatility

    DEFF Research Database (Denmark)

    Bennedsen, Mikkel; Lunde, Asger; Pakkanen, Mikko

    behavior) from long memory and persistence (long-term behavior) in a simple and parsimonious way, which allows us to successfully model volatility at all intraday time scales. Our prime model is based on the so-called Brownian semistationary process and we derive a number of theoretical properties...... measures of close to two thousand individual US equities, we find that both roughness and persistence appear to be universal properties of volatility. Inspired by the empirical findings, we introduce a new class of continuous-time stochastic volatility models, capable of decoupling roughness (short-term...

  4. Ferroelectric tunneling element and memory applications which utilize the tunneling element

    Science.gov (United States)

    Kalinin, Sergei V [Knoxville, TN; Christen, Hans M [Knoxville, TN; Baddorf, Arthur P [Knoxville, TN; Meunier, Vincent [Knoxville, TN; Lee, Ho Nyung [Oak Ridge, TN

    2010-07-20

    A tunneling element includes a thin film layer of ferroelectric material and a pair of dissimilar electrically-conductive layers disposed on opposite sides of the ferroelectric layer. Because of the dissimilarity in composition or construction between the electrically-conductive layers, the electron transport behavior of the electrically-conductive layers is polarization dependent when the tunneling element is below the Curie temperature of the layer of ferroelectric material. The element can be used as a basis of compact 1R type non-volatile random access memory (RAM). The advantages include extremely simple architecture, ultimate scalability and fast access times generic for all ferroelectric memories.

  5. Long memory persistence in the factor of Implied volatility dynamics

    OpenAIRE

    Härdle, Wolfgang Karl; Mungo, Julius

    2007-01-01

    The volatility implied by observed market prices as a function of the strike and time to maturity form an Implied Volatility Surface (IV S). Practical applications require reducing the dimension and characterize its dynamics through a small number of factors. Such dimension reduction is summarized by a Dynamic Semiparametric Factor Model (DSFM) that characterizes the IV S itself and their movements across time by a multivariate time series of factor loadings. This paper focuses on investigati...

  6. Linking unlearning with innovation through organizational memory and technology

    OpenAIRE

    Jiménez Jiménez, Daniel; Cepeda Carrión, Gabriel; Cegarra Navarro, Juan Gabriel

    2010-01-01

    While the information technologies provide organizational members with explicit concepts, such as writing instruction manuals, the ‘organizational memory’ provides individuals with tacit knowledge, such as systematic sets, routines and shared visions. This means that individuals within an organization learn by using both the organizational memory and the information technologies. They interact to reduce organizational information needs contributing to improve organizational innovativeness....

  7. Office of Technology Development integrated program for development of in situ remediation technologies

    International Nuclear Information System (INIS)

    Peterson, M.

    1992-08-01

    The Department of Energy's Office of Technology Development has instituted an integrated program focused on development of in situ remediation technologies. The development of in situ remediation technologies will focus on five problem groups: buried waste, contaminated soils, contaminated groundwater, containerized wastes and underground detonation sites. The contaminants that will be included in the development program are volatile and non volatile organics, radionuclides, inorganics and highly explosive materials as well as mixtures of these contaminants. The In Situ Remediation Integrated Program (ISR IP) has defined the fiscal year 1993 research and development technology areas for focusing activities, and they are described in this paper. These R ampersand D topical areas include: nonbiological in situ treatment, in situ bioremediation, electrokinetics, and in situ containment

  8. WORKSHOP REPORT - CONSIDERATIONS FOR DEVELOPING LEACHING TEST METHODS FOR SEMI- AND NON-VOLATILE ORGANIC COMPOUNDS

    Science.gov (United States)

    The report provides a summary of the information exchange at a workshop on the potential for release of semi- or non-volatile organic constituents at contaminated sites where sub-surface treatment has been used to control migration, and from waste that is disposed or re-used. The...

  9. Influence of mineral salts upon activity of Trichoderma harzianum non-volatile metabolites on Armillaria spp. rhizomorphs

    Directory of Open Access Journals (Sweden)

    Krystyna Przybył

    2011-01-01

    Full Text Available Effect of non-volatile metabolites of Trichoderma harzianum together with certain salts containing Mg++, Fe+++, Mn++, Cu++, Al+++, Ca++, K++, Na+, PO4--- and SO3--- on the production and length of rhizomorphs of Armillaria borealis, A. gallica and A. ostoyae was studied. In pure medium, T. harzianum exhibited stimulating effect on rhizomorphs of A. borealis (both number and length and A. ostoyae (only initiation. Cu++ salt totaly inhibited the initiation of rhizomorphs of Armillaria borealis, A. gallica and A. ostoyae. Effect of other compounds on the activity of T. harzianum depended on Armillaria species. The majority of chemical compounds tested supressed the activity of non-volatile metabolites of T. harzianum. Evident stimulating effect was observed under influence of sulphate salts consisting Al++ and Fe+++ on the rhizomorph number of A. borealis and A. gallica, respectively.

  10. Nationalist Technologies of Cultural Memory and the Korean War: Militarism and Neo-Liberalism in "The Price of Freedom" and the War Memorial of Korea

    Directory of Open Access Journals (Sweden)

    Daniel Y. Kim

    2015-03-01

    Full Text Available This article examines the technologies of nationalism that shape how the Korean War is depicted in two museum and memorial sites: The Price of Freedom: Americans at War, a permanent exhibit at the National Museum of American History in Washington, DC, and the War Memorial of Korea in Seoul. It shows how the use of traditional historical artifacts in The Price of Freedom and cinematic and digital technologies in the War Memorial generate structures of cultural memory that celebrate both a nationalist militarism and the ethos of neoliberalism.

  11. Working Memory for Linguistic and Non-linguistic Manual Gestures: Evidence, Theory, and Application.

    Science.gov (United States)

    Rudner, Mary

    2018-01-01

    Linguistic manual gestures are the basis of sign languages used by deaf individuals. Working memory and language processing are intimately connected and thus when language is gesture-based, it is important to understand related working memory mechanisms. This article reviews work on working memory for linguistic and non-linguistic manual gestures and discusses theoretical and applied implications. Empirical evidence shows that there are effects of load and stimulus degradation on working memory for manual gestures. These effects are similar to those found for working memory for speech-based language. Further, there are effects of pre-existing linguistic representation that are partially similar across language modalities. But above all, deaf signers score higher than hearing non-signers on an n-back task with sign-based stimuli, irrespective of their semantic and phonological content, but not with non-linguistic manual actions. This pattern may be partially explained by recent findings relating to cross-modal plasticity in deaf individuals. It suggests that in linguistic gesture-based working memory, semantic aspects may outweigh phonological aspects when processing takes place under challenging conditions. The close association between working memory and language development should be taken into account in understanding and alleviating the challenges faced by deaf children growing up with cochlear implants as well as other clinical populations.

  12. The 1988 Leti Division progress report

    International Nuclear Information System (INIS)

    Anon.

    1988-01-01

    The 1988 progress report of the CEA's LETI Division (Division of Electronics, Technology and Instrumentation, France) is presented. The missions of LETI Division involve military and nuclear applications of electronics and fundamental research. The research programs developed in 1988 are the following: materials and components, non-volatile silicon memories, silicon-over-insulator, integrated circuits technologies, common experimental laboratory (opened to the European community), mass memories, photodetectors, micron sensors and flat screens [fr

  13. Carbon nanotube network-silicon oxide non-volatile switches.

    Science.gov (United States)

    Liao, Albert D; Araujo, Paulo T; Xu, Runjie; Dresselhaus, Mildred S

    2014-12-08

    The integration of carbon nanotubes with silicon is important for their incorporation into next-generation nano-electronics. Here we demonstrate a non-volatile switch that utilizes carbon nanotube networks to electrically contact a conductive nanocrystal silicon filament in silicon dioxide. We form this device by biasing a nanotube network until it physically breaks in vacuum, creating the conductive silicon filament connected across a small nano-gap. From Raman spectroscopy, we observe coalescence of nanotubes during breakdown, which stabilizes the system to form very small gaps in the network~15 nm. We report that carbon nanotubes themselves are involved in switching the device to a high resistive state. Calculations reveal that this switching event occurs at ~600 °C, the temperature associated with the oxidation of nanotubes. Therefore, we propose that, in switching to a resistive state, the nanotube oxidizes by extracting oxygen from the substrate.

  14. Atmospheric fate of non volatile and ionizable compounds

    DEFF Research Database (Denmark)

    Franco, Antonio; Hauschild, Michael Zwicky; Jolliet, Olivier

    2011-01-01

    , and the parameters describing air–water partitioning (KAW and temperature) and ionization (pKa and pH) are the key parameters determining the potential for long range transport. Wet deposition is an important removal process, but its efficiency is limited, primarily by the duration of the dry period between...... simulations describing the uncertainty of substance and environmental input properties were run to evaluate the impact of atmospheric parameters, ionization and air–water (or air–ice) interface enrichment. The rate of degradation and the concentration of OH radicals, the duration of dry and wet periods...... precipitation events. Given the underlying model assumptions, the presence of clouds contributes to the higher persistence in the troposphere because of the capacity of cloud water to accumulate and transport non-volatile (e.g.2,4-D) and surface-active chemicals (e.g. PFOA). This limits the efficiency of wet...

  15. Effects of annealing temperature in a metal alloy nano-dot memory

    International Nuclear Information System (INIS)

    Lee, Jung Min; Lee, Gae Hun; Song, Yun Heub; Bea, Ji Cheol; Tanaka, Tetsu

    2011-01-01

    The annealing temperature dependence of the capacitance-voltage (C-V) characteristic has been studied in a metal-oxide semiconductor structure containing FePt nano-dots. Several in-situ annealing temperatures from 400 to ∼700 .deg. C in a high vacuum ambience (under 1 x 10 -5 Pa) were evaluated in view of the cell's characteristics and its reliability. Here, we demonstrate that the annealing temperature is significant for memory performance in an alloy metal nano-dot structure. A higher in-situ temperature provides better retention and a more reliable memory window. In the sample with an in-situ annealing condition of 700 .deg. C for 30 min, a memory window of 9.2 V at the initial stage was obtained, and a memory window of 6.2 V after 10 years was estimated, which is reliable for a non-volatile memory. From these results, the annealing condition for an alloy metal nano-dot memory is one of the critical parameters for the memory characteristics, and should be optimized for better memory performance.

  16. The Emotional Response to Everyday Involuntary and Voluntary Memories in Dysphoria and Non-Dysphoria

    DEFF Research Database (Denmark)

    del Palacio Gonzalez, Adriana; Watson, Lynn; Berntsen, Dorthe

    Retrieving personal memories may cause emotional reactions and thus a need for emotion regulation. Past research indicates that involuntary memories have a greater effect on mood that the voluntary counterparts. However, different dimensions of the emotional response (i.e., intensity and regulation...... regulation strategies in response to both involuntary and voluntary memories. The between-group differences were not accounted for by the individuals’ mood preceding memory retrieval or the valence of the remembered events. The results suggest an important effect of retrieval mode in the emotion regulation......) upon retrieval of both involuntary and voluntary personal memories have not been thoroughly examined. We examined individuals’ emotional intensity and regulation of everyday involuntary and voluntary memories during dysphoria and non-depression. Twenty dysphoric individuals and 23 non...

  17. Stochastic volatility models and Kelvin waves

    Science.gov (United States)

    Lipton, Alex; Sepp, Artur

    2008-08-01

    We use stochastic volatility models to describe the evolution of an asset price, its instantaneous volatility and its realized volatility. In particular, we concentrate on the Stein and Stein model (SSM) (1991) for the stochastic asset volatility and the Heston model (HM) (1993) for the stochastic asset variance. By construction, the volatility is not sign definite in SSM and is non-negative in HM. It is well known that both models produce closed-form expressions for the prices of vanilla option via the Lewis-Lipton formula. However, the numerical pricing of exotic options by means of the finite difference and Monte Carlo methods is much more complex for HM than for SSM. Until now, this complexity was considered to be an acceptable price to pay for ensuring that the asset volatility is non-negative. We argue that having negative stochastic volatility is a psychological rather than financial or mathematical problem, and advocate using SSM rather than HM in most applications. We extend SSM by adding volatility jumps and obtain a closed-form expression for the density of the asset price and its realized volatility. We also show that the current method of choice for solving pricing problems with stochastic volatility (via the affine ansatz for the Fourier-transformed density function) can be traced back to the Kelvin method designed in the 19th century for studying wave motion problems arising in fluid dynamics.

  18. Stochastic volatility models and Kelvin waves

    International Nuclear Information System (INIS)

    Lipton, Alex; Sepp, Artur

    2008-01-01

    We use stochastic volatility models to describe the evolution of an asset price, its instantaneous volatility and its realized volatility. In particular, we concentrate on the Stein and Stein model (SSM) (1991) for the stochastic asset volatility and the Heston model (HM) (1993) for the stochastic asset variance. By construction, the volatility is not sign definite in SSM and is non-negative in HM. It is well known that both models produce closed-form expressions for the prices of vanilla option via the Lewis-Lipton formula. However, the numerical pricing of exotic options by means of the finite difference and Monte Carlo methods is much more complex for HM than for SSM. Until now, this complexity was considered to be an acceptable price to pay for ensuring that the asset volatility is non-negative. We argue that having negative stochastic volatility is a psychological rather than financial or mathematical problem, and advocate using SSM rather than HM in most applications. We extend SSM by adding volatility jumps and obtain a closed-form expression for the density of the asset price and its realized volatility. We also show that the current method of choice for solving pricing problems with stochastic volatility (via the affine ansatz for the Fourier-transformed density function) can be traced back to the Kelvin method designed in the 19th century for studying wave motion problems arising in fluid dynamics

  19. Stochastic volatility models and Kelvin waves

    Energy Technology Data Exchange (ETDEWEB)

    Lipton, Alex [Merrill Lynch, Mlfc Main, 2 King Edward Street, London EC1A 1HQ (United Kingdom); Sepp, Artur [Merrill Lynch, 4 World Financial Center, New York, NY 10080 (United States)], E-mail: Alex_Lipton@ml.com, E-mail: Artur_Sepp@ml.com

    2008-08-29

    We use stochastic volatility models to describe the evolution of an asset price, its instantaneous volatility and its realized volatility. In particular, we concentrate on the Stein and Stein model (SSM) (1991) for the stochastic asset volatility and the Heston model (HM) (1993) for the stochastic asset variance. By construction, the volatility is not sign definite in SSM and is non-negative in HM. It is well known that both models produce closed-form expressions for the prices of vanilla option via the Lewis-Lipton formula. However, the numerical pricing of exotic options by means of the finite difference and Monte Carlo methods is much more complex for HM than for SSM. Until now, this complexity was considered to be an acceptable price to pay for ensuring that the asset volatility is non-negative. We argue that having negative stochastic volatility is a psychological rather than financial or mathematical problem, and advocate using SSM rather than HM in most applications. We extend SSM by adding volatility jumps and obtain a closed-form expression for the density of the asset price and its realized volatility. We also show that the current method of choice for solving pricing problems with stochastic volatility (via the affine ansatz for the Fourier-transformed density function) can be traced back to the Kelvin method designed in the 19th century for studying wave motion problems arising in fluid dynamics.

  20. Asymptotic Theory for the QMLE in GARCH-X Models with Stationary and Non-Stationary Covariates

    DEFF Research Database (Denmark)

    Han, Heejoon; Kristensen, Dennis

    as captured by its long-memory parameter dx; in particular, we allow for both stationary and non-stationary covariates. We show that the QMLE'’s of the regression coefficients entering the volatility equation are consistent and normally distributed in large samples independently of the degree of persistence....... This implies that standard inferential tools, such as t-statistics, do not have to be adjusted to the level of persistence. On the other hand, the intercept in the volatility equation is not identifi…ed when the covariate is non-stationary which is akin to the results of Jensen and Rahbek (2004, Econometric...

  1. Differential effects of non-REM and REM sleep on memory consolidation?

    OpenAIRE

    Ackermann Sandra; Rasch  Bjoern

    2013-01-01

    Sleep benefitsmemory consolidation. Previous theoretical accounts have proposed a differential role of slowwave sleep (SWS) rapid eye movement (REM) sleep and stage N2 sleep for different types of memories. For example the dual process hypothesis proposes that SWS is beneficial for declarative memories whereas REMsleep is important for consolidation of non declarative procedural and emotional memories. In fact numerous recent studies do provide further support for the crucial role of SWS (or ...

  2. Working Memory for Linguistic and Non-linguistic Manual Gestures: Evidence, Theory, and Application

    Directory of Open Access Journals (Sweden)

    Mary Rudner

    2018-05-01

    Full Text Available Linguistic manual gestures are the basis of sign languages used by deaf individuals. Working memory and language processing are intimately connected and thus when language is gesture-based, it is important to understand related working memory mechanisms. This article reviews work on working memory for linguistic and non-linguistic manual gestures and discusses theoretical and applied implications. Empirical evidence shows that there are effects of load and stimulus degradation on working memory for manual gestures. These effects are similar to those found for working memory for speech-based language. Further, there are effects of pre-existing linguistic representation that are partially similar across language modalities. But above all, deaf signers score higher than hearing non-signers on an n-back task with sign-based stimuli, irrespective of their semantic and phonological content, but not with non-linguistic manual actions. This pattern may be partially explained by recent findings relating to cross-modal plasticity in deaf individuals. It suggests that in linguistic gesture-based working memory, semantic aspects may outweigh phonological aspects when processing takes place under challenging conditions. The close association between working memory and language development should be taken into account in understanding and alleviating the challenges faced by deaf children growing up with cochlear implants as well as other clinical populations.

  3. History and the future perspective of the ferroelectric memory; Kyoyudentai memory no rekishiteki haikei to tenbo

    Energy Technology Data Exchange (ETDEWEB)

    Tarui, Y [Waseda University, Tokyo (Japan)

    1998-10-01

    Development work is in progress on ferroelectric memory. The memory is a most suitable non-volatile memory which can be incorporated into IC cards, with its higher speed, lower voltage operation, smaller power consumption, and greater number of rewriting times than EEPROM, DRAM and SRAM. Taking as an opportunity the announcement on an experiment as performed by the authors to control semiconductor charge by using electric depolarization of ferroelectric materials, reports have been made one after another on experiments on thin metal films on TGS or BaTiO3, and experiments on semiconductor films formed on ferroelectric crystals or ceramics substrates by using vacuum deposition. In order to solve problems in ferroelectric materials, thin films of PZT and PLZT have emerged, whose good hysteresis characteristics have also been reported. Thereafter, an announcement was made on a material with bismuth layer like perovskite structure. The material is characterized with having very little film fatigue degradation after rewriting of about 10 {sup 12} times. In scaling a ferroelectric memory, if voltage is decreased in proportion with the size, the operation can be reduced proportionately according to the voltage reduction. This paper introduces a method to constitute a ferroelectric memory. 22 refs., 11 figs., 2 tabs.

  4. A comparison of memory for homicide, non-homicidal violence, and positive life experiences.

    Science.gov (United States)

    Woodworth, Michael; Porter, Stephen; Ten Brinke, Leanne; Doucette, Naomi L; Peace, Kristine; Campbell, Mary Ann

    2009-01-01

    Defendants commonly claim amnesia for their criminal actions especially in cases involving extreme violence. While some claims are malingered or result from physiological factors, other cases may represent genuine partial or complete amnesia resulting from the psychological distress and/or extreme emotion associated with the perpetration of the crime. Fifty Canadian homicide offenders described their memories of their homicide, a non-homicide violent offense, and their most positive adulthood life experience. Self-reported and objective measures of memories for these events revealed that homicides were recalled with the greatest level of detail and sensory information. Although dissociative tendencies were associated with a self-reported memory loss, objective measures of memory quality did not reflect this perceived impairment, suggesting a failure of meta-memory. Recollections of positive life events were superior to those of non-homicidal violence, possibly due to greater impact and meaning attached to such experiences. Findings suggest that memory for homicide typically is enhanced by the powerful emotion associated with its perpetration.

  5. Declarative and Non-declarative Memory Consolidation in Children with Sleep Disorder

    OpenAIRE

    Cs?bi, Eszter; Benedek, P?lma; Janacsek, Karolina; Zavecz, Zs?fia; Katona, G?bor; Nemeth, Dezso

    2016-01-01

    Healthy sleep is essential in children’s cognitive, behavioral, and emotional development. However, remarkably little is known about the influence of sleep disorders on different memory processes in childhood. Such data could give us a deeper insight into the effect of sleep on the developing brain and memory functions and how the relationship between sleep and memory changes from childhood to adulthood. In the present study we examined the effect of sleep disorder on declarative and non-decl...

  6. Forced Ion Migration for Chalcogenide Phase Change Memory Device

    Science.gov (United States)

    Campbell, Kristy A (Inventor)

    2013-01-01

    Non-volatile memory devices with two stacked layers of chalcogenide materials comprising the active memory device have been investigated for their potential as phase-change memories. The devices tested included GeTe/SnTe, Ge2Se3/SnTe, and Ge2Se3/SnSe stacks. All devices exhibited resistance switching behavior. The polarity of the applied voltage with respect to the SnTe or SnSe layer was critical to the memory switching properties, due to the electric field induced movement of either Sn or Te into the Ge-chalcogenide layer. One embodiment of the invention is a device comprising a stack of chalcogenide-containing layers which exhibit phase-change switching only after a reverse polarity voltage potential is applied across the stack causing ion movement into an adjacent layer and thus "activating" the device to act as a phase-change random access memory device or a reconfigurable electronics device when the applied voltage potential is returned to the normal polarity. Another embodiment of the invention is a device that is capable of exhibiting more than two data states.

  7. The cross-sectional association between severity of non-cognitive disability and self-reported worsening memory.

    Science.gov (United States)

    Cannell, M Brad; Bouldin, Erin D; Teigen, Kari; Akhtar, Wajiha Z; Andresen, Elena M

    2016-04-01

    Research has demonstrated a clear association between cognitive decline and non-cognitive disability; however, all of these studies focus on disability as a correlate or result of some level of cognitive impairment or dysfunction. The relationship between disability and cognition is likely a complex one, that is currently incompletely described in the literature. Our objective was to estimate the prevalence of long-term, non-cognitive disability using a population-representative sample of adults aged 18 and older, and then estimate the association between long-term, non-cognitive disability and self-reported worsening memory. Using the 2009 Florida Behavioral Risk Factor Surveillance System (BRFSS), we measured the relationship between non-cognitive disability and worsening memory using multivariable logistic regression analysis weighted to account for the complex sampling design of the BRFSS. We also estimated the adjusted odds of worsening memory by disability severity, classified according to the types of assistance needed. Approximately 18% (95% confidence interval = (16%, 19%)) of Floridians were living with a long-term, non-cognitive disability in 2009. Among adults with no disability during or prior to the last year, only 5% reported worsening memory. The proportion of Floridians reporting worsening memory increases with increasing severity of disability-related limitations. In a multivariable logistic regression model, odds of worsening memory increased significantly with severity of disability-related limitations. These results highlight the association between non-cognitive disability and subsequent increased odds of worsening memory, independent of several other known risk factors, and a dose-response association with disability-related limitations. Copyright © 2016 Elsevier Inc. All rights reserved.

  8. Visual memory in musicians and non-musicians.

    Science.gov (United States)

    Rodrigues, Ana Carolina; Loureiro, Maurício; Caramelli, Paulo

    2014-01-01

    Many investigations have reported structural, functional, and cognitive changes in the brains of musicians, which occur as a result of many years of musical practice. We aimed to investigate if intensive, long-term musical practice is associated with improved visual memory ability. Musicians and non-musicians, who were comparable in age, gender, and education, were submitted to a visual memory test. The test consisted of the presentation of four sets of stimuli, each one containing eight figures to be memorized. Each set was followed by individual figures and the subject was required to indicate if each figure was or was not present in the memorized set, by pressing the corresponding keys. We divided the test in two parts, in which the stimuli had greater or reduced semantic coding. Overall, musicians showed better performance on reaction times, but not on accuracy. An additional analysis revealed no significant interaction between group and any part of the test in the prediction of the outcomes. When simple reaction time was included as covariate, no significant difference between groups was found on reaction times. In the group of musicians, we found some significant correlations between variables related to musical practice and performance in the visual memory test. In summary, our data provide no evidence of enhanced visual memory ability in musicians, since there was no difference in accuracy between groups. Our results suggest that performance of musicians in the visual memory test may be associated with better sensorimotor integration, since although they have presented shorter reaction times, such effect disappeared when taken in consideration the simple reaction time test. However, given existing evidence of associations between simple reaction time and cognitive function, their performance in the visual memory test could also be related to enhanced visual attention ability, as has been suggested by previous studies, but this hypothesis deserves more

  9. Resistive switching effect in the planar structure of all-printed, flexible and rewritable memory device based on advanced 2D nanocomposite of graphene quantum dots and white graphene flakes

    International Nuclear Information System (INIS)

    Rehman, Muhammad Muqeet; Siddiqui, Ghayas Uddin; Kim, Sowon; Choi, Kyung Hyun

    2017-01-01

    Pursuit of the most appropriate materials and fabrication methods is essential for developing a reliable, rewritable and flexible memory device. In this study, we have proposed an advanced 2D nanocomposite of white graphene (hBN) flakes embedded with graphene quantum dots (GQDs) as the functional layer of a flexible memory device owing to their unique electrical, chemical and mechanical properties. Unlike the typical sandwich type structure of a memory device, we developed a cost effective planar structure, to simplify device fabrication and prevent sneak current. The entire device fabrication was carried out using printing technology followed by encapsulation in an atomically thin layer of aluminum oxide (Al 2 O 3 ) for protection against environmental humidity. The proposed memory device exhibited attractive bipolar switching characteristics of high switching ratio, large electrical endurance and enhanced lifetime, without any crosstalk between adjacent memory cells. The as-fabricated device showed excellent durability for several bending cycles at various bending diameters without any degradation in bistable resistive states. The memory mechanism was deduced to be conductive filamentary; this was validated by illustrating the temperature dependence of bistable resistive states. Our obtained results pave the way for the execution of promising 2D material based next generation flexible and non-volatile memory (NVM) applications. (paper)

  10. Unexpected uncertainty, volatility and decision-making

    Directory of Open Access Journals (Sweden)

    Amy Rachel Bland

    2012-06-01

    Full Text Available The study of uncertainty in decision making is receiving greater attention in the fields of cognitive and computational neuroscience. Several lines of evidence are beginning to elucidate different variants of uncertainty. Particularly, risk, ambiguity and expected and unexpected forms of uncertainty are well articulated in the literature. In this article we review both empirical and theoretical evidence arguing for the potential distinction between three forms of uncertainty; expected uncertainty, unexpected uncertainty and volatility. Particular attention will be devoted to exploring the distinction between unexpected uncertainty and volatility which has been less appreciated in the literature. This includes evidence from computational modelling, neuromodulation, neuroimaging and electrophysiological studies. We further address the possible differentiation of cognitive control mechanisms used to deal with these forms of uncertainty. Particularly we explore a role for conflict monitoring and the temporal integration of information into working memory. Finally, we explore whether the Dual Modes of Control theory provides a theoretical framework for understanding the distinction between unexpected uncertainty and volatility.

  11. Performance specifications for technology development: Application for characterization of volatile organic compounds in the environment

    Energy Technology Data Exchange (ETDEWEB)

    Carpenter, S.E.; Doskey, P.V.; Erickson, M.D.; Lindahl, P.C.

    1994-07-01

    This report contains information about technology development for the monitoring and remediation of environmental pollution caused by the release of volatile organic compounds. Topics discussed include: performance specification processes, gas chromatography, mass spectrometer, fiber-optic chemical sensors, infrared spectroscopy, Raman spectroscopy, piezoelectric sensors and electrochemical sensors. These methods are analyzed for their cost efficiency, accuracy, and the ability to meet the needs of the customer.

  12. Memory and pressure studies in NaxCoO2 cobaltites

    International Nuclear Information System (INIS)

    Garbarino, G; Bouvier, P; Crichton, W A; Mezouar, M; Regueiro, M Nunez; Lejay, P; Armand, M; Foo, M L; Cava, R J

    2009-01-01

    We present a detailed study on the memory effect results in Na 0.5 paragraph 5CoO 2 single crystals. We analyze the temperature dependence of the nonvolatile current-pulse-induced resistance memory state. These results allow us to have more insight in the mobility of Na + ions induced by current and their effect on the memory effect. We also developed X-ray diffraction studies under pressure at ambient temperature in the N a0.5 CoO 2 powder compound. An orthorhombic to hexagonal phase transition was observed at 9GPa. This transition can be explained taking into account the Na ions displacement between two allowed positions. These structural results allow us to confirm that the non-volatile resistive commutation can be interpreted by the displacement of the Na ions induced by the current pulses.

  13. Volatile element trends in gas-rich meteorites

    Energy Technology Data Exchange (ETDEWEB)

    Bart, G; Lipschutz, M E [Purdue Univ., Lafayette, IN (USA). Dept. of Chemistry

    1979-09-01

    Study of 10 volatile elements (and non-volatile Co) in co-existing light and dark portions of 5 gas-rich chondrites indicates patterns of distinct but non-uniform enrichment of volatile elements. Only Cs is enriched in all samples; Bi and Tl enrichments covary. The observed enrichments are inconsistent with prior suggestions of admixture of C1 or C2 chondritic matter, whether pristine or partly devolatilized, but suggest that both light and dark portions of each chondrite represents a compositionally more extended sampling of parental nebular material than hitherto known.

  14. Memory and technology

    Directory of Open Access Journals (Sweden)

    Olimpia Niglio

    2017-12-01

    Full Text Available The concept of "memory" has different meanings when analyzed within specific cultural contexts. In general, the memory expresses the ability of man to keep track of events, information, sensations, ideas, experiences, and recall this consciousness as soon as certain motivations make necessary the contribution of past experience.

  15. Volatility persistence in crude oil markets

    International Nuclear Information System (INIS)

    Charles, Amélie; Darné, Olivier

    2014-01-01

    Financial market participants and policy-makers can benefit from a better understanding of how shocks can affect volatility over time. This study assesses the impact of structural changes and outliers on volatility persistence of three crude oil markets – Brent, West Texas Intermediate (WTI) and Organization of Petroleum Exporting Countries (OPEC) – between January 2, 1985 and June 17, 2011. We identify outliers using a new semi-parametric test based on conditional heteroscedasticity models. These large shocks can be associated with particular event patterns, such as the invasion of Kuwait by Iraq, the Operation Desert Storm, the Operation Desert Fox, and the Global Financial Crisis as well as OPEC announcements on production reduction or US announcements on crude inventories. We show that outliers can bias (i) the estimates of the parameters of the equation governing volatility dynamics; (ii) the regularity and non-negativity conditions of GARCH-type models (GARCH, IGARCH, FIGARCH and HYGARCH); and (iii) the detection of structural breaks in volatility, and thus the estimation of the persistence of the volatility. Therefore, taking into account the outliers on the volatility modelling process may improve the understanding of volatility in crude oil markets. - Highlights: • We study the impact of outliers on volatility persistence of crude oil markets. • We identify outliers and patches of outliers due to specific events. • We show that outliers can bias (i) the estimates of the parameters of GARCH models, (ii) the regularity and non-negativity conditions of GARCH-type models, (iii) the detection of structural breaks in volatility of crude oil markets

  16. An ultrafast programmable electrical tester for enabling time-resolved, sub-nanosecond switching dynamics and programming of nanoscale memory devices

    Science.gov (United States)

    Shukla, Krishna Dayal; Saxena, Nishant; Manivannan, Anbarasu

    2017-12-01

    Recent advancements in commercialization of high-speed non-volatile electronic memories including phase change memory (PCM) have shown potential not only for advanced data storage but also for novel computing concepts. However, an in-depth understanding on ultrafast electrical switching dynamics is a key challenge for defining the ultimate speed of nanoscale memory devices that demands for an unconventional electrical setup, specifically capable of handling extremely fast electrical pulses. In the present work, an ultrafast programmable electrical tester (PET) setup has been developed exceptionally for unravelling time-resolved electrical switching dynamics and programming characteristics of nanoscale memory devices at the picosecond (ps) time scale. This setup consists of novel high-frequency contact-boards carefully designed to capture extremely fast switching transient characteristics within 200 ± 25 ps using time-resolved current-voltage measurements. All the instruments in the system are synchronized using LabVIEW, which helps to achieve various programming characteristics such as voltage-dependent transient parameters, read/write operations, and endurance test of memory devices systematically using short voltage pulses having pulse parameters varied from 1 ns rise/fall time and 1.5 ns pulse width (full width half maximum). Furthermore, the setup has successfully demonstrated strikingly one order faster switching characteristics of Ag5In5Sb60Te30 (AIST) PCM devices within 250 ps. Hence, this novel electrical setup would be immensely helpful for realizing the ultimate speed limits of various high-speed memory technologies for future computing.

  17. Non-monotonic relationships between emotional arousal and memory for color and location.

    Science.gov (United States)

    Boywitt, C Dennis

    2015-01-01

    Recent research points to the decreased diagnostic value of subjective retrieval experience for memory accuracy for emotional stimuli. While for neutral stimuli rich recollective experiences are associated with better context memory than merely familiar memories this association appears questionable for emotional stimuli. The present research tested the implicit assumption that the effect of emotional arousal on memory is monotonic, that is, steadily increasing (or decreasing) with increasing arousal. In two experiments emotional arousal was manipulated in three steps using emotional pictures and subjective retrieval experience as well as context memory were assessed. The results show an inverted U-shape relationship between arousal and recognition memory but for context memory and retrieval experience the relationship was more complex. For frame colour, context memory decreased linearly while for spatial location it followed the inverted U-shape function. The complex, non-monotonic relationships between arousal and memory are discussed as possible explanations for earlier divergent findings.

  18. Polymer ferroelectric field-effect memory device with SnO channel layer exhibits record hole mobility

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso; Khan, M. A.; Alshareef, Husam N.

    2014-01-01

    Here we report for the first time a hybrid p-channel polymer ferroelectric field-effect transistor memory device with record mobility. The memory device, fabricated at 200C on both plastic polyimide and glass substrates, uses ferroelectric polymer P(VDF-TrFE) as the gate dielectric and transparent p-type oxide (SnO) as the active channel layer. A record mobility of 3.3 cm 2V-1s-1, large memory window (~16 V), low read voltages (~-1 V), and excellent retention characteristics up to 5000 sec have been achieved. The mobility achieved in our devices is over 10 times higher than previously reported polymer ferroelectric field-effect transistor memory with p-type channel. This demonstration opens the door for the development of non-volatile memory devices based on dual channel for emerging transparent and flexible electronic devices.

  19. Polymer ferroelectric field-effect memory device with SnO channel layer exhibits record hole mobility

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2014-06-10

    Here we report for the first time a hybrid p-channel polymer ferroelectric field-effect transistor memory device with record mobility. The memory device, fabricated at 200C on both plastic polyimide and glass substrates, uses ferroelectric polymer P(VDF-TrFE) as the gate dielectric and transparent p-type oxide (SnO) as the active channel layer. A record mobility of 3.3 cm 2V-1s-1, large memory window (~16 V), low read voltages (~-1 V), and excellent retention characteristics up to 5000 sec have been achieved. The mobility achieved in our devices is over 10 times higher than previously reported polymer ferroelectric field-effect transistor memory with p-type channel. This demonstration opens the door for the development of non-volatile memory devices based on dual channel for emerging transparent and flexible electronic devices.

  20. Providing a Foundation for Analysis of Volatile Data Stores

    Directory of Open Access Journals (Sweden)

    Timothy Vidas

    2007-09-01

    Full Text Available Current threats against typical computer systems demonstrate a need for forensic analysis of memory-resident data in addition to the conventional static analysis common today.  Certain attacks and types of malware exist solely in memory and leave little or no evidentiary information on nonvolatile stores such as a hard disk drive.  The desire to preserve system state at the time of response may even warrant memory acquisition independent of perceived threats and the ability to analyze the acquired duplicate. Tools capable of duplicating various types of volatile data stores are becoming widely available.  Once the data store has been duplicated, current forensic procedures have no method for extrapolating further useful information from the duplicate.  This paper is focused on providing the groundwork for performing forensic investigations on the data that is typically stored in a volatile data store, such as system RAM.It is intended that, when combined with good acquisition techniques, it will be shown that it is possible to obtain more post incident response information along with less impact to potential evidence when compared to typical incident response procedures. 

  1. Non-Volatile Ferroelectric Switching of Ferromagnetic Resonance in NiFe/PLZT Multiferroic Thin Film Heterostructures (Postprint)

    Science.gov (United States)

    2016-09-01

    deformation potentially leads to fatigue and fracture over time. Moreover, we show that by simply applying voltage pulses, a robust, non-volatile...polarization such as PZT , BiFeO3, or doped HfO2. Our results thus provide a pathway towards ferroelectric switching of magnetism that could be useful for

  2. Modeling and forecasting petroleum futures volatility

    International Nuclear Information System (INIS)

    Sadorsky, Perry

    2006-01-01

    Forecasts of oil price volatility are important inputs into macroeconometric models, financial market risk assessment calculations like value at risk, and option pricing formulas for futures contracts. This paper uses several different univariate and multivariate statistical models to estimate forecasts of daily volatility in petroleum futures price returns. The out-of-sample forecasts are evaluated using forecast accuracy tests and market timing tests. The TGARCH model fits well for heating oil and natural gas volatility and the GARCH model fits well for crude oil and unleaded gasoline volatility. Simple moving average models seem to fit well in some cases provided the correct order is chosen. Despite the increased complexity, models like state space, vector autoregression and bivariate GARCH do not perform as well as the single equation GARCH model. Most models out perform a random walk and there is evidence of market timing. Parametric and non-parametric value at risk measures are calculated and compared. Non-parametric models outperform the parametric models in terms of number of exceedences in backtests. These results are useful for anyone needing forecasts of petroleum futures volatility. (author)

  3. Hedging electricity price volatility using nuclear power

    International Nuclear Information System (INIS)

    Mari, Carlo

    2014-01-01

    Highlights: • Nuclear power is an important asset to reduce the volatility of electricity prices. • Unpredictability of fossil fuels and carbon prices makes power prices very volatile. • The dynamics of fossil fuels and carbon prices is described by Brownian motions. • LCOE values, volatilities and correlations are obtained via Monte Carlo simulations. • Optimal portfolios of generating technologies are get using a mean–variance approach. - Abstract: The analysis presented in this paper aims to put in some evidence the role of nuclear power as hedging asset against the volatility of electricity prices. The unpredictability of natural gas and coal market prices as well as the uncertainty in environmental policies may affect power generating costs, thus enhancing volatility in electricity market prices. The nuclear option, allowing to generate electricity without carbon emissions, offers the possibility to reduce the volatility of electricity prices through optimal diversification of power generating technologies. This paper provides a methodological scheme to plan well diversified “portfolios” of generating capacity that minimize the electricity price risk induced by random movements of fossil fuels market prices and by unpredictable fluctuations of carbon credits prices. The analysis is developed within a stochastic environment in which the dynamics of fuel prices as well as the dynamics of carbon credits prices is assumed to evolve in time according to well defined Brownian processes. Starting from market data and using Monte Carlo techniques to simulate generating cost values, the hedging argument is developed by selecting optimal portfolio of power generating technologies using a mean–variance approach

  4. An overview of plant volatile metabolomics, sample treatment and reporting considerations with emphasis on mechanical damage and biological control of weeds.

    Science.gov (United States)

    Beck, John J; Smith, Lincoln; Baig, Nausheena

    2014-01-01

    The technology for the collection and analysis of plant-emitted volatiles for understanding chemical cues of plant-plant, plant-insect or plant-microbe interactions has increased over the years. Consequently, the in situ collection, analysis and identification of volatiles are considered integral to elucidation of complex plant communications. Due to the complexity and range of emissions the conditions for consistent emission of volatiles are difficult to standardise. To discuss: evaluation of emitted volatile metabolites as a means of screening potential target- and non-target weeds/plants for insect biological control agents; plant volatile metabolomics to analyse resultant data; importance of considering volatiles from damaged plants; and use of a database for reporting experimental conditions and results. Recent literature relating to plant volatiles and plant volatile metabolomics are summarised to provide a basic understanding of how metabolomics can be applied to the study of plant volatiles. An overview of plant secondary metabolites, plant volatile metabolomics, analysis of plant volatile metabolomics data and the subsequent input into a database, the roles of plant volatiles, volatile emission as a function of treatment, and the application of plant volatile metabolomics to biological control of invasive weeds. It is recommended that in addition to a non-damaged treatment, plants be damaged prior to collecting volatiles to provide the greatest diversity of odours. For the model system provided, optimal volatile emission occurred when the leaf was punctured with a needle. Results stored in a database should include basic environmental conditions or treatments. Copyright © 2013 John Wiley & Sons, Ltd.

  5. Non-Hebbian learning implementation in light-controlled resistive memory devices.

    Science.gov (United States)

    Ungureanu, Mariana; Stoliar, Pablo; Llopis, Roger; Casanova, Fèlix; Hueso, Luis E

    2012-01-01

    Non-Hebbian learning is often encountered in different bio-organisms. In these processes, the strength of a synapse connecting two neurons is controlled not only by the signals exchanged between the neurons, but also by an additional factor external to the synaptic structure. Here we show the implementation of non-Hebbian learning in a single solid-state resistive memory device. The output of our device is controlled not only by the applied voltages, but also by the illumination conditions under which it operates. We demonstrate that our metal/oxide/semiconductor device learns more efficiently at higher applied voltages but also when light, an external parameter, is present during the information writing steps. Conversely, memory erasing is more efficiently at higher applied voltages and in the dark. Translating neuronal activity into simple solid-state devices could provide a deeper understanding of complex brain processes and give insight into non-binary computing possibilities.

  6. Transparent Memory For Harsh Electronics

    KAUST Repository

    Ho, C. H.

    2017-03-14

    As a new class of non-volatile memory, resistive random access memory (RRAM) offers not only superior electronic characteristics, but also advanced functionalities, such as transparency and radiation hardness. However, the environmental tolerance of RRAM is material-dependent, and therefore the materials used must be chosen carefully in order to avoid instabilities and performance degradation caused by the detrimental effects arising from environmental gases and ionizing radiation. In this work, we demonstrate that AlN-based RRAM displays excellent performance and environmental stability, with no significant degradation to the resistance ratio over a 100-cycle endurance test. Moreover, transparent RRAM (TRRAM) based on AlN also performs reliably under four different harsh environmental conditions and 2 MeV proton irradiation fluences, ranging from 1011 to 1015 cm-2. These findings not only provide a guideline for TRRAM design, but also demonstrate the promising applicability of AlN TRRAM for future transparent harsh electronics.

  7. Transparent meta-analysis: does aging spare prospective memory with focal vs. non-focal cues?

    Directory of Open Access Journals (Sweden)

    Bob Uttl

    Full Text Available BACKGROUND: Prospective memory (ProM is the ability to become aware of a previously-formed plan at the right time and place. For over twenty years, researchers have been debating whether prospective memory declines with aging or whether it is spared by aging and, most recently, whether aging spares prospective memory with focal vs. non-focal cues. Two recent meta-analyses examining these claims did not include all relevant studies and ignored prevalent ceiling effects, age confounds, and did not distinguish between prospective memory subdomains (e.g., ProM proper, vigilance, habitual ProM (see Uttl, 2008, PLoS ONE. The present meta-analysis focuses on the following questions: Does prospective memory decline with aging? Does prospective memory with focal vs. non-focal cues decline with aging? Does the size of age-related declines with focal vs. non-focal cues vary across ProM subdomains? And are age-related declines in ProM smaller than age-related declines in retrospective memory? METHODS AND FINDINGS: A meta-analysis of event-cued ProM using data visualization and modeling, robust count methods, and conventional meta-analysis techniques revealed that first, the size of age-related declines in ProM with both focal and non-focal cues are large. Second, age-related declines in ProM with focal cues are larger in ProM proper and smaller in vigilance. Third, age-related declines in ProM proper with focal cues are as large as age-related declines in recall measures of retrospective memory. CONCLUSIONS: The results are consistent with Craik's (1983 proposal that age-related declines on ProM tasks are generally large, support the distinction between ProM proper vs. vigilance, and directly contradict widespread claims that ProM, with or without focal cues, is spared by aging.

  8. Surface directed phase separation of semiconductor ferroelectric polymer blends and their use in non-volatile memories

    NARCIS (Netherlands)

    Breemen, A.J.J.M. van; Zaba, T.; Khikhlovskyi, V.; Michels, J.; Janssen, R.; Kemerink, M.; Gelinck, G.

    2015-01-01

    The polymer phase separation of P(VDF-TrFE):F8BT blends is studied in detail. Its morphology is key to the operation and performance of memory diodes. In this study, it is demonstrated that it is possible to direct the semiconducting domains of a phase-separating mixture of P(VDF-TrFE) and F8BT in a

  9. Facile fabrication of highly ordered poly(vinylidene fluoride-trifluoroethylene) nanodot arrays for organic ferroelectric memory

    International Nuclear Information System (INIS)

    Fang, Huajing; Yan, Qingfeng; Geng, Chong; Li, Qiang; Chan, Ngai Yui; Au, Kit; Ng, Sheung Mei; Leung, Chi Wah; Wa Chan, Helen Lai; Dai, Jiyan; Yao, Jianjun; Guo, Dong

    2016-01-01

    Nano-patterned ferroelectric materials have attracted significant attention as the presence of two or more thermodynamically equivalent switchable polarization states can be employed in many applications such as non-volatile memory. In this work, a simple and effective approach for fabrication of highly ordered poly(vinylidene fluoride–trifluoroethylene) P(VDF-TrFE) nanodot arrays is demonstrated. By using a soft polydimethylsiloxane mold, we successfully transferred the 2D array pattern from the initial monolayer of colloidal polystyrene nanospheres to the imprinted P(VDF-TrFE) films via nanoimprinting. The existence of a preferred orientation of the copolymer chain after nanoimprinting was confirmed by Fourier transform infrared spectra. Local polarization switching behavior was measured by piezoresponse force microscopy, and each nanodot showed well-formed hysteresis curve and butterfly loop with a coercive field of ∼62.5 MV/m. To illustrate the potential application of these ordered P(VDF-TrFE) nanodot arrays, the writing and reading process as non-volatile memory was demonstrated at a relatively low voltage. As such, our results offer a facile and promising route to produce arrays of ferroelectric polymer nanodots with improved piezoelectric functionality

  10. Facile fabrication of highly ordered poly(vinylidene fluoride-trifluoroethylene) nanodot arrays for organic ferroelectric memory

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Huajing [Department of Applied Physics, The Hong Kong Polytechnic University (PolyU) Hunghom, Kowloon (Hong Kong); Department of Chemistry, Tsinghua University, Beijing 100084 (China); Yan, Qingfeng, E-mail: yanqf@mail.tsinghua.edu.cn, E-mail: jiyan.dai@polyu.edu.hk; Geng, Chong; Li, Qiang [Department of Chemistry, Tsinghua University, Beijing 100084 (China); Chan, Ngai Yui; Au, Kit; Ng, Sheung Mei; Leung, Chi Wah; Wa Chan, Helen Lai; Dai, Jiyan, E-mail: yanqf@mail.tsinghua.edu.cn, E-mail: jiyan.dai@polyu.edu.hk [Department of Applied Physics, The Hong Kong Polytechnic University (PolyU) Hunghom, Kowloon (Hong Kong); Yao, Jianjun [Asylum Research, Oxford Instruments, Shanghai 200233 (China); Guo, Dong [Institute of Acoustics, Chinese Academy of Sciences, Beijing 100190 (China)

    2016-01-07

    Nano-patterned ferroelectric materials have attracted significant attention as the presence of two or more thermodynamically equivalent switchable polarization states can be employed in many applications such as non-volatile memory. In this work, a simple and effective approach for fabrication of highly ordered poly(vinylidene fluoride–trifluoroethylene) P(VDF-TrFE) nanodot arrays is demonstrated. By using a soft polydimethylsiloxane mold, we successfully transferred the 2D array pattern from the initial monolayer of colloidal polystyrene nanospheres to the imprinted P(VDF-TrFE) films via nanoimprinting. The existence of a preferred orientation of the copolymer chain after nanoimprinting was confirmed by Fourier transform infrared spectra. Local polarization switching behavior was measured by piezoresponse force microscopy, and each nanodot showed well-formed hysteresis curve and butterfly loop with a coercive field of ∼62.5 MV/m. To illustrate the potential application of these ordered P(VDF-TrFE) nanodot arrays, the writing and reading process as non-volatile memory was demonstrated at a relatively low voltage. As such, our results offer a facile and promising route to produce arrays of ferroelectric polymer nanodots with improved piezoelectric functionality.

  11. Facile fabrication of highly ordered poly(vinylidene fluoride-trifluoroethylene) nanodot arrays for organic ferroelectric memory

    Science.gov (United States)

    Fang, Huajing; Yan, Qingfeng; Geng, Chong; Chan, Ngai Yui; Au, Kit; Yao, Jianjun; Ng, Sheung Mei; Leung, Chi Wah; Li, Qiang; Guo, Dong; Wa Chan, Helen Lai; Dai, Jiyan

    2016-01-01

    Nano-patterned ferroelectric materials have attracted significant attention as the presence of two or more thermodynamically equivalent switchable polarization states can be employed in many applications such as non-volatile memory. In this work, a simple and effective approach for fabrication of highly ordered poly(vinylidene fluoride-trifluoroethylene) P(VDF-TrFE) nanodot arrays is demonstrated. By using a soft polydimethylsiloxane mold, we successfully transferred the 2D array pattern from the initial monolayer of colloidal polystyrene nanospheres to the imprinted P(VDF-TrFE) films via nanoimprinting. The existence of a preferred orientation of the copolymer chain after nanoimprinting was confirmed by Fourier transform infrared spectra. Local polarization switching behavior was measured by piezoresponse force microscopy, and each nanodot showed well-formed hysteresis curve and butterfly loop with a coercive field of ˜62.5 MV/m. To illustrate the potential application of these ordered P(VDF-TrFE) nanodot arrays, the writing and reading process as non-volatile memory was demonstrated at a relatively low voltage. As such, our results offer a facile and promising route to produce arrays of ferroelectric polymer nanodots with improved piezoelectric functionality.

  12. Air-stable memory array of bistable rectifying diodes based on ferroelectric-semiconductor polymer blends

    Science.gov (United States)

    Kumar, Manasvi; Sharifi Dehsari, Hamed; Anwar, Saleem; Asadi, Kamal

    2018-03-01

    Organic bistable diodes based on phase-separated blends of ferroelectric and semiconducting polymers have emerged as promising candidates for non-volatile information storage for low-cost solution processable electronics. One of the bottlenecks impeding upscaling is stability and reliable operation of the array in air. Here, we present a memory array fabricated with an air-stable amine-based semiconducting polymer. Memory diode fabrication and full electrical characterizations were carried out in atmospheric conditions (23 °C and 45% relative humidity). The memory diodes showed on/off ratios greater than 100 and further exhibited robust and stable performance upon continuous write-read-erase-read cycles. Moreover, we demonstrate a 4-bit memory array that is free from cross-talk with a shelf-life of several months. Demonstration of the stability and reliable air operation further strengthens the feasibility of the resistance switching in ferroelectric memory diodes for low-cost applications.

  13. Forecasting volatility for options valuation

    International Nuclear Information System (INIS)

    Belaifa, M.; Morimune, K.

    2006-01-01

    The petroleum sector plays a neuralgic role in the basement of world economies, and market actors (producers, intermediates, as well as consumers) are continuously subjected to the dynamics of unstable oil market. Huge amounts are being invested along the production chain to make one barrel of crude oil available to the end user. Adding to that are the effect of geopolitical dynamics as well as geological risks as expressed in terms of low chances of successful discoveries. In addition, fiscal regimes and regulations, technology and environmental concerns are also among some of the major factors that contribute to the substantial risk in the oil industry and render the market structure vulnerable to crises. The management of these vulnerabilities require modern tools to reduce risk to a certain level, which unfortunately is a non-zero value. The aim of this paper is, therefore, to provide a modern technique to capture the oil price stochastic volatility that can be implemented to value the exposure of an investor, a company, a corporate or a Government. The paper first analyses the regional dependence on oil prices, through a historical perspective and then looks at the evolution of pricing environment since the large price jumps of the 1970s. The main causes of oil prices volatility are treated in the third part of the paper. The rest of the article deals with volatility models and forecasts used in risk management, with an implication for pricing derivatives. (author)

  14. Odor volatiles associated with microflora in damp ventilated and non-ventilated bin-stored bulk wheat.

    Science.gov (United States)

    Tuma, D; Sinha, R N; Muir, W E; Abramson, D

    1989-05-01

    Western hard red spring wheat, stored at 20 and 25% moisture contents for 10 months during 1985-86, was monitored for biotic and abiotic variables in 10 unheated bins in Winnipeg, Manitoba. The major odor volatiles identified were 3-methyl-1-butanol, 3-octanone and 1-octen-3-ol. The production of these volatiles was associated and correlated with microfloral infection. Ventilation, used for cooling and drying of grain, disrupted microfloral growth patterns and production of volatiles. The highest levels of 3-methyl-1-butanol occurred in 25% moisture content wheat infected with bacteria, Penicillium spp. and Fusarium spp. In non-ventilated (control) bins with 20% moisture content wheat, 3-methyl-1-butanol was correlated with infection by members of the Aspergillus glaucus group and bacteria. In control bins, 1-octen-3-ol production was correlated with infection of wheat of both moisture contents by Penicillium spp. The fungal species, isolated from damp bin-stored wheat and tested for production of odor volatiles on wheat substrate, included Alternaria alternata (Fr.) Keissler, Aspergillus repens (Corda) Saccardo, A. flavus Link ex Fries, A. versicolor (Vuill.) Tiraboschi, Penicillium chrysogenum Thom, P. cyclopium Westling, Fusarium moniliforme Sheldon, F. semitectum (Cooke) Sacc. In the laboratory, fungus-inoculated wheat produced 3-methyl-1-butanol; 3-octanone and 1-octen-3-ol were also produced, but less frequently. Two unidentified bacterial species isolated from damp wheat and inoculated on agar produced 3-methyl-1-butanol.

  15. Investigations concerning the exchange of iodine from non-volatile organic iodine compounds

    International Nuclear Information System (INIS)

    Psarros, N.; Duschner, H.; Molzahn, D.; Schmidt, L.; Heise, S.; Jungclas, H.; Brandt, R.; Patzelt, P.

    1990-10-01

    The iodine produced by nuclear fission is removed during the reprocessing of exhausted nuclear fuel elements by desorption achieving good decontamination factors. Nevertheless the further optimization of the process requires detailed information about the iodine speciation during fuel reprocessing, and about possible reactions. For the study of decomposition reactions of iodo-alcanes, which are built up during the fuel recycling process, we developed a method for the synthesis of labelled iodo-dodecane, which was used as tracer. In order to identify the iodo species in the organic phase of the reprocessing cycle we applied plasma desorption time-of-flight mass spectroscopy. The problem of the volatility of the iodo-compounds in the ultra vacuum of the mass spectrometer was overcome by derivatization of the iodo-alcanes with dithizon, which yielded non-volatile ionic alcyltetrazolium iodides. Beta-spectrometric analysis of the exhaust condensates collected from the organic phase of the WAK reprocessing cycle revealed beside iodine-129 the existence of a low-energetic beta emitter, which has yet to be identified. A literature survey on the topic was also performed. (orig.) With 42 refs., 9 figs [de

  16. Electrically-controlled nonlinear switching and multi-level storage characteristics in WOx film-based memory cells

    Science.gov (United States)

    Duan, W. J.; Wang, J. B.; Zhong, X. L.

    2018-05-01

    Resistive switching random access memory (RRAM) is considered as a promising candidate for the next generation memory due to its scalability, high integration density and non-volatile storage characteristics. Here, the multiple electrical characteristics in Pt/WOx/Pt cells are investigated. Both of the nonlinear switching and multi-level storage can be achieved by setting different compliance current in the same cell. The correlations among the current, time and temperature are analyzed by using contours and 3D surfaces. The switching mechanism is explained in terms of the formation and rupture of conductive filament which is related to oxygen vacancies. The experimental results show that the non-stoichiometric WOx film-based device offers a feasible way for the applications of oxide-based RRAMs.

  17. Nanocaractérisation d'oxydes à changement de résistance pour les mémoires résistives

    OpenAIRE

    Calka , Pauline

    2012-01-01

    With low energy consumption, non-volatile memories are interesting for portative applications (USB, mobile phone, laptop …). The Flash memory technology is reaching its physical boundaries and needs to be replaced. New materials and architectures are currently investigated. Oxide Resistive Random Access Memory (OxRRAM) is considered as a good candidate. It is based on a M-O-M (Metal-Oxide-Metal) stack. The information is stored using an electric field or a current that modulates the resistanc...

  18. Modeling and Forecasting S&P 500 Volatility: Long Memory, Structural Breaks and Nonlinearity

    NARCIS (Netherlands)

    M.P.E. Martens (Martin); D.J.C. van Dijk (Dick); M.D. de Pooter (Michiel)

    2004-01-01

    textabstractThe sum of squared intraday returns provides an unbiased and almost error-free measure of ex-post volatility. In this paper we develop a nonlinear Autoregressive Fractionally Integrated Moving Average (ARFIMA) model for realized volatility, which accommodates level shifts,

  19. Analysis of Non-Volatile Chemical Constituents of Menthae Haplocalycis Herba by Ultra-High Performance Liquid Chromatography-High Resolution Mass Spectrometry

    Directory of Open Access Journals (Sweden)

    Lu-Lu Xu

    2017-10-01

    Full Text Available Menthae Haplocalycis herba, one kind of Chinese edible herbs, has been widely utilized for the clinical use in China for thousands of years. Over the last decades, studies on chemical constituents of Menthae Haplocalycis herba have been widely performed. However, less attention has been paid to non-volatile components which are also responsible for its medical efficacy than the volatile constituents. Therefore, a rapid and sensitive method was developed for the comprehensive identification of the non-volatile constituents in Menthae Haplocalycis herba using ultra-high performance liquid chromatography coupled with linear ion trap-Orbitrap mass spectrometry (UHPLC-LTQ-Orbitrap. Separation was performed with Acquity UPLC® BEH C18 column (2.1 mm × 100 mm, 1.7 μm with 0.2% formic acid aqueous solution and acetonitrile as the mobile phase under gradient conditions. Based on the accurate mass measurement (<5 ppm, MS/MS fragmentation patterns and different chromatographic behaviors, a total of 64 compounds were unambiguously or tentatively characterized, including 30 flavonoids, 20 phenolic acids, 12 terpenoids and two phenylpropanoids. Finally, target isolation of three compounds named Acacetin, Rosmarinic acid and Clemastanin A (first isolated from Menthae Haplocalycis herba were performed based on the obtained results, which further confirmed the deduction of fragmentation patterns and identified the compounds profile in Menthae Haplocalycis herba. Our research firstly systematically elucidated the non-volatile components of Menthae Haplocalycis herba, which laid the foundation for further pharmacological and metabolic studies. Meanwhile, our established method was useful and efficient to screen and identify targeted constituents from traditional Chinese medicine extracts.

  20. Mean-Variance portfolio optimization by using non constant mean and volatility based on the negative exponential utility function

    Science.gov (United States)

    Soeryana, Endang; Halim, Nurfadhlina Bt Abdul; Sukono, Rusyaman, Endang; Supian, Sudradjat

    2017-03-01

    Investments in stocks investors are also faced with the issue of risk, due to daily price of stock also fluctuate. For minimize the level of risk, investors usually forming an investment portfolio. Establishment of a portfolio consisting of several stocks are intended to get the optimal composition of the investment portfolio. This paper discussed about optimizing investment portfolio of Mean-Variance to stocks by using mean and volatility is not constant based on the Negative Exponential Utility Function. Non constant mean analyzed using models Autoregressive Moving Average (ARMA), while non constant volatility models are analyzed using the Generalized Autoregressive Conditional heteroscedastic (GARCH). Optimization process is performed by using the Lagrangian multiplier technique. As a numerical illustration, the method is used to analyze some stocks in Indonesia. The expected result is to get the proportion of investment in each stock analyzed

  1. The impact of translation-memory (TM) technology on cognitive processes

    DEFF Research Database (Denmark)

    Christensen, Tina Paulsen; Schjoldager, Anne

    2011-01-01

    The use of Translation-Memory (TM) technology and other translation software is bound to influence translators' cognitive processes. Unfortunately we still lack empirically founded knowledge of this. Our paper therefore presents and discusses the theoretical background, setup and preliminary...

  2. Final Report on Testing of Off-Gas Treatment Technologies for Abatement of Atmospheric Emissions of Chlorinated Volatile Organic Compounds

    International Nuclear Information System (INIS)

    Jarosch, T.R.; Haselow, J.S.; Rossabi, J.; Burdick, S.A.; Raymond, R.; Young, J.E.; Lombard, K.H.

    1995-01-01

    The purpose of this report is to summarize the results of the program for off-gas treatment of atmospheric emissions of chlorinated volatile organic compounds (CVOCs), in particular trichloroethylene (TCE) and perchloroethylene (PCE). This program was funded through the Department of Energy Office of Technology Development's VOC's in Non-Arid Soils Integrated Demonstration (VNID). The off-gas treatment program was initiated after testing of in-situ air stripping with horizontal wells was completed (Looney et al., 1991). That successful test expectedly produced atmospheric emissions of CVOCs that were unabated. It was decided after that test that an off-gas treatment is an integral portion of remediation of CVOC contamination in groundwater and soil but also because several technologies were being developed across the United States to mitigate CVOC emissions. A single platform for testing off-gas treatment technologies would facilitate cost effective evaluation of the emerging technologies. Another motivation for the program is that many CVOCs will be regulated under the Clean Air Act Amendments of 1990 and are already regulated by many state regulatory programs. Additionally, compounds such as TCE and PCE are pervasive subsurface environmental contaminants, and, as a result, a small improvement in terms of abatement efficiency or cost will significantly reduce CVOC discharges to the environment as well as costs to United States government and industry

  3. Technology advanced mini-eyesafe rangefinder (TAMER)

    Science.gov (United States)

    Abousleman, Glen P.; Smeed, Bill

    1998-08-01

    The Technology Advanced Mini-Eyesafe Rangefinder (TAMER) module is a portable, lightweight (7 lbs), hand-held, target determination system. This rapid prototype program involved the integration of a Motorola 68360 microprocessor, electronic compass, laser range finder, GPS, 4 PCMCIA expansion slots, 0.7-inch micro display, digital camera, floating point unit, and various communications interfaces. The CPU computes an absolute target position based on laser range to target, C/VAM azimuth and inclination inputs, and absolute GPS position. This target position is automatically formatted into a standard military surveillance report and stored in local non- volatile memory. The operator can attach to a SINCGARS radio or to any RS232 compatible system (e.g., cellular telephone). To facilitate the above functionality, the TAMER system utilizes various power saving strategies including software- geared power reduction, power supply configuration, external device integration, and incorporation of low-power ICs. Additionally, TAMER utilizes state-of-the-art digital image compression technology. This custom image coder is based on wavelet decomposition and trellis-coded quantization (TCQ). The algorithm enables TAMER to transmit useful imagery over its severely disadvantaged wireless link.

  4. A ferroelectric memory technology for embedded LSI

    CERN Document Server

    Kunio, T

    1999-01-01

    We have developed an FeRAM (Ferroelectric Random Access Memory) embedded smart card LSI by using double metal 0.8- mu m CMOS technology. The smart-card has a 256-byte FeRAM macro and an 8-bit microcontroller. The FeRAM macro has the $9 performance of 10/sup 8/ endurance cycles and is half the size of an EEPROM macro. We have also developed a new CMVP (Capacitor on Meta/Via Stacked Plug) cell for an advanced FeRAM embedded LSI by using 0.25- mu m CMOS technology. $9 The ferroelectric capacitors of this cell are fabricated after the multiple interconnect is formed, and a cell area of 3.2 mu m/sup 2/ is obtained. (8 refs).

  5. PMCAP: A Threat Model of Process Memory Data on the Windows Operating System

    Directory of Open Access Journals (Sweden)

    Jiaye Pan

    2017-01-01

    Full Text Available Research on endpoint security involves both traditional PC platform and prevalent mobile platform, among which the analysis of software vulnerability and malware is one of the important contents. For researchers, it is necessary to carry out nonstop exploration of the insecure factors in order to better protect the endpoints. Driven by this motivation, we propose a new threat model named Process Memory Captor (PMCAP on the Windows operating system which threatens the live process volatile memory data. Compared with other threats, PMCAP aims at dynamic data in the process memory and uses a noninvasive approach for data extraction. In this paper we describe and analyze the model and then give a detailed implementation taking four popular web browsers IE, Edge, Chrome, and Firefox as examples. Finally, the model is verified through real experiments and case studies. Compared with existing technologies, PMCAP can extract valuable data at a lower cost; some techniques in the model are also suitable for memory forensics and malware analysis.

  6. Exploring heterogeneous market hypothesis using realized volatility

    Science.gov (United States)

    Chin, Wen Cheong; Isa, Zaidi; Mohd Nor, Abu Hassan Shaari

    2013-04-01

    This study investigates the heterogeneous market hypothesis using high frequency data. The cascaded heterogeneous trading activities with different time durations are modelled by the heterogeneous autoregressive framework. The empirical study indicated the presence of long memory behaviour and predictability elements in the financial time series which supported heterogeneous market hypothesis. Besides the common sum-of-square intraday realized volatility, we also advocated two power variation realized volatilities in forecast evaluation and risk measurement in order to overcome the possible abrupt jumps during the credit crisis. Finally, the empirical results are used in determining the market risk using the value-at-risk approach. The findings of this study have implications for informationally market efficiency analysis, portfolio strategies and risk managements.

  7. Optimisasi Portofolio Mean-VaR di bawah CAPM Transformasi Koyck dengan Volatilitas Tak Konstan dan Efek Long Memory

    Directory of Open Access Journals (Sweden)

    Sukono Sukono

    2010-01-01

    Full Text Available In this paper we formulated mean-VaR portfolio optimization through CAPM Koyck transformation. We assumed that lagged of risk premium which have highly influence on stock returns is infinite, while model parameters decrease geometrically. We also assumed that rate of return in risk premium market index is not constant, in other word has a non-constant volatility rate, and also has a long memory effect. The later was analyzed using ARFIMA. Non constant volatility rate was modeled via GARCH model. The portfolio optimization was constructed using Langrangian multiplier and the Kuhn-Tucker theorem was employed to obtain the solution by the least square method. Finally, we provide a numerical example of the optimization model based on several stocks traded in Indonesian capital market.

  8. Thermal effects in magnetoelectric memories with stress-mediated switching

    International Nuclear Information System (INIS)

    Giordano, S; Dusch, Y; Tiercelin, N; Pernod, P; Preobrazhensky, V

    2013-01-01

    Heterostructures with magneto-electro-elastic coupling (e.g. multiferroics) are of paramount importance for developing new sensors, actuators and memories. With the progressive miniaturization of these systems it is necessary to take into account possible thermal effects, which may influence the normal operating regime. As a paradigmatic example we consider a recently introduced non-volatile memory element composed of a magnetostrictive nanoparticle embedded in a piezoelectric matrix. The distributions of the physical fields in this matrix/inclusion configuration are determined by means of the Eshelby theory, the magnetization dynamics is studied through the Landau–Lifshitz–Gilbert formalism, and the statistical mechanics is introduced with the Langevin and Fokker–Planck methodologies. As result of the combination of such techniques we determine the switching time between the states of the memory, the error probability and the energy dissipation of the writing process. They depend on the ratio k B T/v where T is the absolute temperature and v is the volume of the magnetoelastic particle. (paper)

  9. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO2 for non-volatile memory device

    International Nuclear Information System (INIS)

    Stepina, N.P.; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V.

    2008-01-01

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO 2 , have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO 2 /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots

  10. Fabrication of Pb (Zr, Ti) O3 Thin Film for Non-Volatile Memory Device Application

    International Nuclear Information System (INIS)

    Mar Lar Win

    2011-12-01

    Ferroelectric lead zirconate titanate powder was composed of mainly the oxides of titanium, zirconium and lead. PZT powder was firstly prepared by thermal synthesis at different Zr/Ti ratios with various sintering temperatures. PZT thin film was fabricated on SiO2/Si substrate by using thermal evaporation method. Physical and elemental analysis were carried out by using SEM, EDX and XRD The ferroelectric properties and the switching behaviour of the PZT thin films were investigated. The ferroelectric properties and switching properties of the PZT thin film (near morphotropic phase boundary sintered at 800 C) could function as a nonvolatile memory.

  11. New memory devices based on the proton transfer process

    Science.gov (United States)

    Wierzbowska, Małgorzata

    2016-01-01

    Memory devices operating due to the fast proton transfer (PT) process are proposed by the means of first-principles calculations. Writing information is performed using the electrostatic potential of scanning tunneling microscopy (STM). Reading information is based on the effect of the local magnetization induced at the zigzag graphene nanoribbon (Z-GNR) edge—saturated with oxygen or the hydroxy group—and can be realized with the use of giant magnetoresistance (GMR), a magnetic tunnel junction or spin-transfer torque devices. The energetic barriers for the hop forward and backward processes can be tuned by the distance and potential of the STM tip; this thus enables us to tailor the non-volatile logic states. The proposed system enables very dense packing of the logic cells and could be used in random access and flash memory devices.

  12. Distinctiveness enhances long-term event memory in non-human primates, irrespective of reinforcement.

    Science.gov (United States)

    Lewis, Amy; Call, Josep; Berntsen, Dorthe

    2017-08-01

    Non-human primates are capable of recalling events that occurred as long as 3 years ago, and are able to distinguish between similar events; akin to human memory. In humans, distinctiveness enhances memory for events, however, it is unknown whether the same occurs in non-human primates. As such, we tested three great ape species on their ability to remember an event that varied in distinctiveness. Across three experiments, apes witnessed a baiting event in which one of three identical containers was baited with food. After a delay of 2 weeks, we tested their memory for the location of the baited container. Apes failed to recall the baited container when the event was undistinctive (Experiment 1), but were successful when it was distinctive (Experiment 2), although performance was equally good in a less-distinctive condition. A third experiment (Experiment 3) confirmed that distinctiveness, independent of reinforcement, was a consistent predictor of performance. These findings suggest that distinctiveness may enhance memory for events in non-human primates in the same way as in humans, and provides further evidence of basic similarities between the ways apes and humans remember past events. © 2017 Wiley Periodicals, Inc.

  13. Electron beam treatment of toxic volatile organic compounds and dioxins

    International Nuclear Information System (INIS)

    Kojima, Takuji

    2006-01-01

    Considerations of wastes based on the reduction, reuse and recycle in daily life are primary measures to conserve our environment, but the control technology is necessary to support these measures. The electron beam (EB) process is promising as an advanced purification process having advantages such as a quick treatment of big volume gas, applicability even for very low concentration pollutants as the further purification at the downstream of existing process, and decomposition of pollutants into non-toxic substances by one process. The EB technology has been developed for treatment of toxic volatile organic compounds (VOCs) in ventilation gas and dioxins in solid waste incineration flue gas. (author)

  14. Preparation and characterization of Sb2Se3 devices for memory applications

    Science.gov (United States)

    Shylashree, N.; Uma B., V.; Dhanush, S.; Abachi, Sagar; Nisarga, A.; Aashith, K.; Sangeetha B., G.

    2018-05-01

    In this paper, A phase change material of Sb2Se3 was proposed for non volatile memory application. The thin film device preparation and characterization were carried out. The deposition method used was vapor evaporation technique and a thickness of 180nm was deposited. The switching between the SET and RESET state is shown by the I-V characterization. The change of phase was studied using R-V characterization. Different fundamental modes were also identified using Raman spectroscopy.

  15. Phase-change thin films : resistance switching and isothermal crystallization studies

    NARCIS (Netherlands)

    Pandian, Ramanathaswamy

    2008-01-01

    Phase-change materials are identified as promising candidates for the future non-volatile memory applications. It is crucial to develop potential methods and technologies for the materials to meet the future data storage requirements such as high data storage density and high data transfer rate. A

  16. Non-pathogenic rhizobacteria interfere with the attraction of parasitoids to aphid-induced plant volatiles via jasmonic acid signalling.

    Science.gov (United States)

    Pineda, Ana; Soler, Roxina; Weldegergis, Berhane T; Shimwela, Mpoki M; VAN Loon, Joop J A; Dicke, Marcel

    2013-02-01

    Beneficial soil-borne microbes, such as mycorrhizal fungi or rhizobacteria, can affect the interactions of plants with aboveground insects at several trophic levels. While the mechanisms of interactions with herbivorous insects, that is, the second trophic level, are starting to be understood, it remains unknown how plants mediate the interactions between soil microbes and carnivorous insects, that is, the third trophic level. Using Arabidopsis thaliana Col-0 and the aphid Myzus persicae, we evaluate here the underlying mechanisms involved in the plant-mediated interaction between the non-pathogenic rhizobacterium Pseudomonas fluorescens and the parasitoid Diaeretiella rapae, by combining ecological, chemical and molecular approaches. Rhizobacterial colonization modifies the composition of the blend of herbivore-induced plant volatiles. The volatile blend from rhizobacteria-treated aphid-infested plants is less attractive to an aphid parasitoid, in terms of both olfactory preference behaviour and oviposition, than the volatile blend from aphid-infested plants without rhizobacteria. Importantly, the effect of rhizobacteria on both the emission of herbivore-induced volatiles and parasitoid response to aphid-infested plants is lost in an Arabidopsis mutant (aos/dde2-2) that is impaired in jasmonic acid production. By modifying the blend of herbivore-induced plant volatiles that depend on the jasmonic acid-signalling pathway, root-colonizing microbes interfere with the attraction of parasitoids of leaf herbivores. © 2012 Blackwell Publishing Ltd.

  17. Magnetoresistive memory in phase-separated La0.5Ca0.5MnO3

    Energy Technology Data Exchange (ETDEWEB)

    Sacanell, J. [Departamento de Fisica, Unidad de Actividad Fisica-Centro Atomico de Constituyentes, CNEA, Av. Gral. Paz 1499, San Martin 1650, Pcia. de Buenos Aires (Argentina)]. E-mail: sacanell@cnea.gov.ar; Parisi, F. [Departamento de Fisica, Unidad de Actividad Fisica-Centro Atomico de Constituyentes, CNEA, Av. Gral. Paz 1499, San Martin 1650, Pcia. de Buenos Aires (Argentina); Levy, P. [Departamento de Fisica, Unidad de Actividad Fisica-Centro Atomico de Constituyentes, CNEA, Av. Gral. Paz 1499, San Martin 1650, Pcia. de Buenos Aires (Argentina); Ghivelder, L. [Instituto de Fisica, UFRJ, Rio de Janeiro (Brazil)

    2004-12-31

    We have studied a non-volatile memory effect in the mixed valent compound La0.5Ca0.5MnO3 induced by magnetic field (H). In a previous work (Phys. Rev. B 65 (2002) 104403), it has been shown that the response of this system upon application of H strongly depends on the temperature range, related to three well-differentiated regimes of phase separation occurring below 220K. In this work we compare memory capabilities of the compound, determined following two different experimental procedures for applying H, namely zero-field cooling and field cooling the sample. These results are analyzed and discussed within the scenario of phase separation.

  18. Magnetoresistive memory in phase-separated La0.5Ca0.5MnO3

    International Nuclear Information System (INIS)

    Sacanell, J.; Parisi, F.; Levy, P.; Ghivelder, L.

    2004-01-01

    We have studied a non-volatile memory effect in the mixed valent compound La0.5Ca0.5MnO3 induced by magnetic field (H). In a previous work (Phys. Rev. B 65 (2002) 104403), it has been shown that the response of this system upon application of H strongly depends on the temperature range, related to three well-differentiated regimes of phase separation occurring below 220K. In this work we compare memory capabilities of the compound, determined following two different experimental procedures for applying H, namely zero-field cooling and field cooling the sample. These results are analyzed and discussed within the scenario of phase separation

  19. TiO2-based memristors and ReRAM: materials, mechanisms and models (a review)

    Science.gov (United States)

    Gale, Ella

    2014-10-01

    The memristor is the fundamental nonlinear circuit element, with uses in computing and computer memory. Resistive Random Access Memory (ReRAM) is a resistive switching memory proposed as a non-volatile memory. In this review we shall summarize the state of the art for these closely-related fields, concentrating on titanium dioxide, the well-utilized and archetypal material for both. We shall cover material properties, switching mechanisms and models to demonstrate what ReRAM and memristor scientists can learn from each other and examine the outlook for these technologies.

  20. TiO2-based memristors and ReRAM: materials, mechanisms and models (a review)

    International Nuclear Information System (INIS)

    Gale, Ella

    2014-01-01

    The memristor is the fundamental nonlinear circuit element, with uses in computing and computer memory. Resistive Random Access Memory (ReRAM) is a resistive switching memory proposed as a non-volatile memory. In this review we shall summarize the state of the art for these closely-related fields, concentrating on titanium dioxide, the well-utilized and archetypal material for both. We shall cover material properties, switching mechanisms and models to demonstrate what ReRAM and memristor scientists can learn from each other and examine the outlook for these technologies. (invited review)

  1. Volatility and entrainment of feed components and product glass characteristics during pilot-scale vitrification of simulated Hanford site low-level waste

    International Nuclear Information System (INIS)

    Shade, J.W.

    1996-01-01

    Commercially available melter technologies were tested for application to vitrification of Hanford site low-level waste (LLW). Testing was conducted at vendor facilities using a non-radioactive LLW simulant. Technologies tested included four Joule-heated melter types, a carbon electrode melter, a cyclone combustion melter, and a plasma torch-fired melter. A variety of samples were collected during the vendor tests and analyzed to provide data to support evaluation of the technologies. This paper describes the evaluation of melter feed component volatility and entrainment losses and product glass samples produced during the vendor tests. All vendors produced glasses that met minimum leach criteria established for the test glass formulations, although in many cases the waste oxide loading was less than intended. Entrainment was much lower in Joule-heated systems than in the combustion or plasma torch-fired systems. Volatility of alkali metals, halogens, B, Mo, and P were severe for non-Joule-heated systems. While losses of sulfur were significant for all systems, the volatility of other components was greatly reduced for some configurations of Joule-heated melters. Data on approaches to reduce NO x generation, resulting from high nitrate and nitrite content in the double-shell slurry feed, are also presented

  2. Resistive Memory Devices for Radiation Resistant Non-Volatile Memory

    Data.gov (United States)

    National Aeronautics and Space Administration — Ionizing radiation in space can damage electronic equipment, corrupting data and even disabling computers. Radiation resistant (rad hard) strategies must be employed...

  3. Recent trends in hardware security exploiting hybrid CMOS-resistive memory circuits

    Science.gov (United States)

    Sahay, Shubham; Suri, Manan

    2017-12-01

    This paper provides a comprehensive review and insight of recent trends in the field of random number generator (RNG) and physically unclonable function (PUF) circuits implemented using different types of emerging resistive non-volatile (NVM) memory devices. We present a detailed review of hybrid RNG/PUF implementations based on the use of (i) Spin-Transfer Torque (STT-MRAM), and (ii) metal-oxide based (OxRAM), NVM devices. Various approaches on Hybrid CMOS-NVM RNG/PUF circuits are considered, followed by a discussion on different nanoscale device phenomena. Certain nanoscale device phenomena (variability/stochasticity etc), which are otherwise undesirable for reliable memory and storage applications, form the basis for low power and highly scalable RNG/PUF circuits. Detailed qualitative comparison and benchmarking of all implementations is performed.

  4. A Memristor as Multi-Bit Memory: Feasibility Analysis

    Directory of Open Access Journals (Sweden)

    O. Bass

    2015-06-01

    Full Text Available The use of emerging memristor materials for advanced electrical devices such as multi-valued logic is expected to outperform today's binary logic digital technologies. We show here an example for such non-binary device with the design of a multi-bit memory. While conventional memory cells can store only 1 bit, memristors-based multi-bit cells can store more information within single device thus increasing the information storage density. Such devices can potentially utilize the non-linear resistance of memristor materials for efficient information storage. We analyze the performance of such memory devices based on their expected variations in order to determine the viability of memristor-based multi-bit memory. A design of read/write scheme and a simple model for this cell, lay grounds for full integration of memristor multi-bit memory cell.

  5. Unconditional polarization qubit quantum memory at room temperature

    Science.gov (United States)

    Namazi, Mehdi; Kupchak, Connor; Jordaan, Bertus; Shahrokhshahi, Reihaneh; Figueroa, Eden

    2016-05-01

    The creation of global quantum key distribution and quantum communication networks requires multiple operational quantum memories. Achieving a considerable reduction in experimental and cost overhead in these implementations is thus a major challenge. Here we present a polarization qubit quantum memory fully-operational at 330K, an unheard frontier in the development of useful qubit quantum technology. This result is achieved through extensive study of how optical response of cold atomic medium is transformed by the motion of atoms at room temperature leading to an optimal characterization of room temperature quantum light-matter interfaces. Our quantum memory shows an average fidelity of 86.6 +/- 0.6% for optical pulses containing on average 1 photon per pulse, thereby defeating any classical strategy exploiting the non-unitary character of the memory efficiency. Our system significantly decreases the technological overhead required to achieve quantum memory operation and will serve as a building block for scalable and technologically simpler many-memory quantum machines. The work was supported by the US-Navy Office of Naval Research, Grant Number N00141410801 and the Simons Foundation, Grant Number SBF241180. B. J. acknowledges financial assistance of the National Research Foundation (NRF) of South Africa.

  6. Fabrication and electrical characterization of a MOS memory device containing self-assembled metallic nanoparticles

    Science.gov (United States)

    Sargentis, Ch.; Giannakopoulos, K.; Travlos, A.; Tsamakis, D.

    2007-04-01

    Floating gate devices with nanoparticles embedded in dielectrics have recently attracted much attention due to the fact that these devices operate as non-volatile memories with high speed, high density and low power consumption. In this paper, memory devices containing gold (Au) nanoparticles have been fabricated using e-gun evaporation. The Au nanoparticles are deposited on a very thin SiO 2 layer and are then fully covered by a HfO 2 layer. The HfO 2 is a high- k dielectric and gives good scalability to the fabricated devices. We studied the effect of the deposition parameters to the size and the shape of the Au nanoparticles using capacitance-voltage and conductance-voltage measurements, we demonstrated that the fabricated device can indeed operate as a low-voltage memory device.

  7. Seasonal variation and volatility of ultra-fine particles in coastal Antarctic troposphere

    Directory of Open Access Journals (Sweden)

    Keiichiro Hara

    2010-12-01

    Full Text Available The Size distribution and volatility of ultrafine aerosol particles were measured at Syowa Station during the 46-47 Japanese Antarctic Research Expeditions. During the summer, most of the ultrafine particles were volatile particles, which were composed of H_2SO_4, CH_3SO_3H and sulfates bi-sulfates. The abundance of non-volatile particles was ~ 20% during the summer, increasing to>90% in winter-spring. Non-volatile particles in winter were dominantly sea-salt particles. Some ultrafine sea-salt particles might be released from sea-ice. When air mass was transported from the free troposphere over the Antarctic continent, the abundance of non-volatile particles dropped to<30% even in winter.

  8. Effects on Ferroelectric Thin-Film Stacks and Devices for Piezoelectric MEMS Applications at Varied Total Ionizing Dose (TID)

    Science.gov (United States)

    2017-03-01

    non -linearly mobile internal interfaces, e.g. domain walls and eventual phase boundaries. Radiation exposure is expected...zirconate titanate; PZT; actuator; radiation ; gamma; total ionization dose; TID; top electrode; Pt; IrO2; polarization; PE; hysteresis; permittivity...Hayashigawa, et. al., “A 2 Mbit Radiation Hardened Stackable Ferroelectric Memory” Non - Volatile Memory Technology Symposium, NVMTS 07, Nov 10-13, 2007 Albuquerque, NM, USA

  9. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    Science.gov (United States)

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, H. N.

    2012-06-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin film transistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectric transistors, which is very promising for low-power non-volatile memory applications.

  10. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    KAUST Repository

    Nayak, Pradipta K.

    2012-06-22

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin filmtransistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectrictransistors, which is very promising for low-power non-volatile memory applications.

  11. What's Up with the Storage Hierarchy?

    DEFF Research Database (Denmark)

    Bonnet, Philippe

    2017-01-01

    Ten years ago, Jim Gray observed that flash was about to replace magnetic disks. He also predicted that the need for low latency would make main memory databases commonplace. Most of his predictions have proven accurate. Today, who can make predictions about the future of the storage hierarchy......? Both main memory and storage systems are undergoing profound transformations. First, their design goals are increasingly complex (reconfigurable infrastructure at low latency, high resource utilization and stable energy footprint). Second, the status quo is not an option due to the shortcomings...... of existing solutions (memory bandwidth gap, inefficiency of generic memory/storage controllers). Third, new technologies are emerging (hybrid memories, non-volatile memories still under non-disclosure agreements, near-data processing in memory and storage). The impact of these transformations on the storage...

  12. Recent Advances on Neuromorphic Systems Using Phase-Change Materials

    Science.gov (United States)

    Wang, Lei; Lu, Shu-Ren; Wen, Jing

    2017-05-01

    Realization of brain-like computer has always been human's ultimate dream. Today, the possibility of having this dream come true has been significantly boosted due to the advent of several emerging non-volatile memory devices. Within these innovative technologies, phase-change memory device has been commonly regarded as the most promising candidate to imitate the biological brain, owing to its excellent scalability, fast switching speed, and low energy consumption. In this context, a detailed review concerning the physical principles of the neuromorphic circuit using phase-change materials as well as a comprehensive introduction of the currently available phase-change neuromorphic prototypes becomes imperative for scientists to continuously progress the technology of artificial neural networks. In this paper, we first present the biological mechanism of human brain, followed by a brief discussion about physical properties of phase-change materials that recently receive a widespread application on non-volatile memory field. We then survey recent research on different types of neuromorphic circuits using phase-change materials in terms of their respective geometrical architecture and physical schemes to reproduce the biological events of human brain, in particular for spike-time-dependent plasticity. The relevant virtues and limitations of these devices are also evaluated. Finally, the future prospect of the neuromorphic circuit based on phase-change technologies is envisioned.

  13. Recent Advances on Neuromorphic Systems Using Phase-Change Materials.

    Science.gov (United States)

    Wang, Lei; Lu, Shu-Ren; Wen, Jing

    2017-12-01

    Realization of brain-like computer has always been human's ultimate dream. Today, the possibility of having this dream come true has been significantly boosted due to the advent of several emerging non-volatile memory devices. Within these innovative technologies, phase-change memory device has been commonly regarded as the most promising candidate to imitate the biological brain, owing to its excellent scalability, fast switching speed, and low energy consumption. In this context, a detailed review concerning the physical principles of the neuromorphic circuit using phase-change materials as well as a comprehensive introduction of the currently available phase-change neuromorphic prototypes becomes imperative for scientists to continuously progress the technology of artificial neural networks. In this paper, we first present the biological mechanism of human brain, followed by a brief discussion about physical properties of phase-change materials that recently receive a widespread application on non-volatile memory field. We then survey recent research on different types of neuromorphic circuits using phase-change materials in terms of their respective geometrical architecture and physical schemes to reproduce the biological events of human brain, in particular for spike-time-dependent plasticity. The relevant virtues and limitations of these devices are also evaluated. Finally, the future prospect of the neuromorphic circuit based on phase-change technologies is envisioned.

  14. Volatility Forecast in Crises and Expansions

    Directory of Open Access Journals (Sweden)

    Sergii Pypko

    2015-08-01

    Full Text Available We build a discrete-time non-linear model for volatility forecasting purposes. This model belongs to the class of threshold-autoregressive models, where changes in regimes are governed by past returns. The ability to capture changes in volatility regimes and using more accurate volatility measures allow outperforming other benchmark models, such as linear heterogeneous autoregressive model and GARCH specifications. Finally, we show how to derive closed-form expression for multiple-step-ahead forecasting by exploiting information about the conditional distribution of returns.

  15. Technologies for physical activity self-monitoring: a study of differences between users and non-users

    Directory of Open Access Journals (Sweden)

    Åkerberg A

    2017-02-01

    Full Text Available Anna Åkerberg,1,2 Anne Söderlund,2 Maria Lindén1 1School of Innovation, Design and Engineering, 2School of Health, Care and Social Welfare, Mälardalen University, Västerås, Sweden Background: Different kinds of physical activity (PA self-monitoring technologies are used today to monitor and motivate PA behavior change. The user focus is essential in the development process of this technology, including potential future users such as representatives from the group of non-users. There is also a need to study whether there are differences between the groups of users and non-users. The aims of this study were to investigate possible differences between users and non-users regarding their opinions about PA self-monitoring technologies and to investigate differences in demographic variables between the groups. Materials and methods: Participants were randomly selected from seven municipalities in central Sweden. In total, 107 adults responded to the Physical Activity Products Questionnaire, which consisted of 22 questions. Results: Significant differences between the users and non-users were shown for six of the 20 measurement-related items: measures accurately (p=0.007, measures with high precision (p=0.024, measures distance (p=0.020, measures speed (p=0.003, shows minutes of activity (p=0.004, and shows geographical position (p=0.000. Significant differences between the users and non-users were also found for two of the 29 encouragement items: measures accurately (p=0.001 and has long-term memory (p=0.019. Significant differences between the groups were also shown for level of education (p=0.030 and level of physical exercise (p=0.037. Conclusion: With a few exceptions, the users and the non-users in this study had similar opinions about PA self-monitoring technologies. Because this study showed significant differences regarding level of education and level of physical exercise, these demographic variables seemed more relevant to investigate

  16. Advances in Electronic-Nose Technologies for the Detection of Volatile Biomarker Metabolites in the Human Breath

    Directory of Open Access Journals (Sweden)

    Alphus D. Wilson

    2015-03-01

    Full Text Available Recent advancements in the use of electronic-nose (e-nose devices to analyze human breath profiles for the presence of specific volatile metabolites, known as biomarkers or chemical bio-indicators of specific human diseases, metabolic disorders and the overall health status of individuals, are providing the potential for new noninvasive tools and techniques useful to point-of-care clinical disease diagnoses. This exciting new area of electronic disease detection and diagnosis promises to yield much faster and earlier detection of human diseases and disorders, allowing earlier, more effective treatments, resulting in more rapid patient recovery from various afflictions. E-nose devices are particularly suited for the field of disease diagnostics, because they are sensitive to a wide range of volatile organic compounds (VOCs and can effectively distinguish between different complex gaseous mixtures via analysis of electronic aroma sensor-array output profiles of volatile metabolites present in the human breath. This review provides a summary of some recent developments of electronic-nose technologies, particularly involving breath analysis, with the potential for providing many new diagnostic applications for the detection of specific human diseases associated with different organs in the body, detectable from e-nose analyses of aberrant disease-associated VOCs present in air expired from the lungs.

  17. Memory and pressure studies in Na{sub x}CoO{sub 2} cobaltites

    Energy Technology Data Exchange (ETDEWEB)

    Garbarino, G; Bouvier, P; Crichton, W A; Mezouar, M [European Synchrotron Radiation Facility, Grenoble (France); Regueiro, M Nunez; Lejay, P [MCBT, Institut Neel, Grenoble (France); Armand, M [LRCS, Universite Picardie Jules-Verne Amiens, Amiens (France); Foo, M L; Cava, R J, E-mail: gaston.garbarino@esrf.f [Department of Chemistry and Materials Institute, Princeton University, New Jersey (United States)

    2009-03-01

    We present a detailed study on the memory effect results in Na{sub 0.5} paragraph 5CoO{sub 2} single crystals. We analyze the temperature dependence of the nonvolatile current-pulse-induced resistance memory state. These results allow us to have more insight in the mobility of Na{sup +} ions induced by current and their effect on the memory effect. We also developed X-ray diffraction studies under pressure at ambient temperature in the N{sub a0.5}CoO{sub 2} powder compound. An orthorhombic to hexagonal phase transition was observed at 9GPa. This transition can be explained taking into account the Na ions displacement between two allowed positions. These structural results allow us to confirm that the non-volatile resistive commutation can be interpreted by the displacement of the Na ions induced by the current pulses.

  18. Arsenic volatilization in model anaerobic biogas digesters

    International Nuclear Information System (INIS)

    Mestrot, Adrien; Xie, Wan-Ying; Xue, Ximei; Zhu, Yong-Guan

    2013-01-01

    Highlights: • Arsenic is volatilized form all model anaerobic digesters, including the non-treated ones. • Volatile As species can be identified and quantified in all digesters. • Non-arsenic treated digesters volatilization rates are higher than Roxarsone treated ones. - Abstract: Arsenic is a class 1 non-threshold carcinogen which is highly ubiquitous. Arsenic undergoes many different transformations (biotic or abiotic) between and within environmental compartments, leading to a number of different chemical species possessing different properties and toxicities. One specific transformation is As biotic volatilization which is coupled with As biomethylation and has been scarcely studied due to inherent sampling issues. Arsenic methylation/volatilization is also linked with methanogenesis and occurs in anaerobic environments. In China, rice straw and animal manure are very often used to produce biogas and both can contain high amounts of As, especially if the rice is grown in areas with heavy mining or smelting industries and if Roxarsone is fed to the animals. Roxarsone is an As-containing drug which is widely used in China to control coccidian intestinal parasites, to improve feed efficiency and to promote rapid growth. Previous work has shown that this compound degrades to inorganic As under anaerobic conditions. In this study the focus is on biotic transformations of As in small microcosms designed as biogas digester models (BDMs) using recently validated As traps, thus, enabling direct quantification and identification of volatile As species. It is shown that although there was a loss of soluble As in the BDMs, their conditions favored biomethylation. All reactors produced volatile As, especially the monomethylarsonic acid spiked ones with 413 ± 148 ng As (mean ± SD, n = 3) which suggest that the first methylation step, from inorganic As, is a limiting factor. The most abundant species was trimethylarsine, but the toxic arsine was present in the

  19. Dynamic effects of memory in a cobweb model with competing technologies

    Science.gov (United States)

    Agliari, Anna; Naimzada, Ahmad; Pecora, Nicolò

    2017-02-01

    We analyze a simple model based on the cobweb demand-supply framework with costly innovators and free imitators and study the endogenous dynamics of price and firms' fractions in a homogeneous good market. The evolutionary selection between technologies depends on a performance measure in which a memory parameter is introduced. The resulting dynamics is then described by a two-dimensional map. In addition to the locally stabilizing effect due to the presence of memory, we show the existence of a double stability threshold which entails for different dynamic scenarios occurring when the memory parameter takes extreme values (i.e. when consideration of the last profit realization prevails or it is too much neglected). The eventuality of different coexisting attractors as well as the structure of the basins of attraction that characterizes the path dependence property of the model with memory is shown. In particular, through global analysis we also illustrate particular bifurcations sequences that may increase the complexity of the related basins of attraction.

  20. Non-Calorimetric Determination of the Adsorption Heat of Volatile Organic Compounds under Dynamic Conditions

    Directory of Open Access Journals (Sweden)

    Abdelhamid Korrir

    2015-04-01

    Full Text Available Avoiding strong chemical bonding, as indicated by lower heat of adsorption value, is among the selection criteria for Volatile Organic Compounds adsorbents. In this work, we highlight a non-calorimetric approach to estimating the energy of adsorption and desorption based on measurement of involved amounts, under dynamic conditions, with gaseous Fourier Transform Infrared spectroscopy. The collected data were used for obtaining adsorption heat values through the application of three different methods, namely, isosteric, temperature programmed desorption (TPD, and temperature-programmed adsorption equilibrium (TPAE. The resulting values were compared and discussed with the scope of turning determination of the heat of adsorption with non-calorimetric methods into a relevant decision making tool for designing cost-effective and safe operating of adsorption facilities.

  1. New memory devices based on the proton transfer process

    International Nuclear Information System (INIS)

    Wierzbowska, Małgorzata

    2016-01-01

    Memory devices operating due to the fast proton transfer (PT) process are proposed by the means of first-principles calculations. Writing  information is performed using the electrostatic potential of scanning tunneling microscopy (STM). Reading information is based on the effect of the local magnetization induced at the zigzag graphene nanoribbon (Z-GNR) edge—saturated with oxygen or the hydroxy group—and can be realized with the use of giant magnetoresistance (GMR), a magnetic tunnel junction or spin-transfer torque devices. The energetic barriers for the hop forward and backward processes can be tuned by the distance and potential of the STM tip; this thus enables us to tailor the non-volatile logic states. The proposed system enables very dense packing of the logic cells and could be used in random access and flash memory devices. (paper)

  2. Assessment of waste management of volatile radionuclides

    International Nuclear Information System (INIS)

    Altomare, P.M.; Barbier, M.; Lord, N.; Nainan, D.

    1979-05-01

    This document presents a review of the Technologies for Waste Management of the Volatile Radionuclides of iodine-129, krypton-85, tritium, and carbon-14. The report presents an estimate of the quantities of these volatile radionuclides as are produced in the nuclear power industry. The various technologies as may be used, or which are under investigation, to immobilize these nuclides and to contain them during storage and in disposal are discussed. Also, the alternative disposal options as may be applied to isolate these radioactive wastes from the human environment are presented. The report contains information which was available through approximately January 1978

  3. Isolation of volatile compounds of Aloe excelsa (Berger ...

    African Journals Online (AJOL)

    PROMOTING ACCESS TO AFRICAN RESEARCH ... Industrial and pharmacological applications of volatile and non-volatile compounds isolated ... Three commercially important compounds, namely: phenylacetonitrile, carvone and limonene were identified using gas ... EMAIL FREE FULL TEXT EMAIL FREE FULL TEXT

  4. Dissociation of neural correlates of verbal and non-verbal visual working memory with different delays

    Directory of Open Access Journals (Sweden)

    Endestad Tor

    2007-10-01

    Full Text Available Abstract Background Dorsolateral prefrontal cortex (DLPFC, posterior parietal cortex, and regions in the occipital cortex have been identified as neural sites for visual working memory (WM. The exact involvement of the DLPFC in verbal and non-verbal working memory processes, and how these processes depend on the time-span for retention, remains disputed. Methods We used functional MRI to explore the neural correlates of the delayed discrimination of Gabor stimuli differing in orientation. Twelve subjects were instructed to code the relative orientation either verbally or non-verbally with memory delays of short (2 s or long (8 s duration. Results Blood-oxygen level dependent (BOLD 3-Tesla fMRI revealed significantly more activity for the short verbal condition compared to the short non-verbal condition in bilateral superior temporal gyrus, insula and supramarginal gyrus. Activity in the long verbal condition was greater than in the long non-verbal condition in left language-associated areas (STG and bilateral posterior parietal areas, including precuneus. Interestingly, right DLPFC and bilateral superior frontal gyrus was more active in the non-verbal long delay condition than in the long verbal condition. Conclusion The results point to a dissociation between the cortical sites involved in verbal and non-verbal WM for long and short delays. Right DLPFC seems to be engaged in non-verbal WM tasks especially for long delays. Furthermore, the results indicate that even slightly different memory maintenance intervals engage largely differing networks and that this novel finding may explain differing results in previous verbal/non-verbal WM studies.

  5. High frequency electromechanical memory cells based on telescoping carbon nanotubes.

    Science.gov (United States)

    Popov, A M; Lozovik, Y E; Kulish, A S; Bichoutskaia, E

    2010-07-01

    A new method to increase the operational frequency of electromechanical memory cells based on the telescoping motion of multi-walled carbon nanotubes through the selection of the form of the switching voltage pulse is proposed. The relative motion of the walls of carbon nanotubes can be controlled through the shape of the interwall interaction energy surface. This allows the use of the memory cells in nonvolatile or volatile regime, depending on the structure of carbon nanotube. Simulations based on ab initio and semi-empirical calculations of the interwall interaction energies are used to estimate the switching voltage and the operational frequency of volatile cells with the electrodes made of carbon nanotubes. The lifetime of nonvolatile memory cells is also predicted.

  6. Alternans by non-monotonic conduction velocity restitution, bistability and memory

    International Nuclear Information System (INIS)

    Kim, Tae Yun; Hong, Jin Hee; Heo, Ryoun; Lee, Kyoung J

    2013-01-01

    Conduction velocity (CV) restitution is a key property that characterizes any medium supporting traveling waves. It reflects not only the dynamics of the individual constituents but also the coupling mechanism that mediates their interaction. Recent studies have suggested that cardiac tissues, which have a non-monotonic CV-restitution property, can support alternans, a period-2 oscillatory response of periodically paced cardiac tissue. This study finds that single-hump, non-monotonic, CV-restitution curves are a common feature of in vitro cultures of rat cardiac cells. We also find that the Fenton–Karma model, one of the well-established mathematical models of cardiac tissue, supports a very similar non-monotonic CV restitution in a physiologically relevant parameter regime. Surprisingly, the mathematical model as well as the cell cultures support bistability and show cardiac memory that tends to work against the generation of an alternans. Bistability was realized by adopting two different stimulation protocols, ‘S1S2’, which produces a period-1 wave train, and ‘alternans-pacing’, which favors a concordant alternans. Thus, we conclude that the single-hump non-monotonicity in the CV-restitution curve is not sufficient to guarantee a cardiac alternans, since cardiac memory interferes and the way the system is paced matters. (paper)

  7. Non-invasive brain stimulation targeting the right fusiform gyrus selectively increases working memory for faces.

    Science.gov (United States)

    Brunyé, Tad T; Moran, Joseph M; Holmes, Amanda; Mahoney, Caroline R; Taylor, Holly A

    2017-04-01

    The human extrastriate cortex contains a region critically involved in face detection and memory, the right fusiform gyrus. The present study evaluated whether transcranial direct current stimulation (tDCS) targeting this anatomical region would selectively influence memory for faces versus non-face objects (houses). Anodal tDCS targeted the right fusiform gyrus (Brodmann's Area 37), with the anode at electrode site PO10, and cathode at FP2. Two stimulation conditions were compared in a repeated-measures design: 0.5mA versus 1.5mA intensity; a separate control group received no stimulation. Participants completed a working memory task for face and house stimuli, varying in memory load from 1 to 4 items. Individual differences measures assessed trait-based differences in facial recognition skills. Results showed 1.5mA intensity stimulation (versus 0.5mA and control) increased performance at high memory loads, but only with faces. Lower overall working memory capacity predicted a positive impact of tDCS. Results provide support for the notion of functional specialization of the right fusiform regions for maintaining face (but not non-face object) stimuli in working memory, and further suggest that low intensity electrical stimulation of this region may enhance demanding face working memory performance particularly in those with relatively poor baseline working memory skills. Published by Elsevier Inc.

  8. Tomato Infection by Whitefly-Transmitted Circulative and Non-Circulative Viruses Induce Contrasting Changes in Plant Volatiles and Vector Behaviour.

    Science.gov (United States)

    Fereres, Alberto; Peñaflor, Maria Fernanda G V; Favaro, Carla F; Azevedo, Kamila E X; Landi, Carolina H; Maluta, Nathalie K P; Bento, José Mauricio S; Lopes, Joao R S

    2016-08-11

    Virus infection frequently modifies plant phenotypes, leading to changes in behaviour and performance of their insect vectors in a way that transmission is enhanced, although this may not always be the case. Here, we investigated Bemisia tabaci response to tomato plants infected by Tomato chlorosis virus (ToCV), a non-circulative-transmitted crinivirus, and Tomato severe rugose virus (ToSRV), a circulative-transmitted begomovirus. Moreover, we examined the role of visual and olfactory cues in host plant selection by both viruliferous and non-viruliferous B. tabaci. Visual cues alone were assessed as targets for whitefly landing by placing leaves underneath a Plexiglas plate. A dual-choice arena was used to assess whitefly response to virus-infected and mock-inoculated tomato leaves under light and dark conditions. Thereafter, we tested the whitefly response to volatiles using an active air-flow Y-tube olfactometer, and chemically characterized the blends using gas chromatography coupled to mass spectrometry. Visual stimuli tests showed that whiteflies, irrespective of their infectious status, always preferred to land on virus-infected rather than on mock-inoculated leaves. Furthermore, whiteflies had no preference for either virus-infected or mock-inoculated leaves under dark conditions, but preferred virus-infected leaves in the presence of light. ToSRV-infection promoted a sharp decline in the concentration of some tomato volatiles, while an increase in the emission of some terpenes after ToCV infection was found. ToSRV-viruliferous whiteflies preferred volatiles emitted from mock-inoculated plants, a conducive behaviour to enhance virus spread, while volatiles from ToCV-infected plants were avoided by non-viruliferous whiteflies, a behaviour that is likely detrimental to the secondary spread of the virus. In conclusion, the circulative persistent begomovirus, ToSRV, seems to have evolved together with its vector B. tabaci to optimise its own spread. However

  9. Tomato Infection by Whitefly-Transmitted Circulative and Non-Circulative Viruses Induce Contrasting Changes in Plant Volatiles and Vector Behaviour

    Directory of Open Access Journals (Sweden)

    Alberto Fereres

    2016-08-01

    Full Text Available Virus infection frequently modifies plant phenotypes, leading to changes in behaviour and performance of their insect vectors in a way that transmission is enhanced, although this may not always be the case. Here, we investigated Bemisia tabaci response to tomato plants infected by Tomato chlorosis virus (ToCV, a non-circulative-transmitted crinivirus, and Tomato severe rugose virus (ToSRV, a circulative-transmitted begomovirus. Moreover, we examined the role of visual and olfactory cues in host plant selection by both viruliferous and non-viruliferous B. tabaci. Visual cues alone were assessed as targets for whitefly landing by placing leaves underneath a Plexiglas plate. A dual-choice arena was used to assess whitefly response to virus-infected and mock-inoculated tomato leaves under light and dark conditions. Thereafter, we tested the whitefly response to volatiles using an active air-flow Y-tube olfactometer, and chemically characterized the blends using gas chromatography coupled to mass spectrometry. Visual stimuli tests showed that whiteflies, irrespective of their infectious status, always preferred to land on virus-infected rather than on mock-inoculated leaves. Furthermore, whiteflies had no preference for either virus-infected or mock-inoculated leaves under dark conditions, but preferred virus-infected leaves in the presence of light. ToSRV-infection promoted a sharp decline in the concentration of some tomato volatiles, while an increase in the emission of some terpenes after ToCV infection was found. ToSRV-viruliferous whiteflies preferred volatiles emitted from mock-inoculated plants, a conducive behaviour to enhance virus spread, while volatiles from ToCV-infected plants were avoided by non-viruliferous whiteflies, a behaviour that is likely detrimental to the secondary spread of the virus. In conclusion, the circulative persistent begomovirus, ToSRV, seems to have evolved together with its vector B. tabaci to optimise its own

  10. Evaluation of γ-radiation on green tea odor volatiles

    International Nuclear Information System (INIS)

    Fanaro, G.B.; Duarte, R.C.; Araujo, M.M.; Purgatto, E.; Villavicencio, A.L.C.H.

    2011-01-01

    The aim of this study was to evaluate the gamma radiation effects on green tea odor volatiles in green tea at doses of 0, 5, 10, 15 and 20 kGy. The volatile organic compounds were extracted by hydrodistillation and analyzed by GC/MS. The green tea had a large influence on radiation effects, increasing the identified volatiles in relation to control samples. The dose of 10 kGy was responsible to form the majority of new odor compounds following by 5 and 20 kGy. However, the dose of 5 kGy was the dose that degraded the majority of volatiles in non-irradiated samples, following by 20 kGy. The dose of 15 kGy showed has no effect on odor volatiles. The gamma radiation, at dose up to 20 kGy, showed statistically no difference between irradiated and non irradiated green tea on odors compounds.

  11. Optically controlled electroresistance and electrically controlled photovoltage in ferroelectric tunnel junctions

    KAUST Repository

    Jin Hu, Wei; Wang, Zhihong; Yu, Weili; Wu, Tao

    2016-01-01

    Ferroelectric tunnel junctions (FTJs) have recently attracted considerable interest as a promising candidate for applications in the next-generation non-volatile memory technology. In this work, using an ultrathin (3 nm) ferroelectric Sm0.1Bi0.9FeO3 layer as the tunnelling barrier and a semiconducting Nb-doped SrTiO3 single crystal as the bottom electrode, we achieve a tunnelling electroresistance as large as 105. Furthermore, the FTJ memory states could be modulated by light illumination, which is accompanied by a hysteretic photovoltaic effect. These complimentary effects are attributed to the bias- and light-induced modulation of the tunnel barrier, both in height and width, at the semiconductor/ferroelectric interface. Overall, the highly tunable tunnelling electroresistance and the correlated photovoltaic functionalities provide a new route for producing and non-destructively sensing multiple non-volatile electronic states in such FTJs.

  12. Optically controlled electroresistance and electrically controlled photovoltage in ferroelectric tunnel junctions

    KAUST Repository

    Jin Hu, Wei

    2016-02-29

    Ferroelectric tunnel junctions (FTJs) have recently attracted considerable interest as a promising candidate for applications in the next-generation non-volatile memory technology. In this work, using an ultrathin (3 nm) ferroelectric Sm0.1Bi0.9FeO3 layer as the tunnelling barrier and a semiconducting Nb-doped SrTiO3 single crystal as the bottom electrode, we achieve a tunnelling electroresistance as large as 105. Furthermore, the FTJ memory states could be modulated by light illumination, which is accompanied by a hysteretic photovoltaic effect. These complimentary effects are attributed to the bias- and light-induced modulation of the tunnel barrier, both in height and width, at the semiconductor/ferroelectric interface. Overall, the highly tunable tunnelling electroresistance and the correlated photovoltaic functionalities provide a new route for producing and non-destructively sensing multiple non-volatile electronic states in such FTJs.

  13. Autoradiographic methods for studying marked volatile substances (1961); Methode.d'etude autoradiographique de substances marquees volatiles (1961)

    Energy Technology Data Exchange (ETDEWEB)

    Cohen, Y; Wepierre, J [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1961-07-01

    The autoradiographic methods for animals used up to the present do not make it possible to localise exactly the distribution of marked volatile molecules. The Ullberg method (1954) which we have modified (Cohen, Delassue, 1959) involves cold desiccant. The method due to Pellerin (1957) avoids this desiccant but the histological comparison of the autoradiography with the biological document itself is difficult, if not impossible. Nevertheless, we have adopted certain points in the two methods and propose the following technique for the autoradiographic study of marked volatile molecules: 1- The surface of the frozen sample to be studied is prepared using a freezing microtome. 2- The last section, which is 20 {mu} thick and whose histological elements are parallel to those of the block, is dried by cooling and is used as the biological reference document for the autoradiography obtained, as is indicated in 3; 3- The radiography films are applied to the frozen block at -30 deg. C. The autoradiographs correspond to the radioactivity of the volatile molecule and of its non-volatile degradation products. 4- The radiographic film is also applied to the 20 {mu} section previously dried at -20 deg. C. This autoradiography corresponds to the radioactivity of the non-volatile degradation products of the molecule. 5- We confirmed the absence of diffusion of the volatile molecule and of pseudo-radiographic effects (photochemical and others). This method, which has enabled us to study the distribution of a carbide, para-cymene (C{sup 14}) 7, macroscopically in the case of a whole mouse and microscopically on the skin of a dog, can find general applications. (authors) [French] Les methodes d'autoradiographies sur l'animal, proposees jusqu'a present, ne permettent pas de localiser de facon precise la distribution de molecules marquees volatiles. En effet, la methode de Ullberg (1954) que nous avons modifiee (Cohen, Delassue, 1959) necessite la dessiccation par le froid. La methode

  14. Olfactory receptor neuron responses of a longhorned beetle, Tetropium fuscum (Fabr.) (Coleoptera: Cerambycidae), to pheromone, host, and non-host volatiles.

    Science.gov (United States)

    MacKay, Colin A; Sweeney, Jon D; Hillier, N Kirk

    2015-12-01

    Longhorn wood-boring beetles (Coleoptera: Cerambycidae) use olfactory cues to find mates and hosts for oviposition. Tetropium fuscum (Fabr.) is an invasive longhorned wood-boring beetle originating from Europe that has been established in Nova Scotia, Canada, since at least 1990. This study used single sensillum recordings (SSR) to determine the response of olfactory receptor neurons (ORNs) in the antennal sensilla of male and female T. fuscum to different kinds of olfactory cues, namely host volatiles, non-host volatiles, the aggregation pheromone of T. fuscum (fuscumol), and an aggregation pheromone emitted by other species of longhorn beetles (3-hydroxyhexan-2-one). Each compound had been previously shown to elicit antennal activity in T. fuscum using electroantennography or had been shown to elicit behavioral activity in T. fuscum or other cerambycids. There have been very few SSR studies done on cerambycids, and ours is the first to compare response profiles of pheromone components as well as host and non-host volatiles. Based on SSR studies with other insects, we predicted we would find ORNs that responded to the pheromone alone (pheromone-specialists), as well as ORNs that responded only to host or non-host volatiles, i.e., separation of olfactory cue perception at the ORN level. Also, because male T. fuscum emerge earlier than females and are the pheromone-emitting sex, we predicted that the number of pheromone-sensitive ORNs would be greater in females than males. We found 140 ORNs housed within 97 sensilla that responded to at least one of the 13 compounds. Fuscumol-specific ORNs made up 15% (21/140) of all recordings, but contrary to our prediction, an additional 22 ORNs (16%) responded to fuscumol plus at least one other compound; in total, fuscumol elicited a response from 43/140 (31%) of ORNs with fuscumol-specific ORNs accounting for half of these. Thus, our prediction that pheromone reception would be segregated on specialist ORNs was only partially

  15. A novel 2 T P-channel nano-crystal memory for low power/high speed embedded NVM applications

    International Nuclear Information System (INIS)

    Zhang Junyu; Wang Yong; Liu Jing; Zhang Manhong; Xu Zhongguang; Huo Zongliang; Liu Ming

    2012-01-01

    We introduce a novel 2 T P-channel nano-crystal memory structure for low power and high speed embedded non-volatile memory (NVM) applications. By using the band-to-band tunneling-induced hot-electron (BTBTIHE) injection scheme, both high-speed and low power programming can be achieved at the same time. Due to the use of a select transistor, the 'erased states' can be set to below 0 V, so that the periphery HV circuit (high-voltage generating and management) and read-out circuit can be simplified. Good memory cell performance has also been achieved, including a fast program/erase (P/E) speed (a 1.15 V memory window under 10 μs program pulse), an excellent data retention (only 20% charge loss for 10 years). The data shows that the device has strong potential for future embedded NVM applications. (semiconductor devices)

  16. One bipolar transistor selector - One resistive random access memory device for cross bar memory array

    Science.gov (United States)

    Aluguri, R.; Kumar, D.; Simanjuntak, F. M.; Tseng, T.-Y.

    2017-09-01

    A bipolar transistor selector was connected in series with a resistive switching memory device to study its memory characteristics for its application in cross bar array memory. The metal oxide based p-n-p bipolar transistor selector indicated good selectivity of about 104 with high retention and long endurance showing its usefulness in cross bar RRAM devices. Zener tunneling is found to be the main conduction phenomena for obtaining high selectivity. 1BT-1R device demonstrated good memory characteristics with non-linearity of 2 orders, selectivity of about 2 orders and long retention characteristics of more than 105 sec. One bit-line pull-up scheme shows that a 650 kb cross bar array made with this 1BT1R devices works well with more than 10 % read margin proving its ability in future memory technology application.

  17. Memory for non-native language: the role of lexical processing in the retention of surface form.

    Science.gov (United States)

    Sampaio, Cristina; Konopka, Agnieszka E

    2013-01-01

    Research on memory for native language (L1) has consistently shown that retention of surface form is inferior to that of gist (e.g., Sachs, 1967). This paper investigates whether the same pattern is found in memory for non-native language (L2). We apply a model of bilingual word processing to more complex linguistic structures and predict that memory for L2 sentences ought to contain more surface information than L1 sentences. Native and non-native speakers of English were tested on a set of sentence pairs with different surface forms but the same meaning (e.g., "The bullet hit/struck the bull's eye"). Memory for these sentences was assessed with a cued recall procedure. Responses showed that native and non-native speakers did not differ in the accuracy of gist-based recall but that non-native speakers outperformed native speakers in the retention of surface form. The results suggest that L2 processing involves more intensive encoding of lexical level information than L1 processing.

  18. Revisiting the long memory dynamics of implied-realized volatility relation: A new evidence from wavelet band spectrum regression

    OpenAIRE

    Barunik, Jozef; Barunikova, Michaela

    2015-01-01

    This paper revisits the fractional co-integrating relationship between ex-ante implied volatility and ex-post realized volatility. Previous studies on stock index options have found biases and inefficiencies in implied volatility as a forecast of future volatility. It is argued that the concept of corridor implied volatility (CIV) should be used instead of the popular model-free option-implied volatility (MFIV) when assessing the relation as the latter may introduce bias to the estimation. In...

  19. Effects of Ease-of-Pronunciation on Non-Word Memory and Product Judgments

    DEFF Research Database (Denmark)

    Erz, Antonia; Christensen, Bo

    The ease or difficulty of pronunciation can affect judgments. We experimentally show that linguistic fluency has a direct effect on liking and a U-shaped effect on memory of non-words. We further demonstrate that the fluency of novel, fictitious non-word brand names affects novelty and performance...

  20. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO{sub 2} for non-volatile memory device

    Energy Technology Data Exchange (ETDEWEB)

    Stepina, N.P. [Institute of Semiconductor Physics, Lavrenteva 13, 630090 Novosibirsk (Russian Federation)], E-mail: nstepina@mail.ru; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V. [Institute of Semiconductor Physics, Lavrenteva 13, 630090 Novosibirsk (Russian Federation)

    2008-11-03

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO{sub 2}, have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO{sub 2} /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots.

  1. On fractality and chaos in Moroccan family business stock returns and volatility

    Science.gov (United States)

    Lahmiri, Salim

    2017-05-01

    The purpose of this study is to examine existence of fractality and chaos in returns and volatilities of family business companies listed on the Casablanca Stock Exchange (CSE) in Morocco, and also in returns and volatility of the CSE market index. Detrended fluctuation analysis based Hurst exponent and fractionally integrated generalized autoregressive conditional heteroskedasticity (FIGARCH) model are used to quantify fractality in returns and volatility time series respectively. Besides, the largest Lyapunov exponent is employed to quantify chaos in both time series. The empirical results from sixteen family business companies follow. For return series, fractality analysis show that most of family business returns listed on CSE exhibit anti-persistent dynamics, whilst market returns have persistent dynamics. Besides, chaos tests show that business family stock returns are not chaotic while market returns exhibit evidence of chaotic behaviour. For volatility series, fractality analysis shows that most of family business stocks and market index exhibit long memory in volatility. Furthermore, results from chaos tests show that volatility of family business returns is not chaotic, whilst volatility of market index is chaotic. These results may help understanding irregularities patterns in Moroccan family business stock returns and volatility, and how they are different from market dynamics.

  2. SCM-BP: An Intelligent Buffer Management Mechanism for Database in Storage Class Memory

    OpenAIRE

    Tavares, Júlio A.; Filho, José de Aguiar Moraes; Brayner, Angelo; Lustosa, Eduardo

    2013-01-01

    A set of new storage media, called Storage Class Memory (SCM), has emerged as a quite promising solution to decrease the difference between HDD data access time and the time that processors can consume data. Four main characteristics may be highlighted in SCM: (i) non-volatility; (ii) low access time; (iii) high rates of IOPS, and  (iv) read/write execution time asymmetry. The former three have a direct benefit for database systems. Notwithstanding, the latter one poses challenges for databas...

  3. Controlling quantum memory-assisted entropic uncertainty in non-Markovian environments

    Science.gov (United States)

    Zhang, Yanliang; Fang, Maofa; Kang, Guodong; Zhou, Qingping

    2018-03-01

    Quantum memory-assisted entropic uncertainty relation (QMA EUR) addresses that the lower bound of Maassen and Uffink's entropic uncertainty relation (without quantum memory) can be broken. In this paper, we investigated the dynamical features of QMA EUR in the Markovian and non-Markovian dissipative environments. It is found that dynamical process of QMA EUR is oscillation in non-Markovian environment, and the strong interaction is favorable for suppressing the amount of entropic uncertainty. Furthermore, we presented two schemes by means of prior weak measurement and posterior weak measurement reversal to control the amount of entropic uncertainty of Pauli observables in dissipative environments. The numerical results show that the prior weak measurement can effectively reduce the wave peak values of the QMA-EUA dynamic process in non-Markovian environment for long periods of time, but it is ineffectual on the wave minima of dynamic process. However, the posterior weak measurement reversal has an opposite effects on the dynamic process. Moreover, the success probability entirely depends on the quantum measurement strength. We hope that our proposal could be verified experimentally and might possibly have future applications in quantum information processing.

  4. A New Concept for Non-Volatile Memory: The Electric-Pulse Induced Resistive Change Effect in Colossal Magnetoresistive Thin Films

    Science.gov (United States)

    Liu, S. Q.; Wu, N. J.; Ignatiev, A.

    2001-01-01

    A novel electric pulse-induced resistive change (EPIR) effect has been found in thin film colossal magnetoresistive (CMR) materials, and has shown promise for the development of resistive, nonvolatile memory. The EPIR effect is induced by the application of low voltage (resistance of the thin film sample depending on pulse polarity. The sample resistance change has been shown to be over two orders of magnitude, and is nonvolatile after pulsing. The sample resistance can also be changed through multiple levels - as many as 50 have been shown. Such a device can provide a way for the development of a new kind of nonvolatile multiple-valued memory with high density, fast write/read speed, low power-consumption, and potential high radiation-hardness.

  5. Volatile and non-volatile radiolysis products in irradiated multilayer coextruded food-packaging films containing a buried layer of recycled low-density polyethylene.

    Science.gov (United States)

    Chytiri, S; Goulas, A E; Badeka, A; Riganakos, K A; Kontominas, M G

    2005-12-01

    The effects of gamma-irradiation (5-60 kGy) on radiolysis products and sensory changes of experimental five-layer food-packaging films were determined. Films contained a middle buried layer of recycled low-density polyethylene (LDPE) comprising 25-50% by weight (bw) of the multilayer structure. Respective films containing 100% virgin LDPE as the buried layer were used as controls. Under realistic polymer/food simulant contact conditions during irradiation, a large number of primary and secondary radiolysis products (hydrocarbons, aldehydes, ketones, alcohols, carboxylic acids) were produced. These compounds were detected in the food simulant after contact with all films tested, even at the lower absorbed doses of 5 and 10 kGy (approved doses for food preservation). The type and concentration of radiolysis products increased progressively with increasing dose. Generally, there were no significant differences in radiolysis products between samples containing a buried layer of recycled LDPE and those containing virgin LDPE (all absorbed doses), indicating the good barrier properties of external virgin polymer layers. Volatile and non-volatile compounds produced during irradiation affected the sensory properties of potable water after contact with packaging films. Taste transfer to water was observed mainly at higher doses and was more noticeable for multilayer structures containing recycled LDPE, even though differences were slight.

  6. HS-SPME-GC-MS ANALYSIS OF VOLATILE AND SEMI-VOLATILE COMPOUNDS FROM DRIED LEAVES OF Mikania glomerata Sprengel

    Directory of Open Access Journals (Sweden)

    Esmeraldo A. Cappelaro

    2015-03-01

    Full Text Available This paper reports on the identification of volatile and semi-volatile compounds and a comparison of the chromatographic profiles obtained by Headspace Solid-Phase Microextraction/Gas Chromatography with Mass Spectrometry detection (HS-SPME-GC-MS of dried leaves of Mikania glomerata Sprengel (Asteraceae, also known as 'guaco.' Three different types of commercial SPME fibers were tested: polydimethylsiloxane (PDMS, polydimethylsiloxane/divinylbenzene (PDMS/DVB and polyacrylate (PA. Fifty-nine compounds were fully identified by HS-SPME-HRGC-MS, including coumarin, a marker for the quality control of guaco-based phytomedicines; most of the other identified compounds were mono- and sesquiterpenes. PA fibers performed better in the analysis of coumarin, while PDMS-DVB proved to be the best choice for a general and non-selective analysis of volatile and semi-volatile guaco-based compounds. The SPME method is faster and requires a smaller sample than conventional hydrodistillation of essential oils, providing a general overview of the volatile and semi-volatile compounds of M. glomerata.

  7. Uncertainty of Volatility Estimates from Heston Greeks

    Directory of Open Access Journals (Sweden)

    Oliver Pfante

    2018-01-01

    Full Text Available Volatility is a widely recognized measure of market risk. As volatility is not observed it has to be estimated from market prices, i.e., as the implied volatility from option prices. The volatility index VIX making volatility a tradeable asset in its own right is computed from near- and next-term put and call options on the S&P 500 with more than 23 days and less than 37 days to expiration and non-vanishing bid. In the present paper we quantify the information content of the constituents of the VIX about the volatility of the S&P 500 in terms of the Fisher information matrix. Assuming that observed option prices are centered on the theoretical price provided by Heston's model perturbed by additive Gaussian noise we relate their Fisher information matrix to the Greeks in the Heston model. We find that the prices of options contained in the VIX basket allow for reliable estimates of the volatility of the S&P 500 with negligible uncertainty as long as volatility is large enough. Interestingly, if volatility drops below a critical value of roughly 3%, inferences from option prices become imprecise because Vega, the derivative of a European option w.r.t. volatility, and thereby the Fisher information nearly vanishes.

  8. VOCs in Non-Arid Soils Integrated Demonstration: Technology summary

    International Nuclear Information System (INIS)

    1994-02-01

    The Volatile Organic Compounds (VOCs) in Non-Arid Soils Integrated Demonstration (ID) was initiated in 1989. Objectives for the ID were to test the integrated demonstration concept, demonstrate and evaluate innovative technologies/systems for the remediation of VOC contamination in soils and groundwater, and to transfer technologies and systems to internal and external customers for use in fullscale remediation programs. The demonstration brought together technologies from DOE laboratories, other government agencies, and industry for demonstration at a single test bed. The Savannah River Site was chosen as the location for this ID as the result of having soil and groundwater contaminated with VOCS. The primary contaminants, trichlorethylene and tetrachloroethylene, originated from an underground process sewer line servicing a metal fabrication facility at the M-Area. Some of the major technical accomplishments for the ID include the successful demonstration of the following: In situ air stripping coupled with horizontal wells to remediate sites through air injection and vacuum extraction; Crosshole geophysical tomography for mapping moisture content and lithologic properties of the contaminated media; In situ radio frequency and ohmic heating to increase mobility, of the contaminants, thereby speeding recovery and the remedial process; High-energy corona destruction of VOCs in the off-gas of vapor recovery wells; Application of a Brayton cycle heat pump to regenerate carbon adsorption media used to trap VOCs from the offgas of recovery wells; In situ permeable flow sensors and the colloidal borescope to determine groundwater flow; Chemical sensors to rapidly quantify chlorinated solvent contamination in the subsurface; In situ bioremediation through methane/nutrient injection to enhance degradation of contaminants by methanotrophic bateria

  9. Non-Markovianity and memory of the initial state

    Science.gov (United States)

    Hinarejos, Margarida; Bañuls, Mari-Carmen; Pérez, Armando; de Vega, Inés

    2017-08-01

    We explore in a rigorous manner the intuitive connection between the non-Markovianity of the evolution of an open quantum system and the performance of the system as a quantum memory. Using the paradigmatic case of a two-level open quantum system coupled to a bosonic bath, we compute the recovery fidelity, which measures the best possible performance of the system to store a qubit of information. We deduce that this quantity is connected, but not uniquely determined, by the non-Markovianity, for which we adopt the Breuer-Laine-Piilo measure proposed in Breuer et al (2009 Phys. Rev. Lett. 103 210401). We illustrate our findings with explicit calculations for the case of a structured environment.

  10. Non-stationary and relaxation phenomena in cavity-assisted quantum memories

    Science.gov (United States)

    Veselkova, N. G.; Sokolov, I. V.

    2017-12-01

    We investigate the non-stationary and relaxation phenomena in cavity-assisted quantum memories for light. As a storage medium we consider an ensemble of cold atoms with standard Lambda-scheme of working levels. Some theoretical aspects of the problem were treated previously by many authors, and recent experiments stimulate more deep insight into the ultimate ability and limitations of the device. Since quantum memories can be used not only for the storage of quantum information, but also for a substantial manipulation of ensembles of quantum states, the speed of such manipulation and hence the ability to write and retrieve the signals of relatively short duration becomes important. In our research we do not apply the so-called bad cavity limit, and consider the memory operation of the signals whose duration is not much larger than the cavity field lifetime, accounting also for the finite lifetime of atomic coherence. In our paper we present an effective approach that makes it possible to find the non-stationary amplitude and phase behavior of strong classical control field, that matches the desirable time profile of both the envelope and the phase of the retrieved quantized signal. The phase properties of the retrieved quantized signals are of importance for the detection and manipulation of squeezing, entanglement, etc by means of optical mixing and homodyning.

  11. A multi-level capacitor-less memory cell fabricated on a nano-scale strained silicon-on-insulator

    International Nuclear Information System (INIS)

    Park, Jea-Gun; Kim, Seong-Je; Shin, Mi-Hee; Song, Seung-Hyun; Shim, Tae-Hun; Chung, Sung-Woong; Enomoto, Hirofumi

    2011-01-01

    A multi-level capacitor-less memory cell was fabricated with a fully depleted n-metal-oxide-semiconductor field-effect transistor on a nano-scale strained silicon channel on insulator (FD sSOI n-MOSFET). The 0.73% biaxial tensile strain in the silicon channel of the FD sSOI n-MOSFET enhanced the effective electron mobility to ∼ 1.7 times that with an unstrained silicon channel. This thereby enables both front- and back-gate cell operations, demonstrating eight-level volatile memory-cell operation with a 1 ms retention time and 12 μA memory margin. This is a step toward achieving a terabit volatile memory cell.

  12. Genetic dissection of memory for associative and non-associative learning in Caenorhabditis elegans.

    Science.gov (United States)

    Lau, H L; Timbers, T A; Mahmoud, R; Rankin, C H

    2013-03-01

    The distinction between non-associative and associative forms of learning has historically been based on the behavioral training paradigm. Through discovering the molecular mechanisms that mediate learning, we can develop a deeper understanding of the relationships between different forms of learning. Here, we genetically dissect short- and long-term memory for a non-associative form of learning, habituation and an associative form of learning, context conditioning for habituation, in the nematode Caenorhabditis elegans. In short-term chemosensory context conditioning for habituation, worms trained and tested in the presence of either a taste (sodium acetate) or smell (diacetyl) context cue show greater retention of habituation to tap stimuli when compared with animals trained and tested without a salient cue. Long-term memory for olfactory context conditioning was observed 24 h after a training procedure that does not normally induce 24 h memory. Like long-term habituation, this long-term memory was dependent on the transcription factor cyclic AMP-response element-binding protein. Worms with mutations in glr-1 [a non-N-methyl-d-aspartate (NMDA)-type glutamate receptor subunit] showed short-term but not long-term habituation or short- or long-term context conditioning. Worms with mutations in nmr-1 (an NMDA-receptor subunit) showed normal short- and long-term memory for habituation but did not show either short- or long-term context conditioning. Rescue of nmr-1 in the RIM interneurons rescued short- and long-term olfactory context conditioning leading to the hypothesis that these interneurons function to integrate information from chemosensory and mechanosensory systems for associative learning. © 2012 The Authors. Genes, Brain and Behavior © 2012 Blackwell Publishing Ltd and International Behavioural and Neural Genetics Society.

  13. Dynamic intersectoral models with power-law memory

    Science.gov (United States)

    Tarasova, Valentina V.; Tarasov, Vasily E.

    2018-01-01

    Intersectoral dynamic models with power-law memory are proposed. The equations of open and closed intersectoral models, in which the memory effects are described by the Caputo derivatives of non-integer orders, are derived. We suggest solutions of these equations, which have the form of linear combinations of the Mittag-Leffler functions and which are characterized by different effective growth rates. Examples of intersectoral dynamics with power-law memory are suggested for two sectoral cases. We formulate two principles of intersectoral dynamics with memory: the principle of changing of technological growth rates and the principle of domination change. It has been shown that in the input-output economic dynamics the effects of fading memory can change the economic growth rate and dominant behavior of economic sectors.

  14. Nanoscale memory devices

    International Nuclear Information System (INIS)

    Chung, Andy; Deen, Jamal; Lee, Jeong-Soo; Meyyappan, M

    2010-01-01

    This article reviews the current status and future prospects for the use of nanomaterials and devices in memory technology. First, the status and continuing scaling trends of the flash memory are discussed. Then, a detailed discussion on technologies trying to replace flash in the near-term is provided. This includes phase change random access memory, Fe random access memory and magnetic random access memory. The long-term nanotechnology prospects for memory devices include carbon-nanotube-based memory, molecular electronics and memristors based on resistive materials such as TiO 2 . (topical review)

  15. The Relationship Between Digital Technology Experience, Daily Media Exposure and Working Memory Capacity

    Directory of Open Access Journals (Sweden)

    Muhterem DİNDAR

    2016-06-01

    Full Text Available Today’s youngsters interact with digital technologies to a great extent which leads scholars to question the influence of this exposure on human cognitive structure. Through resorting to digital nativity assumptions, it is presumed that cognitive architecture of the youth may change in accordance with digital technology use. In this regard, the current study investigated the relationship between digital technology experience, daily media exposure and working memory capacity of so-called digital native participants. A total of 572 undergraduate students responded to self-report measures, which addressed years of experience for 7 different digital devices and the daily time spent for 14 different digital activities. Participants’ working memory capacity was measured through the Computation Span and the Dot Matrix Test. While the former was used to measure the phonological loop capacity, the latter was used to address the visuo-spatial sketchpad capacity. Correlational analyses revealed that neither the phonological loop capacity nor the visuo-spatial sketchpad capacity was related to digital technology experience and daily media exposure. Thus, the transformative contribution of digital technology experience to human cognitive architecture could not be observed through the current measures

  16. Caffeine Enhances Memory Performance in Young Adults during Their Non-optimal Time of Day.

    Science.gov (United States)

    Sherman, Stephanie M; Buckley, Timothy P; Baena, Elsa; Ryan, Lee

    2016-01-01

    Many college students struggle to perform well on exams in the early morning. Although students drink caffeinated beverages to feel more awake, it is unclear whether these actually improve performance. After consuming coffee (caffeinated or decaffeinated), college-age adults completed implicit and explicit memory tasks in the early morning and late afternoon (Experiment 1). During the morning, participants ingesting caffeine demonstrated a striking improvement in explicit memory, but not implicit memory. Caffeine did not alter memory performance in the afternoon. In Experiment 2, participants engaged in cardiovascular exercise in order to examine whether increases in physiological arousal similarly improved memory. Despite clear increases in physiological arousal, exercise did not improve memory performance compared to a stretching control condition. These results suggest that caffeine has a specific benefit for memory during students' non-optimal time of day - early morning. These findings have real-world implications for students taking morning exams.

  17. Evaluation of non-enteric sources of non-methane volatile organic compound (NMVOC) emissions from dairies

    Science.gov (United States)

    Chung, Myeong Y.; Beene, Matt; Ashkan, Shawn; Krauter, Charles; Hasson, Alam S.

    2010-02-01

    Dairies are believed to be a major source of volatile organic compounds (VOC) in Central California, but few studies have characterized VOC emissions from these facilities. In this work, samples were collected from six sources of VOCs (Silage, Total Mixed Rations, Lagoons, Flushing Lanes, Open Lots and Bedding) at six dairies in Central California during 2006-2007 using emission isolation flux chambers and polished stainless steel canisters. Samples were analyzed by gas chromatography/mass spectrometry and gas chromatography/flame ionization detection. Forty-eight VOCs were identified and quantified in the samples, including alcohols, carbonyls, alkanes and aromatics. Silage and Total Mixed Rations are the dominant sources of VOCs tested, with ethanol as the major VOC present. Emissions from the remaining sources are two to three orders of magnitude smaller, with carbonyls and aromatics as the main components. The data suggest that animal feed rather than animal waste are the main source of non-enteric VOC emissions from dairies.

  18. Strengthening of Existing Episodic Memories Through Non-invasive Stimulation of Prefrontal Cortex in Older Adults with Subjective Memory Complaints

    Directory of Open Access Journals (Sweden)

    Rosa Manenti

    2017-12-01

    Full Text Available Episodic memory is critical to daily life functioning. This type of declarative memory declines with age and is the earliest cognitive function to be compromised in Alzheimer’s disease (AD. Subjective memory complaints are commonly reported by older adults and have been considered a risk factor for developing AD. The possibilities for prevention of memory disorders in older adults have increased substantially in recent years. Previous studies have shown that anodal transcranial Direct Current Stimulation (tDCS applied over the left lateral prefrontal cortex (PFC after a contextual reminder strengthened existing verbal episodic memories, conceivably through reconsolidation, in elderly people. In this study, we hypothesized that anodal tDCS applied over the left lateral PFC after a contextual reminder would improve delayed memory retrieval relative to placebo (sham stimulation in elderly individuals with SMC. Twenty-two subjects learned a list of words. Twenty-four hour later, tDCS (anodal or placebo was applied over the left lateral PFC after a contextual reminder. Memory retrieval was tested 48h and 30 days later. These findings showed that anodal tDCS over the left lateral PFC strengthened existing episodic memories, a behavioral effect documented by improved recognition up to 30 days, relative to placebo stimulation. This study suggests that tDCS after a contextual reminder can induce long-lasting beneficial effects by facilitating the consolidation processes and opens up the possibility to design specific non-invasive interventions aimed at preventing memory decline in this at-risk population.

  19. Superdiffusion in a non-Markovian random walk model with a Gaussian memory profile

    Science.gov (United States)

    Borges, G. M.; Ferreira, A. S.; da Silva, M. A. A.; Cressoni, J. C.; Viswanathan, G. M.; Mariz, A. M.

    2012-09-01

    Most superdiffusive Non-Markovian random walk models assume that correlations are maintained at all time scales, e.g., fractional Brownian motion, Lévy walks, the Elephant walk and Alzheimer walk models. In the latter two models the random walker can always "remember" the initial times near t = 0. Assuming jump size distributions with finite variance, the question naturally arises: is superdiffusion possible if the walker is unable to recall the initial times? We give a conclusive answer to this general question, by studying a non-Markovian model in which the walker's memory of the past is weighted by a Gaussian centered at time t/2, at which time the walker had one half the present age, and with a standard deviation σt which grows linearly as the walker ages. For large widths we find that the model behaves similarly to the Elephant model, but for small widths this Gaussian memory profile model behaves like the Alzheimer walk model. We also report that the phenomenon of amnestically induced persistence, known to occur in the Alzheimer walk model, arises in the Gaussian memory profile model. We conclude that memory of the initial times is not a necessary condition for generating (log-periodic) superdiffusion. We show that the phenomenon of amnestically induced persistence extends to the case of a Gaussian memory profile.

  20. Hybrid superconducting-magnetic memory device using competing order parameters.

    Science.gov (United States)

    Baek, Burm; Rippard, William H; Benz, Samuel P; Russek, Stephen E; Dresselhaus, Paul D

    2014-05-28

    In a hybrid superconducting-magnetic device, two order parameters compete, with one type of order suppressing the other. Recent interest in ultra-low-power, high-density cryogenic memories has spurred new efforts to simultaneously exploit superconducting and magnetic properties so as to create novel switching elements having these two competing orders. Here we describe a reconfigurable two-layer magnetic spin valve integrated within a Josephson junction. Our measurements separate the suppression in the superconducting coupling due to the exchange field in the magnetic layers, which causes depairing of the supercurrent, from the suppression due to the stray magnetic field. The exchange field suppression of the superconducting order parameter is a tunable and switchable behaviour that is also scalable to nanometer device dimensions. These devices demonstrate non-volatile, size-independent switching of Josephson coupling, in magnitude as well as phase, and they may enable practical nanoscale superconducting memory devices.

  1. Dual-functional Memory and Threshold Resistive Switching Based on the Push-Pull Mechanism of Oxygen Ions

    KAUST Repository

    Huang, Yi-Jen; Chao, Shih-Chun; Lien, Der-Hsien; Wen, Cheng-Yen; He, Jr-Hau; Lee, Si-Chen

    2016-01-01

    The combination of nonvolatile memory switching and volatile threshold switching functions of transition metal oxides in crossbar memory arrays is of great potential for replacing charge-based flash memory in very-large-scale integration. Here, we

  2. Sporadic error probability due to alpha particles in dynamic memories of various technologies

    International Nuclear Information System (INIS)

    Edwards, D.G.

    1980-01-01

    The sensitivity of MOS memory components to errors induced by alpha particles is expected to increase with integration level. The soft error rate of a 65-kbit VMOS memory has been compared experimentally with that of three field-proven 16-kbit designs. The technological and design advantages of the VMOS RAM ensure an error rate which is lower than those of the 16-kbit memories. Calculation of the error probability for the 65-kbit RAM and comparison with the measurements show that for large duty cycles single particle hits lead to sensing errors and for small duty cycles cell errors caused by multiple hits predominate. (Auth.)

  3. Quantitative estimates of the volatility of ambient organic aerosol

    Science.gov (United States)

    Cappa, C. D.; Jimenez, J. L.

    2010-06-01

    Measurements of the sensitivity of organic aerosol (OA, and its components) mass to changes in temperature were recently reported by Huffman et al.~(2009) using a tandem thermodenuder-aerosol mass spectrometer (TD-AMS) system in Mexico City and the Los Angeles area. Here, we use these measurements to derive quantitative estimates of aerosol volatility within the framework of absorptive partitioning theory using a kinetic model of aerosol evaporation in the TD. OA volatility distributions (or "basis-sets") are determined using several assumptions as to the enthalpy of vaporization (ΔHvap). We present two definitions of "non-volatile OA," one being a global and one a local definition. Based on these definitions, our analysis indicates that a substantial fraction of the organic aerosol is comprised of non-volatile components that will not evaporate under any atmospheric conditions; on the order of 50-80% when the most realistic ΔHvap assumptions are considered. The sensitivity of the total OA mass to dilution and ambient changes in temperature has been assessed for the various ΔHvap assumptions. The temperature sensitivity is relatively independent of the particular ΔHvap assumptions whereas dilution sensitivity is found to be greatest for the low (ΔHvap = 50 kJ/mol) and lowest for the high (ΔHvap = 150 kJ/mol) assumptions. This difference arises from the high ΔHvap assumptions yielding volatility distributions with a greater fraction of non-volatile material than the low ΔHvap assumptions. If the observations are fit using a 1 or 2-component model the sensitivity of the OA to dilution is unrealistically high. An empirical method introduced by Faulhaber et al. (2009) has also been used to independently estimate a volatility distribution for the ambient OA and is found to give results consistent with the high and variable ΔHvap assumptions. Our results also show that the amount of semivolatile gas-phase organics in equilibrium with the OA could range from ~20

  4. Albuquerque Operations Office, Albuquerque, New Mexico: Technology summary

    International Nuclear Information System (INIS)

    1994-08-01

    This document has been prepared by the Department of Energy's (DOE) Environmental Management (EM) Office of Technology Development (OTD) in order to highlight research, development, demonstration, testing, and evaluation (RDDT ampersand E) activities funded through the Albuquerque Operations Office. Technologies and processes described have the potential to enhance DOE's cleanup and waste management efforts, as well as improve US industry's competitiveness in global environmental markets. The information has been assembled from recently produced OTD documents that highlight technology development activities within each of the OTD program elements. These integrated program summaries include: Volatile Organic Compounds in Non-Arid Soils, Volatile Organic Compounds in Arid Soils, Mixed Waste Landfill Integrated Demonstration, Uranium in Soils Integrated Demonstration, Characterization, Monitoring, and Sensor Technology, In Situ Remediation, Buried Waste Integrated Demonstration, Underground Storage Tank, Efficient Separations and Processing, Mixed Waste Integrated Program, Rocky Flats Compliance Program, Pollution Prevention Program, Innovation Investment Area, and Robotics Technology

  5. Forecasting Volatility of Dhaka Stock Exchange: Linear Vs Non-linear models

    Directory of Open Access Journals (Sweden)

    Masudul Islam

    2012-10-01

    Full Text Available Prior information about a financial market is very essential for investor to invest money on parches share from the stock market which can strengthen the economy. The study examines the relative ability of various models to forecast daily stock indexes future volatility. The forecasting models that employed from simple to relatively complex ARCH-class models. It is found that among linear models of stock indexes volatility, the moving average model ranks first using root mean square error, mean absolute percent error, Theil-U and Linex loss function  criteria. We also examine five nonlinear models. These models are ARCH, GARCH, EGARCH, TGARCH and restricted GARCH models. We find that nonlinear models failed to dominate linear models utilizing different error measurement criteria and moving average model appears to be the best. Then we forecast the next two months future stock index price volatility by the best (moving average model.

  6. Effect of AlN layer on the bipolar resistive switching behavior in TiN thin film based ReRAM device for non-volatile memory application

    Science.gov (United States)

    Prakash, Ravi; Kaur, Davinder

    2018-05-01

    The effect of an additional AlN layer in the Cu/TiN/AlN/Pt stack configuration deposited using sputtering has been investigated. The Cu/TiN/AlN/Pt device shows a tristate resistive switching. Multilevel switching is facilitated by ionic and metallic filament formation, and the nature of the filaments formed is confirmed by performing a resistance vs. temperature measurement. Ohmic behaviour and trap controlled space charge limited current (SCLC) conduction mechanisms are confirmed as dominant conduction mechanism at low resistance state (LRS) and high resistance state (HRS). High resistance ratio (102) corresponding to HRS and LRS, good write/erase endurance (105) and non-volatile long retention (105s) are also observed. Higher thermal conductivity of the AlN layer is the main reasons for the enhancement of resistive switching performance in Cu/TiN/AlN/Pt cell. The above result suggests the feasibility of Cu/TiN/AlN/Pt devices for multilevel nonvolatile ReRAM application.

  7. Fabrication of Nano-Crossbar Resistive Switching Memory Based on the Copper-Tantalum Pentoxide-Platinum Device Structure

    Science.gov (United States)

    Olga Gneri, Paula; Jardim, Marcos

    Resistive switching memory has been of interest lately not only for its simple metal-insulator-metal (MIM) structure but also for its promising ease of scalability an integration into current CMOS technologies like the Field Programmable Gate Arrays and other non-volatile memory applications. There are several resistive switching MIM combinations but under this scope of research, attention will be paid to the bipolar resistive switching characteristics and fabrication of Tantalum Pentaoxide sandwiched between platinum and copper. By changing the polarity of the voltage bias, this metal-insulator-metal (MIM) device can be switched between a high resistive state (OFF) and low resistive state (ON). The change in states is induced by an electrochemical metallization process, which causes a formation or dissolution of Cu metal filamentary paths in the Tantalum Pentaoxide insulator. There is very little thorough experimental information about the Cu-Ta 2O5-Pt switching characteristics when scaled to nanometer dimensions. In this light, the MIM structure was fabricated in a two-dimensional crossbar format. Also, with the limited available resources, a multi-spacer technique was formulated to localize the active device area in this MIM configuration to less than 20nm. This step is important in understanding the switching characteristics and reliability of this structure when scaled to nanometer dimensions.

  8. Volatility return intervals analysis of the Japanese market

    Science.gov (United States)

    Jung, W.-S.; Wang, F. Z.; Havlin, S.; Kaizoji, T.; Moon, H.-T.; Stanley, H. E.

    2008-03-01

    We investigate scaling and memory effects in return intervals between price volatilities above a certain threshold q for the Japanese stock market using daily and intraday data sets. We find that the distribution of return intervals can be approximated by a scaling function that depends only on the ratio between the return interval τ and its mean . We also find memory effects such that a large (or small) return interval follows a large (or small) interval by investigating the conditional distribution and mean return interval. The results are similar to previous studies of other markets and indicate that similar statistical features appear in different financial markets. We also compare our results between the period before and after the big crash at the end of 1989. We find that scaling and memory effects of the return intervals show similar features although the statistical properties of the returns are different.

  9. Reconfigurable Electronics and Non-Volatile Memory Research

    Science.gov (United States)

    2011-10-14

    October 2009. The films were etched off wafer pieces using a blend of sulfuric, nitric and hydrofluoric acids and diluted for analysis. Table 5...interactions. A weak peak is also seen around g = 1.98 which intensifies under light illumination. This peak can be assigned to the charge defects of base...evidence of amorphous/crystalline GST. It is not clear why significantly oxidized devices were capable of switching. Dr. Miotti theorized that

  10. Reconfigurable Electronics and Non-Volatile Memory Research

    Science.gov (United States)

    2015-11-10

    spectrophotometer tool uses film reflectance to calculate film thickness via a set of proprietary algorithms and a developed recipe for material type. The...tool can also be used to collect transmission spectra. Recipes must be developed for each film type (and stack) that is measured. Once the recipe has...Regner, J.K.; Balasubramanian, M; Cook , B.; Li, Y.; Kassayebetre, H. Sharma, A.; Baker, R.J.; Campbell, K.A., “Integration of IC Industry Feature

  11. Caffeine enhances memory performance in young adults during their non-optimal time of day

    Directory of Open Access Journals (Sweden)

    Stephanie M Sherman

    2016-11-01

    Full Text Available Many college students struggle to perform well on exams in the early morning. Although students drink caffeinated beverages to feel more awake, it is unclear whether these actually improve performance. After consuming coffee (caffeinated or decaffeinated, college-age adults completed implicit and explicit memory tasks in the early morning and late afternoon (Experiment 1. During the morning, participants ingesting caffeine demonstrated a striking improvement in explicit memory, but not implicit memory. Caffeine did not alter memory performance in the afternoon. In Experiment 2, participants engaged in cardiovascular exercise in order to examine whether increases in physiological arousal similarly improved memory. Despite clear increases in arousal, exercise did not improve memory performance compared to a stretching control condition. These results suggest that caffeine has a specific benefit for memory during students’ non-optimal time of day – early morning. These findings have real-world implications for students taking morning exams.

  12. The memory effect of a pentacene field-effect transistor with a polarizable gate dielectric

    Science.gov (United States)

    Unni, K. N. N.; de Bettignies, Remi; Dabos-Seignon, Sylvie; Nunzi, Jean-Michel

    2004-06-01

    The nonvolatile transistor memory element is an interesting topic in organic electronics. In this case a memory cell consists of only one device where the stored information is written as a gate insulator polarization by a gate voltage pulse and read by the channel conductance control with channel voltage pulse without destruction of the stored information. Therefore such transistor could be the base of non-volatile non-destructively readable computer memory of extremely high density. Also devices with polarizable gate dielectrics can function more effectively in certain circuits. The effective threshold voltage Vt can be brought very close to zero, for applications where the available gate voltage is limited. Resonant and adaptive circuits can be tuned insitu by polarizing the gates. Poly(vinylidene fluoride), PVDF and its copolymer with trifluoroethylene P(VDF-TrFE) are among the best known and most widely used ferroelectric polymers. In this manuscript, we report new results of an organic FET, fabricated with pentacene as the active material and P(VDF-TrFE) as the gate insulator. Application of a writing voltage of -50 V for short duration results in significant change in the threshold voltage and remarkable increase in the drain current. The memory effect is retained over a period of 20 hours.

  13. The effects of non-contingent extrinsic and intrinsic rewards on memory consolidation.

    Science.gov (United States)

    Nielson, Kristy A; Bryant, Ted

    2005-07-01

    Emotional and arousing treatments given shortly after learning enhance delayed memory retrieval in animal and human studies. Positive affect and reward induced prior to a variety of cognitive tasks enhance performance, but their ability to affect memory consolidation has not been investigated before. Therefore, we investigated the effects of a small, non-contingent, intrinsic or extrinsic reward on delayed memory retrieval. Participants (n=108) studied and recalled a list of 30 affectively neutral, imageable nouns. Experimental groups were then given either an intrinsic reward (e.g., praise) or an extrinsic reward (e.g., US 1 dollar). After a one-week delay, participants' retrieval performance for the word list was significantly better in the extrinsic reward groups, whether the reward was expected or not, than in controls. Those who received the intrinsic reward performed somewhat better than controls, but the difference was not significant. Thus, at least some forms of arousal and reward, even when semantically unrelated to the learned material, can effectively modulate memory consolidation. These types of treatments might be useful for the development of new memory intervention strategies.

  14. Volatile and non-volatile chemical constituents of Cochlospermum vitifolium (Willdenow) Sprengel

    International Nuclear Information System (INIS)

    Almeida, Sheyla Cristiane Xenofonte de; Lemos, Telma Leda Gomes de; Silveira, Edilberto Rocha; Pessoa, Otilia Deusdenia Loiola

    2005-01-01

    The essential oils from leaves, root bark and root wood of Cochlospermum vitifolium were investigated for the first time. The oils were obtained by hydrodistillation and analyzed by GC/MS. The main volatile constituents were β-caryophyllene (8.2 - 46.5%), β-bisabolene (11.5 - 29.3%), γ-muurolene (28.4%), α-humulene (26.0%), 1-hydroxy-3-hexadecanone (16.2 - 19.5%) and β-pinene (10.6%). Phytochemical analysis of the root bark and root wood extracts yielded excelsin, pinoresinol, narigenin, aromadendrin, galic acid and a triacylbenzene, along with β-sitosterol and stigmasterol and their D-glucosides. The structures of all compounds were determined by analyses of the spectroscopic data (NMR and MS), and comparison with the literature. (author)

  15. Blurring of emotional and non-emotional memories by taxing working memory during recall.

    Science.gov (United States)

    van den Hout, Marcel A; Eidhof, Marloes B; Verboom, Jesse; Littel, Marianne; Engelhard, Iris M

    2014-01-01

    Memories that are recalled while working memory (WM) is taxed, e.g., by making eye movements (EM), become blurred during the recall + EM and later recall, without EM. This may help to explain the effects of Eye Movement and Desensitisation and Reprocessing (EMDR) in the treatment of post-traumatic stress disorder (PTSD) in which patients make EM during trauma recall. Earlier experimental studies on recall + EM have focused on emotional memories. WM theory suggests that recall + EM is superior to recall only but is silent about effects of memory emotionality. Based on the emotion and memory literature, we examined whether recall + EM has superior effects in blurring emotional memories relative to neutral memories. Healthy volunteers recalled negative or neutral memories, matched for vividness, while visually tracking a dot that moved horizontally ("recall + EM") or remained stationary ("recall only"). Compared to a pre-test, a post-test (without concentrating on the dot) replicated earlier findings: negative memories are rated as less vivid after "recall + EM" but not after "recall only". This was not found for neutral memories. Emotional memories are more taxing than neutral memories, which may explain the findings. Alternatively, transient arousal induced by recall of aversive memories may promote reconsolidation of the blurred memory image that is provoked by EM.

  16. Volatile compounds and odor preferences of ground beef added with garlic and red wine, and irradiated with charcoal pack

    Science.gov (United States)

    Lee, Kyung Haeng; Yun, Hyejeong; Lee, Ju Woon; Ahn, Dong Uk; Lee, Eun Joo; Jo, Cheorun

    2012-08-01

    Irradiation is the most efficient non-thermal technology for improving hygienic quality and extending the shelf-life of food products. One of the adverse effects of food irradiation, however, is off-flavor production, which significantly affects the sensory preferences for certain foods. In this study, garlic (5%, w/w) and red wine (1:1, w/w) were added to ground beef to increase the radiation sensitivity of pathogens and improve meat odor/flavor. Samples were irradiated at 0 or 5 kGy in the presence of charcoal pack. SPME-GC-MS analysis was performed to measure the changes in the volatile compounds and sensory characteristics of the samples. The amount of total volatile compounds produced from ground beef was greater when the sample was irradiated. When garlic and red wine were added to the ground beef, the amount of volatile compounds significantly increased, and the amount of volatile compounds increased even further after irradiation. However, when the samples were irradiated with charcoal pack, the amount of volatile compounds decreased significantly. Sensory evaluation indicated that charcoal pack significantly increased the odor preferences for both irradiated and non-irradiated ground beef added with garlic. These results indicated that addition of charcoal pack to ground beef could reduce off-odor problems induced by irradiation, and this effect was consistent even when certain additives such as garlic and red wine were added.

  17. Data Movement Dominates: Advanced Memory Technology to Address the Real Exascale Power Problem

    Energy Technology Data Exchange (ETDEWEB)

    Bergman, Keren

    2014-08-28

    Energy is the fundamental barrier to Exascale supercomputing and is dominated by the cost of moving data from one point to another, not computation. Similarly, performance is dominated by data movement, not computation. The solution to this problem requires three critical technologies: 3D integration, optical chip-to-chip communication, and a new communication model. The central goal of the Sandia led "Data Movement Dominates" project aimed to develop memory systems and new architectures based on these technologies that have the potential to lower the cost of local memory accesses by orders of magnitude and provide substantially more bandwidth. Only through these transformational advances can future systems reach the goals of Exascale computing with a manageable power budgets. The Sandia led team included co-PIs from Columbia University, Lawrence Berkeley Lab, and the University of Maryland. The Columbia effort of Data Movement Dominates focused on developing a physically accurate simulation environment and experimental verification for optically-connected memory (OCM) systems that can enable continued performance scaling through high-bandwidth capacity, energy-efficient bit-rate transparency, and time-of-flight latency. With OCM, memory device parallelism and total capacity can scale to match future high-performance computing requirements without sacrificing data-movement efficiency. When we consider systems with integrated photonics, links to memory can be seamlessly integrated with the interconnection network-in a sense, memory becomes a primary aspect of the interconnection network. At the core of the Columbia effort, toward expanding our understanding of OCM enabled computing we have created an integrated modeling and simulation environment that uniquely integrates the physical behavior of the optical layer. The PhoenxSim suite of design and software tools developed under this effort has enabled the co-design of and performance evaluation photonics-enabled OCM

  18. [Effects of Total Ginsenosides and Volatile Oil of Acorus tatarinowii Co-Administration on Ability of Learning and Memory and Apoptosis in Alzheimer's Disease Mice Model Induced By D-Galactose and Aluminium Chloride].

    Science.gov (United States)

    Deng, Min-zhen; Huang, Li-ping; Fang, Yong-qi

    2015-05-01

    To observe the effects of the co-administration of total ginsenosides and volatile oil of Acorus tatarinowii on the ability of learning and memory and apoptosis in Alzheimer's disease (AD) mice model induced by D-galactose and aluminium chloride. 50 Kunming (KM) mice were randomly divided into normal group, model group, Aricept group (1 mg/kg), Ding Zhi Wan group (10 g/kg) and co-administration of total ginsenosides and volatile oil of Acorus tatarinowii group (co-administered group, the doses of volatile oil of Acorus tatarinowii and total ginsenosides were 30 mg/kg and 150 mg/kg, respectively). In addition to normal group, mice in other groups were given D-galactose 150 mg/ (kg x d), ip, and aluminium chloride 5 mg/kg, ig, once daily for 40 days. At the same time, mice in the treated groups were administrated with the corresponding drug from the 20th day after the modeling, once daily for 40 days. Water maze and avoiding darkness experiments were used to test learning and memory abilities; Aβ1-42 and BCL-2 content in cortex and hippocampus were detected by ELISA; the vitalities of acetyl cholinesterase ( AChE) and acetylcholine transferase (ChAT) were detected by ultraviolet spectrophotometry. Superoxide dismutase (SOD) vitalities were detected by a water-soluble tetrazolium salt (WST-1) method; the content of malondialdehyde ( MDA) in cortex and hippocampus were detected by the thiobarbituric acid (TBA) method; senile plaque on Aβ1-42 precipitation were observed by immunohistochemistry; brain tissues were observed by hematoxylin-eosin staining (HE). As compared with model group, in the co-administered group, the time of AD mice swimming, the numbers of blind area and electric shock reduced significantly (P < 0.05), and the latent period was prolonged (P < 0.05); AChE activity and levels of Aβ1-42 and MDA in cortex and hippocampus were decreased significantly (P < 0.05 or P < 0.01); ChAT and SOD activities as well as BCL-2 content were increased significantly

  19. Recognition memory for social and non-social odors: differential effects of neurotoxic lesions to the hippocampus and perirhinal cortex.

    Science.gov (United States)

    Feinberg, Leila M; Allen, Timothy A; Ly, Denise; Fortin, Norbert J

    2012-01-01

    The contributions of the hippocampus (HC) and perirhinal cortex (PER) to recognition memory are currently topics of debate in neuroscience. Here we used a rapidly-learned (seconds) spontaneous novel odor recognition paradigm to assess the effects of pre-training N-methyl-D-aspartate lesions to the HC or PER on odor recognition memory. We tested memory for both social and non-social odor stimuli. Social odors were acquired from conspecifics, while non-social odors were household spices. Conspecific odor stimuli are ethologically-relevant and have a high degree of overlapping features compared to non-social household spices. Various retention intervals (5 min, 20 min, 1h, 24h, or 48 h) were used between study and test phases, each with a unique odor pair, to assess changes in novelty preference over time. Consistent with findings in other paradigms, modalities, and species, we found that HC lesions yielded no significant recognition memory deficits. In contrast, PER lesions caused significant deficits for social odor recognition memory at long retention intervals, demonstrating a critical role for PER in long-term memory for social odors. PER lesions had no effect on memory for non-social odors. The results are consistent with a general role for PER in long-term recognition memory for stimuli that have a high degree of overlapping features, which must be distinguished by conjunctive representations. Copyright © 2011 Elsevier Inc. All rights reserved.

  20. Characteristics of the volatile organic compounds -- Arid Integrated Demonstration Site

    International Nuclear Information System (INIS)

    Last, G.V.; Lenhard, R.J.; Bjornstad, B.N.; Evans, J.C.; Roberson, K.R.; Spane, F.A.; Amonette, J.E.; Rockhold, M.L.

    1991-10-01

    The Volatile Organic Compounds -- Arid Integrated Demonstration Program (VOC-Arid ID) is targeted at demonstration and testing of technologies for the evaluation and cleanup of volatile organic compounds and associated contaminants at arid DOE sites. The initial demonstration site is an area of carbon tetrachloride (CCl 4 ) contamination located near the center of the Hanford Site. The movement of CCl 4 and other volatile organic contaminants in the subsurface is very complex. The problem at the Hanford Site is further complicated by the concurrent discharge of other waste constituents including acids, lard oil, organic phosphates, and transuranic radionuclides. In addition, the subsurface environment is very complex, with large spatial variabilities in hydraulic properties. A thorough understanding of the problem is essential to the selection of appropriate containment, retrieval, and/or in situ remedial technologies. The effectiveness of remedial technologies depends on knowing where the contaminants are, how they are held up in a given physical and chemical subsurface environment; and knowing the physical, chemical, and microbiological changes that are induced by the various remedial technologies

  1. Contribution of non-volatile and aroma fractions to in-mouth sensory properties of red wines: wine reconstitution strategies and sensory sorting task.

    Science.gov (United States)

    Sáenz-Navajas, María-Pilar; Campo, Eva; Avizcuri, José Miguel; Valentin, Dominique; Fernández-Zurbano, Purificación; Ferreira, Vicente

    2012-06-30

    This work explores to what extent the aroma or the non-volatile fractions of red wines are responsible for the overall flavor differences perceived in-mouth. For this purpose, 14 samples (4 commercial and 10 reconstituted wines), were sorted by a panel of 30 trained assessors according to their sensory in-mouth similarities. Reconstituted wines were prepared by adding the same volatile fraction (coming from a red wine) to the non-volatile fraction of 10 different red wines showing large differences in perceived astringency. Sorting was performed under three different conditions: (a) no aroma perception: nose-close condition (NA), (b) retronasal aroma perception only (RA), and (c) allowing retro- and involuntary orthonasal aroma perception (ROA). Similarity estimates were derived from the sorting and submitted to multidimensional scaling (MDS) followed by hierarchical cluster analysis (HCA). Results have clearly shown that, globally, aroma perception is not the major driver of the in-mouth sensory perception of red wine, which is undoubtedly primarily driven by the perception of astringency and by the chemical compounds causing it, particularly protein precipitable proanthocyanidins (PAs). However, aroma perception plays a significant role on the perception of sweetness and bitterness. The impact of aroma seems to be more important whenever astringency, total polyphenols and protein precipitable PAs levels are smaller. Results also indicate that when a red-black fruit odor nuance is clearly perceived in conditions in which orthonasal odor perception is allowed, a strong reduction in astringency takes place. Such red-black fruit odor nuance seems to be the result of a specific aroma release pattern as a consequence of the interaction between aroma compounds and the non-volatile matrix. Copyright © 2011 Elsevier B.V. All rights reserved.

  2. Possible stakeholder concerns regarding volatile organic compound in arid soils integrated demonstration technologies not evaluated in the stakeholder involvement program

    International Nuclear Information System (INIS)

    Peterson, T.

    1995-12-01

    The Volatile Organic Compounds in Arid Soils Integrated Demonstration (VOC-Arid ID) supported the demonstration of a number of innovative technologies, not all of which were evaluated in the integrated demonstration's stakeholder involvement program. These technologies have been organized into two categories and the first category ranked in order of priority according to interest in the evaluation of the technology. The purpose of this report is to present issues stakeholders would likely raise concerning each of the technologies in light of commentary, insights, data requirements, concerns, and recommendations offered during the VOC-Arid ID's three-year stakeholder involvement, technology evaluation program. A secondary purpose is to provide a closeout status for each of the technologies associated with the VOC-Arid ID. This report concludes with a summary of concerns and requirements that stakeholders have for all innovative technologies

  3. Beta Risk and Regime Shift in Market Volatility

    OpenAIRE

    Don U.A. Galagedera; Roland G. Shami

    2004-01-01

    In this paper, we relate security returns in the thirty securities in the Dow Jones index to regime shifts in the market portfolio (S&P500) volatility. We model market volatility as a multiple-state Markov switching process of order one and estimate non-diversifiable security risk (beta) in the different market volatility regimes. We test the significance of the premium of the beta risk associated with the different market regimes and find evidence of a relationship between security return an...

  4. Fungal volatiles associated with moldy grain in ventilated and non-ventilated bin-stored wheat.

    Science.gov (United States)

    Sinha, R N; Tuma, D; Abramson, D; Muir, W E

    1988-01-01

    The fungal odor compounds 3-methyl-1-butanol, 1-octen-3-ol and 3-octanone were monitored in nine experimental bins in Winnipeg, Manitoba containing a hard red spring wheat during the autumn, winter and summer seasons of 1984-85. Quality changes were associated with seed-borne microflora and moisture content in both ventilated and non-ventilated bins containing wheat of 15.6 and 18.2% initial moisture content. All three odor compounds occurred in considerably greater amounts in bulk wheat in non-ventilated than in ventilated bins, particularly in those with wheat having 18.2% moisture content. The presence of these compounds usually coincided with infection of the seeds by the fungi Alternaria alternata (Fr.) Keissler, Aspergillus repens DeBarry, A. versicolor (Vuill.) Tiraboschi, Penicillium crustosum Thom, P. oxalicum Currie and Thom, P. aurantiogriesum Dierckx, and P. citrinum Thom. High production of all three odor compounds in damp wheat stored in non-ventilated bins was associated with heavy fungal infection of the seeds and reduction in seed germinability. High initial moisture content of the harvested grain accelerated the production of all three fungal volatiles in non-ventilated bins.

  5. Double dissociation of working memory and attentional processes in smokers and non-smokers with and without nicotine.

    Science.gov (United States)

    Grundey, Jessica; Amu, Rosa; Ambrus, Géza Gergely; Batsikadze, Georgi; Paulus, Walter; Nitsche, Michael A

    2015-07-01

    Nicotine has been shown to affect cortical excitability measured using transcranial magnetic stimulation in smoking and non-smoking subjects in different ways. In tobacco-deprived smokers, administration of nicotine restores compromised cortical facilitation while in non-smokers, it enhances cortical inhibition. As cortical excitability and activity are closely linked to cognitive processes, we aimed to explore whether nicotine-induced physiological alterations in non-smokers and smokers are associated with cognitive changes. Specifically, we assessed the impact of nicotine on working memory performance (n-back letter task) and on attentional processes (Stroop interference test) in healthy smokers and non-smokers. Both tasks have been shown to rely on prefrontal areas, and nicotinic receptors are relevantly involved in prefrontal function. Sixteen smoking and 16 non-smoking subjects participated in the 3-back letter task and 21 smoking and 21 non-smoking subjects in the Stroop test after the respective application of placebo or nicotine patches. The results show that working memory and attentional processes are compromised in nicotine-deprived smokers compared to non-smoking individuals. After administration of nicotine, working memory performance in smokers improved, while non-smoking subjects displayed decreased accuracy with increased number of errors. The effects have been shown to be more apparent for working memory performance than attentional processes. In summary, cognitive functions can be restored by nicotine in deprived smokers, whereas non-smokers do not gain additional benefit. The respective changes are in accordance with related effects of nicotine on cortical excitability in both groups.

  6. Direct observation of conductive filament formation in Alq3 based organic resistive memories

    Energy Technology Data Exchange (ETDEWEB)

    Busby, Y., E-mail: yan.busby@unamur.be; Pireaux, J.-J. [Research Center in the Physics of Matter and Radiation (PMR), Laboratoire Interdisciplinaire de Spectroscopie Electronique (LISE), University of Namur, B-5000 Namur (Belgium); Nau, S.; Sax, S. [NanoTecCenter Weiz Forschungsgesellschaft mbH, Franz-Pichler Straße 32, A-8160 Weiz (Austria); List-Kratochvil, E. J. W. [NanoTecCenter Weiz Forschungsgesellschaft mbH, Franz-Pichler Straße 32, A-8160 Weiz (Austria); Institute of Solid State Physics, Graz University of Technology, A-8010 Graz (Austria); Novak, J.; Banerjee, R.; Schreiber, F. [Institute of Applied Physics, Eberhard-Karls-Universität Tübingen, D-72076 Tübingen (Germany)

    2015-08-21

    This work explores resistive switching mechanisms in non-volatile organic memory devices based on tris(8-hydroxyquinolie)aluminum (Alq{sub 3}). Advanced characterization tools are applied to investigate metal diffusion in ITO/Alq{sub 3}/Ag memory device stacks leading to conductive filament formation. The morphology of Alq{sub 3}/Ag layers as a function of the metal evaporation conditions is studied by X-ray reflectivity, while depth profile analysis with X-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectrometry is applied to characterize operational memory elements displaying reliable bistable current-voltage characteristics. 3D images of the distribution of silver inside the organic layer clearly point towards the existence of conductive filaments and allow for the identification of the initial filament formation and inactivation mechanisms during switching of the device. Initial filament formation is suggested to be driven by field assisted diffusion of silver from abundant structures formed during the top electrode evaporation, whereas thermochemical effects lead to local filament inactivation.

  7. Leveraging In-Memory Technology to improve the acceptance of MSS - a managers' Perspective

    OpenAIRE

    Mayer, Jörg H.; Esswein, Markus; Goeken, Matthias; Quick, Reiner

    2014-01-01

    Management support systems (MSS) help managers to perform their jobs more efficiently. With in-memory technology, a new IT enabler promises to support managers by benefits ranging from reducing time for MSS data entry and analysis to complete new topics of analysis. Hence, the present situation is favorable for an MSS redesign applying in-memory apps. Such apps are field-tested and ready-to-use, but from a business perspective they lack impact. Based on findings from a literature review and r...

  8. Pengaruh Kebijakan Dividen, Earning Volatility, dan Leverage terhadap Volatilitas Harga Saham pada Perusahaan Non-financing yang Terdaftar di Bursa Efek Indonesia Tahun 2010-2014

    OpenAIRE

    Jannah, Raudhatul; Haridhi, Musfiari

    2016-01-01

    The research examines the effect of dividend policy, earnings volatility, and leverage on share price volatility of non financing companies listed in Indonesia Stock Exchange in 2010-2014. Dividend policy variabel using dividend payout ratio and leverage variabel using debt to equity ratio. Secondary data were sourced from the financial statements, published by the capital market reference center at the Indonesia Stock Exchange. The research type used in this research is hypothesis testing, b...

  9. Introduction to the special issue on visual working memory.

    Science.gov (United States)

    Wolfe, Jeremy M

    2014-10-01

    Visual working memory is a volatile, limited-capacity memory that appears to play an important role in our impression of a visual world that is continuous in time. It also mediates between the contents of the mind and the contents of that visual world. Research on visual working memory has become increasingly prominent in recent years. The articles in this special issue of Attention, Perception, & Psychophysics describe new empirical findings and theoretical understandings of the topic.

  10. Predictable dynamics in implied volatility smirk slope : evidence from the S&P 500 options

    OpenAIRE

    Onan, Mustafa

    2012-01-01

    Ankara : The Department of Management, İhsan Doğramacı Bilkent University, 2012. Thesis (Master's) -- Bilkent University, 2012. Includes bibliographical references. This study aims to investigate whether there are predictable patterns in the dynamics of implied volatility smirk slopes extracted from the intraday market prices of S&P 500 index options. I compare forecasts obtained from a short memory ARMA model and a long memory ARFIMA model within an out-of-sample context ov...

  11. Consequences of temporary inhibition of the medial amygdala on social recognition memory performance in mice

    Directory of Open Access Journals (Sweden)

    Julia eNoack

    2015-04-01

    Full Text Available Different lines of investigation suggest that the medial amygdala is causally involved in the processing of information linked to social behaviour in rodents. Here we investigated the consequences of temporary inhibition of the medial amygdala by bilateral injections of lidocaine on long-term social recognition memory as tested in the social discrimination task. Lidocaine or control NaCl solution was infused immediately before learning or before retrieval. Our data show that lidocaine infusion immediately before learning did not affect long-term memory retrieval. However, intra-amygdalar lidocaine infusions immediately before choice interfered with correct memory retrieval. Analysis of the aggressive behaviour measured simultaneously during all sessions in the social recognition memory task support the impression that the lidocaine dosage used here was effective as it – at least partially – reduced the aggressive behaviour shown by the experimental subjects towards the juveniles. Surprisingly, also infusions of NaCl solution blocked recognition memory at both injection time points. The results are interpreted in the context of the importance of the medial amygdala for the processing of non-volatile odours as a major contributor to the olfactory signature for social recognition memory.

  12. Albuquerque Operations Office, Albuquerque, New Mexico: Technology summary

    Energy Technology Data Exchange (ETDEWEB)

    1994-08-01

    This document has been prepared by the Department of Energy`s (DOE) Environmental Management (EM) Office of Technology Development (OTD) in order to highlight research, development, demonstration, testing, and evaluation (RDDT&E) activities funded through the Albuquerque Operations Office. Technologies and processes described have the potential to enhance DOE`s cleanup and waste management efforts, as well as improve US industry`s competitiveness in global environmental markets. The information has been assembled from recently produced OTD documents that highlight technology development activities within each of the OTD program elements. These integrated program summaries include: Volatile Organic Compounds in Non-Arid Soils, Volatile Organic Compounds in Arid Soils, Mixed Waste Landfill Integrated Demonstration, Uranium in Soils Integrated Demonstration, Characterization, Monitoring, and Sensor Technology, In Situ Remediation, Buried Waste Integrated Demonstration, Underground Storage Tank, Efficient Separations and Processing, Mixed Waste Integrated Program, Rocky Flats Compliance Program, Pollution Prevention Program, Innovation Investment Area, and Robotics Technology.

  13. Flavor Compounds in Pixian Broad-Bean Paste: Non-Volatile Organic Acids and Amino Acids

    Directory of Open Access Journals (Sweden)

    Hongbin Lin

    2018-05-01

    Full Text Available Non-volatile organic acids and amino acids are important flavor compounds in Pixian broad-bean paste, which is a traditional Chinese seasoning product. In this study, non-volatile organic acids, formed in the broad-bean paste due to the metabolism of large molecular compounds, are qualitatively and quantitatively determined by high-performance liquid chromatography (HPLC. Amino acids, mainly produced by hydrolysis of soybean proteins, were determined by the amino acid automatic analyzer. Results indicated that seven common organic acids and eighteen common amino acids were found in six Pixian broad-bean paste samples. The content of citric acid was found to be the highest in each sample, between 4.1 mg/g to 6.3 mg/g, and malic acid were between 2.1 mg/g to 3.6 mg/g ranked as the second. Moreover, fumaric acid was first detected in fermented bean pastes albeit with a low content. For amino acids, savory with lower sour taste including glutamine (Gln, glutamic acid (Glu, aspartic acid (Asp and asparagines (Asn were the most abundant, noted to be 6.5 mg/g, 4.0 mg/g, 6.4 mg/g, 4.9 mg/g, 6.2 mg/g and 10.2 mg/g, and bitter taste amino acids followed. More importantly, as important flavor materials in Pixian broad-bean paste, these two groups of substances are expected to be used to evaluate and represent the flavor quality of Pixian broad-bean paste. Moreover, the results revealed that citric acid, glutamic acid, methionine and proline were the most important flavor compounds. These findings are agreat contribution for evaluating the quality and further assessment of Pixian broad-bean paste.

  14. Flavor Compounds in Pixian Broad-Bean Paste: Non-Volatile Organic Acids and Amino Acids.

    Science.gov (United States)

    Lin, Hongbin; Yu, Xiaoyu; Fang, Jiaxing; Lu, Yunhao; Liu, Ping; Xing, Yage; Wang, Qin; Che, Zhenming; He, Qiang

    2018-05-29

    Non-volatile organic acids and amino acids are important flavor compounds in Pixian broad-bean paste, which is a traditional Chinese seasoning product. In this study, non-volatile organic acids, formed in the broad-bean paste due to the metabolism of large molecular compounds, are qualitatively and quantitatively determined by high-performance liquid chromatography (HPLC). Amino acids, mainly produced by hydrolysis of soybean proteins, were determined by the amino acid automatic analyzer. Results indicated that seven common organic acids and eighteen common amino acids were found in six Pixian broad-bean paste samples. The content of citric acid was found to be the highest in each sample, between 4.1 mg/g to 6.3 mg/g, and malic acid were between 2.1 mg/g to 3.6 mg/g ranked as the second. Moreover, fumaric acid was first detected in fermented bean pastes albeit with a low content. For amino acids, savory with lower sour taste including glutamine (Gln), glutamic acid (Glu), aspartic acid (Asp) and asparagines (Asn) were the most abundant, noted to be 6.5 mg/g, 4.0 mg/g, 6.4 mg/g, 4.9 mg/g, 6.2 mg/g and 10.2 mg/g, and bitter taste amino acids followed. More importantly, as important flavor materials in Pixian broad-bean paste, these two groups of substances are expected to be used to evaluate and represent the flavor quality of Pixian broad-bean paste. Moreover, the results revealed that citric acid, glutamic acid, methionine and proline were the most important flavor compounds. These findings are agreat contribution for evaluating the quality and further assessment of Pixian broad-bean paste.

  15. Testing for co-integration in vector autoregressions with non-stationary volatility

    DEFF Research Database (Denmark)

    Cavaliere, Giuseppe; Rahbek, Anders Christian; Taylor, Robert M.

    2010-01-01

    cases. We show that the conventional rank statistics computed as in (Johansen, 1988) and (Johansen, 1991) are potentially unreliable. In particular, their large sample distributions depend on the integrated covariation of the underlying multivariate volatility process which impacts on both the size...... and power of the associated co-integration tests, as we demonstrate numerically. A solution to the identified inference problem is provided by considering wild bootstrap-based implementations of the rank tests. These do not require the practitioner to specify a parametric model for volatility, or to assume...

  16. Memory Analysis of the KBeast Linux Rootkit: Investigating Publicly Available Linux Rootkit Using the Volatility Memory Analysis Framework

    Science.gov (United States)

    2015-06-01

    examine how a computer forensic investigator/incident handler, without specialised computer memory or software reverse engineering skills , can successfully...memory images and malware, this new series of reports will be directed at those who must analyse Linux malware-infected memory images. The skills ...disable 1287 1000 1000 /usr/lib/policykit-1-gnome/polkit-gnome-authentication- agent-1 1310 1000 1000 /usr/lib/pulseaudio/pulse/gconf- helper 1350

  17. Cell characteristics of FePt nano-dot memories with a high-k Al2O3 blocking oxide

    International Nuclear Information System (INIS)

    Lee, Gae Hun; Lee, Jung Min; Yang, Hyung Jun; Song, Yun Heub; Bea, Ji Cheol; Tanaka, Testsu

    2012-01-01

    The cell characteristics of an alloy FePt nano-dot (ND) charge trapping memory with a high-k dielectric as a blocking oxide was investigated. Adoption of a high-k Al 2 O 3 material as a blocking oxide for the metal nano-dot memory provided a superior scaling of the operation voltage compared to silicon oxide under a similar gate leakage level. For the 40-nm-thick high-k (Al 2 O 3 ) blocking oxide, we confirmed an operation voltage reduction of ∼7 V under the same memory window on for silicon dioxide. Also, this device showed a large memory window of 7.8 V and a low leakage current under 10 -10 A in an area of Φ 0.25 mm. From these results, the use of a dielectric (Al 2 O 3 ) as a blocking oxide for a metal nano-dot device is essential, and a metal nano-dot memory with a high-k dielectric will be one of the candidates for a high-density non-volatile memory device.

  18. Nonvolatile memory characteristics in metal-oxide-semiconductors containing metal nanoparticles fabricated by using a unique laser irradiation method

    International Nuclear Information System (INIS)

    Yang, JungYup; Yoon, KapSoo; Kim, JuHyung; Choi, WonJun; Do, YoungHo; Kim, ChaeOk; Hong, JinPyo

    2006-01-01

    Metal-oxide-semiconductor (MOS) capacitors with metal nanoparticles (Co NP) were successfully fabricated by utilizing an external laser exposure technique for application of non-volatile memories. Images of high-resolution transmission electron microscopy reveal that the spherically shaped Co NP are clearly embedded in the gate oxide layer. Capacitance-voltage measurements exhibit typical charging and discharging effects with a large flat-band shift. The effects of the tunnel oxide thickness and the different tunnel materials are analyzed using capacitance-voltage and retention characteristics. In addition, the memory characteristics of the NP embedded in a high-permittivity material are investigated because the thickness of conventionally available SiO 2 gates is approaching the quantum tunneling limit as devices are scaled down. Finally, the suitability of NP memory devices for nonvolatile memory applications is also discussed. The present results suggest that our unique laser exposure technique holds promise for the NP formation as floating gate elements in nonvolatile NP memories and that the quality of the tunnel oxide is very important for enhancing the retention properties of nonvolatile memory.

  19. Comparative assessment of software for non-targeted data analysis in the study of volatile fingerprint changes during storage of a strawberry beverage.

    Science.gov (United States)

    Morales, M L; Callejón, R M; Ordóñez, J L; Troncoso, A M; García-Parrilla, M C

    2017-11-03

    Five free software packages were compared to assess their utility for the non-targeted study of changes in the volatile profile during the storage of a novel strawberry beverage. AMDIS coupled to Gavin software turned out to be easy to use, required the minimum handling for subsequent data treatment and its results were the most similar to those obtained by manual integration. However, AMDIS coupled to SpectConnect software provided more information for the study of volatile profile changes during the storage of strawberry beverage. During storage, volatile profile changed producing the differentiation among the strawberry beverage stored at different temperatures, and this difference increases as time passes; these results were also supported by PCA. As expected, it seems that cold temperature is the best way of preservation for this product during long time storage. Variable Importance in the Projection (VIP) and correlation scores pointed out four volatile compounds as potential markers for shelf-life of our strawberry beverage: 2-phenylethyl acetate, decanoic acid, γ-decalactone and furfural. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Enabling universal memory by overcoming the contradictory speed and stability nature of phase-change materials.

    Science.gov (United States)

    Wang, Weijie; Loke, Desmond; Shi, Luping; Zhao, Rong; Yang, Hongxin; Law, Leong-Tat; Ng, Lung-Tat; Lim, Kian-Guan; Yeo, Yee-Chia; Chong, Tow-Chong; Lacaita, Andrea L

    2012-01-01

    The quest for universal memory is driving the rapid development of memories with superior all-round capabilities in non-volatility, high speed, high endurance and low power. Phase-change materials are highly promising in this respect. However, their contradictory speed and stability properties present a key challenge towards this ambition. We reveal that as the device size decreases, the phase-change mechanism changes from the material inherent crystallization mechanism (either nucleation- or growth-dominated), to the hetero-crystallization mechanism, which resulted in a significant increase in PCRAM speeds. Reducing the grain size can further increase the speed of phase-change. Such grain size effect on speed becomes increasingly significant at smaller device sizes. Together with the nano-thermal and electrical effects, fast phase-change, good stability and high endurance can be achieved. These findings lead to a feasible solution to achieve a universal memory.

  1. Impact of time and space evolution of ion tracks in nonvolatile memory cells approaching nanoscale

    International Nuclear Information System (INIS)

    Cellere, G.; Paccagnella, A.; Murat, M.; Barak, J.; Akkerman, A.; Harboe-Sorensen, R.; Virtanen, A.; Visconti, A.; Bonanomi, M.

    2010-01-01

    Swift heavy ions impacting on matter lose energy through the creation of dense tracks of charges. The study of the space and time evolution of energy exchange allows understanding the single event effects behavior in advanced microelectronic devices. In particular, the shrinking of minimum feature size of most advanced memory devices makes them very interesting test vehicles to study these effects since the device and the track dimensions are comparable; hence, measured effects are directly correlated with the time and space evolution of the energy release. In this work we are studying the time and space evolution of ion tracks by using advanced non volatile memories and Monte Carlo simulations. Experimental results are very well explained by the theoretical calculations.

  2. New Content Addressable Memory (CAM) Technologies for Big Data and Intelligent Electronics Enabled by Magneto-Electric Ternary CAM

    Science.gov (United States)

    2017-12-11

    AFRL-RY-WP-TR-2017-0198 NEW CONTENT ADDRESSABLE MEMORY (CAM) TECHNOLOGIES FOR BIG DATA AND INTELLIGENT ELECTRONICS ENABLED BY MAGNETO-ELECTRIC...MEMORY (CAM) TECHNOLOGIES FOR BIG DATA AND INTELLIGENT ELECTRONICS ENABLED BY MAGNETO-ELECTRIC TERNARY CAM 5a. CONTRACT NUMBER FA8650-16-1-7655 5b... electronic applications, such as internet of things, big data, wireless sensors, and mobile devices, have begun to focus on the importance of energy

  3. Attractiveness of Host Plant Volatile Extracts to the Asian Citrus Psyllid, Diaphorina citri, is Reduced by Terpenoids from the Non-Host Cashew.

    Science.gov (United States)

    Fancelli, Marilene; Borges, Miguel; Laumann, Raul A; Pickett, John A; Birkett, Michael A; Blassioli-Moraes, Maria C

    2018-04-01

    Diaphorina citri is a vector of the bacterial causative agent of Huanglongbing (HLB = Citrus greening), a severe disease affecting citrus crops. As there is no known control for HLB, manipulating insect behaviour through deployment of semiochemicals offers a promising opportunity for protecting citrus crops. The behavioural responses of D. citri to plant volatiles, and the identity of these plant volatiles were investigated. Volatiles were collected from host plants Murraya paniculata, Citrus sinensis, C. reshni, C. limettioides, Poncirus trifoliata, and from non-host plants Psidium guajava, Mangifera indica, Anacardium occidentale. In behavioural assays, female D. citri spent more time in the arms containing volatiles from either M. paniculata or C. sinensis compared to the control arms. When D. citri was exposed to volatiles collected from A. occidentale, they preferred the control arm. Volatiles emitted from the other studied plants did not influence the foraging behaviour of D. citri. Chemical analyses of volatile extracts from C. sinensis, M. paniculata, and A. occidentale revealed the presence of the terpenoids (E)-4,8-dimethylnona-1,3,7-triene (DMNT) and (E,E)-4,8,12-trimethyltrideca-1,3,7,11-tetraene (TMTT) in higher amounts in A. occidentale. In further behavioural bioassays, female D. citri spent less time in arms containing a synthetic blend of DMNT and TMTT compared to the control arms. Female D. citri also spent less time in arms containing the synthetic blend in combination with volatile extracts from either M. paniculata or C. sinensis compared to the control arms. Results suggest that higher release of the two terpenoids by A. occidentale make this species unattractive to D. citri, and that the terpenoids could be used in reducing colonisation of citrus plants and therefore HLB infection.

  4. The analysis of semi-volatile and non-volatile petroleum hydrocarbons in a soil/sediment matrix by capillary column gas chromatography/flame ionization detection (GC/FID)

    International Nuclear Information System (INIS)

    George, J.E. III; Thoma, J.J.; Hastings, M.

    1990-01-01

    A comprehensive analysis for semi-volatile and non-volatile fractions of petroleum hydrocarbons can be achieved by a solvent extraction/concentration techniques that will effectively extract these high molecular weight fractions from a soil matrix. The prepared extract is then injected directly into a gas chromatograph equipped with a capillary column and flame ionization detector. This technique applies to the following types of commercially available petroleum hydrocarbons: Diesel Nos. 2,4,5, and 6, fuel oils and several grades of lubrication oil. The identification of a particular petroleum hydrocarbon is determined visually by comparison of the samples with known hydrocarbon standards. Accurate quantitation of the chromatograms is possible by using peak area summation and the presence of an internal standard. The practical quantitation limit for the method is 10 mg/Kg for most fuel types. This paper presents a method for determining the concentration of these fuel types in soil. Data will be presented only on 10W40 lubrication oil in terms of method validation, calibration, percent recovery, and method detection limits. A discussion of the quatitation techniques used will also be included

  5. The Effect of Long Memory in Volatility on Stock Market Fluctuations

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; Nielsen, Morten Ørregaard

    2007-01-01

    on returns. Asset pricing theory imposes testable cross-equation restrictions on the system that are not rejected in our preferred specifications, which include a strong financial leverage effect. We show that the impact of volatility shocks on stock prices is small and short-lived, in spite of a positive...

  6. The Effect of Long Memory in Volatility on Stock Market Fluctuations

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; Nielsen, Morten Ørregaard

    on returns. Asset pricing theory imposes testable cross- equation restrictions on the system that are not rejected in our preferred specifications, which include a strong financial leverage effect. We show that the impact of volatility shocks on stock prices is small and short-lived, in spite of a positive...

  7. The Effect of Long Memory in Volatility on Stock Market Fluctuations

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; Nielsen, Morten Ørregaard

    on returns. Asset pricing theory imposes testable cross-equation restrictions on the system that are not rejected in our preferred specifications, which include a strong financial leverage effect. We show that the impact of volatility shocks on stock prices is small and short-lived, in spite of a positive...

  8. Resistive switching characteristics of solution-processed organic-inorganic blended films for flexible memory applications

    Science.gov (United States)

    Baek, Il-Jin; Cho, Won-Ju

    2018-02-01

    We developed a hybrid organic-inorganic resistive random access memory (ReRAM) device that uses a solution-process to overcome the disadvantages of organic and inorganic materials for flexible memory applications. The drawbacks of organic and inorganic materials are a poor electrical characteristics and a lack of flexibility, respectively. We fabricated a hybrid organic-inorganic switching layer of ReRAM by blending HfOx or AlOx solution with PMMA solution and investigated the resistive switching behaviour in Ti/PMMA/Pt, Ti/PMMA-HfOx/Pt and Ti/PMMA-AlOx/Pt structures. It is found that PMMA-HfOx or PMMA-AlOx hybrid switching layer has a larger memory window, more stable durability and retention characteristics, and a better set/reset voltage distribution than PMMA layer. Further, it is confirmed that the flexibility of the PMMA-HfOx and PMMA-AlOx blended films was almost similar to that of the organic PMMA film. Thus, the solution-processed organic-inorganic blended films are considered a promising material for a non-volatile memory device on a flexible or wearable electronic system.

  9. On the relationship between short- and long-term memory

    DEFF Research Database (Denmark)

    Sørensen, Thomas Alrik

    James (1890) divided memory into separate stores; primary and secondary – or short-term and long-term memory. The interaction between the two stores often assumes that information initially is represented in volatile short-term store before entering and consolidating in the more durable long-term......, accepted). Counter to popular beliefs this suggest that long-term memory precedes short-term memory and not vice versa....... memory system (e.g. Atkinson & Shiffrin, 1968). Short-term memory seems to provide a surprising processing bottleneck where only a very limited amount of information can be represented at any given moment (Miller, 1956; Cowan, 2001). A number of studies have investigated the nature of this processing...

  10. Volatile organic carbon/air separation test using gas membranes

    International Nuclear Information System (INIS)

    King, C.V.; Kaschemekat, J.

    1993-08-01

    An estimated 900 metric tons of carbon tetrachloride were discharged to soil columns during the Plutonium Finishing Plant Operations at the Hanford Site. The largest percentage of this volatile organic compound was found in the vadose region of the 200 West Area. Using a Vacuum Extraction System, the volatile organic compound was drawn from the soil in an air mixture at a concentration of about 1,000 parts per million. The volatile organic compounds were absorbed from the air stream using granulated activated carbon canisters. A gas membrane separation system, developed by Membrane Technology and Research, Inc., was tested at the Vacuum Extraction System site to determine if the volatile organic compound load on the granulated activated carbon could be reduced. The Vacuum Extraction System condensed most of the volatile organic compound into liquid carbon tetrachloride and vented the residual gas stream into the granulated activated carbon. This system reduced the cost of operation about $5/kilogram of volatile organic compound removed

  11. Multifractal analysis of implied volatility in index options

    Science.gov (United States)

    Oh, GabJin

    2014-06-01

    In this paper, we analyze the statistical and the non-linear properties of the log-variations in implied volatility for the CAC40, DAX and S& P500 daily index options. The price of an index option is generally represented by its implied volatility surface, including its smile and skew properties. We utilize a Lévy process model as the underlying asset to deepen our understanding of the intrinsic property of the implied volatility in the index options and estimate the implied volatility surface. We find that the options pricing models with the exponential Lévy model can reproduce the smile or sneer features of the implied volatility that are observed in real options markets. We study the variation in the implied volatility for at-the-money index call and put options, and we find that the distribution function follows a power-law distribution with an exponent of 3.5 ≤ γ ≤ 4.5. Especially, the variation in the implied volatility exhibits multifractal spectral characteristics, and the global financial crisis has influenced the complexity of the option markets.

  12. Si quantum dots for nano electronics: From materials to applications

    International Nuclear Information System (INIS)

    Lombardo, S.; Spinella, C.; Rimini, E.

    2005-01-01

    This paper reviews the subject of Si quantum dots embedded in dielectric and its application to the realization of non volatile semiconductor memories. In the first part of the paper various approaches for the analysis of the materials through transmission electron microscopy (TEM) are critically discussed. The advantages coming from an innovative application of energy filtered TEM are put in clear evidence. The paper then focuses on the synthesis of the materials: two different methodologies for the realization of the dots, both based on chemical vapor deposition are described in detail, and physical models providing some understanding of the observed phenomenology are reported. We then discuss the application of this nano technology to the realization of the storage nodes in non volatile semiconductor memories. The following sections describe the electrical characteristics found in the test devices and some key aspects are described in terms of quantitative models. The test devices show several performance advantages, indicating that the approach is an excellent candidate for the realization of Flash memories of the nano electronic era

  13. Hammerstein system represention of financial volatility processes

    Science.gov (United States)

    Capobianco, E.

    2002-05-01

    We show new modeling aspects of stock return volatility processes, by first representing them through Hammerstein Systems, and by then approximating the observed and transformed dynamics with wavelet-based atomic dictionaries. We thus propose an hybrid statistical methodology for volatility approximation and non-parametric estimation, and aim to use the information embedded in a bank of volatility sources obtained by decomposing the observed signal with multiresolution techniques. Scale dependent information refers both to market activity inherent to different temporally aggregated trading horizons, and to a variable degree of sparsity in representing the signal. A decomposition of the expansion coefficients in least dependent coordinates is then implemented through Independent Component Analysis. Based on the described steps, the features of volatility can be more effectively detected through global and greedy algorithms.

  14. Effects of proactive interference on non-verbal working memory.

    Science.gov (United States)

    Cyr, Marilyn; Nee, Derek E; Nelson, Eric; Senger, Thea; Jonides, John; Malapani, Chara

    2017-02-01

    Working memory (WM) is a cognitive system responsible for actively maintaining and processing relevant information and is central to successful cognition. A process critical to WM is the resolution of proactive interference (PI), which involves suppressing memory intrusions from prior memories that are no longer relevant. Most studies that have examined resistance to PI in a process-pure fashion used verbal material. By contrast, studies using non-verbal material are scarce, and it remains unclear whether the effect of PI is domain-general or whether it applies solely to the verbal domain. The aim of the present study was to examine the effect of PI in visual WM using both objects with high and low nameability. Using a Directed-Forgetting paradigm, we varied discriminability between WM items on two dimensions, one verbal (high-nameability vs. low-nameability objects) and one perceptual (colored vs. gray objects). As in previous studies using verbal material, effects of PI were found with object stimuli, even after controlling for verbal labels being used (i.e., low-nameability condition). We also found that the addition of distinctive features (color, verbal label) increased performance in rejecting intrusion probes, most likely through an increase in discriminability between content-context bindings in WM.

  15. Quantitative estimates of the volatility of ambient organic aerosol

    Directory of Open Access Journals (Sweden)

    C. D. Cappa

    2010-06-01

    Full Text Available Measurements of the sensitivity of organic aerosol (OA, and its components mass to changes in temperature were recently reported by Huffman et al.~(2009 using a tandem thermodenuder-aerosol mass spectrometer (TD-AMS system in Mexico City and the Los Angeles area. Here, we use these measurements to derive quantitative estimates of aerosol volatility within the framework of absorptive partitioning theory using a kinetic model of aerosol evaporation in the TD. OA volatility distributions (or "basis-sets" are determined using several assumptions as to the enthalpy of vaporization (ΔHvap. We present two definitions of "non-volatile OA," one being a global and one a local definition. Based on these definitions, our analysis indicates that a substantial fraction of the organic aerosol is comprised of non-volatile components that will not evaporate under any atmospheric conditions; on the order of 50–80% when the most realistic ΔHvap assumptions are considered. The sensitivity of the total OA mass to dilution and ambient changes in temperature has been assessed for the various ΔHvap assumptions. The temperature sensitivity is relatively independent of the particular ΔHvap assumptions whereas dilution sensitivity is found to be greatest for the low (ΔHvap = 50 kJ/mol and lowest for the high (ΔHvap = 150 kJ/mol assumptions. This difference arises from the high ΔHvap assumptions yielding volatility distributions with a greater fraction of non-volatile material than the low ΔHvap assumptions. If the observations are fit using a 1 or 2-component model the sensitivity of the OA to dilution is unrealistically high. An empirical method introduced by Faulhaber et al. (2009 has also been used to independently estimate a volatility distribution for the ambient OA and is found to give results consistent with the

  16. Device and methods for writing and erasing analog information in small memory units via voltage pulses

    Science.gov (United States)

    El Gabaly Marquez, Farid; Talin, Albert Alec

    2018-04-17

    Devices and methods for non-volatile analog data storage are described herein. In an exemplary embodiment, an analog memory device comprises a potential-carrier source layer, a barrier layer deposited on the source layer, and at least two storage layers deposited on the barrier layer. The memory device can be prepared to write and read data via application of a biasing voltage between the source layer and the storage layers, wherein the biasing voltage causes potential-carriers to migrate into the storage layers. After initialization, data can be written to the memory device by application of a voltage pulse between two storage layers that causes potential-carriers to migrate from one storage layer to another. A difference in concentration of potential carriers caused by migration of potential-carriers between the storage layers results in a voltage that can be measured in order to read the written data.

  17. Role of volatiles emitted by host and non-host plants in the foraging behaviour of Dentichasmias busseolae, a pupal parasitoid of the spotted stemborer Chilo partellus

    NARCIS (Netherlands)

    Gohole, L.S.; Overholt, W.A.; Khan, Z.R.; Vet, L.E.M.

    2003-01-01

    The role of volatiles from stemborer host and non-host plants in the host-finding process of Dentichasmias busseolae Heinrich (Hymenoptera: Ichneumonidae) a pupal parasitoid of Chilo partellus (Swinhoe) (Lepidoptera: Crambidae) was studied. The non-host plant, molasses grass (Melinis minutiflora

  18. Nonvolatile, semivolatile, or volatile: redefining volatile for volatile organic compounds.

    Science.gov (United States)

    Võ, Uyên-Uyén T; Morris, Michael P

    2014-06-01

    Although widely used in air quality regulatory frameworks, the term "volatile organic compound" (VOC) is poorly defined. Numerous standardized tests are currently used in regulations to determine VOC content (and thus volatility), but in many cases the tests do not agree with each other, nor do they always accurately represent actual evaporation rates under ambient conditions. The parameters (time, temperature, reference material, column polarity, etc.) used in the definitions and the associated test methods were created without a significant evaluation of volatilization characteristics in real world settings. Not only do these differences lead to varying VOC content results, but occasionally they conflict with one another. An ambient evaporation study of selected compounds and a few formulated products was conducted and the results were compared to several current VOC test methodologies: SCAQMD Method 313 (M313), ASTM Standard Test Method E 1868-10 (E1868), and US. EPA Reference Method 24 (M24). The ambient evaporation study showed a definite distinction between nonvolatile, semivolatile, and volatile compounds. Some low vapor pressure (LVP) solvents, currently considered exempt as VOCs by some methods, volatilize at ambient conditions nearly as rapidly as the traditional high-volatility solvents they are meant to replace. Conversely, bio-based and heavy hydrocarbons did not readily volatilize, though they often are calculated as VOCs in some traditional test methods. The study suggests that regulatory standards should be reevaluated to more accurately reflect real-world emission from the use of VOC containing products. The definition of VOC in current test methods may lead to regulations that exclude otherwise viable alternatives or allow substitutions of chemicals that may limit the environmental benefits sought in the regulation. A study was conducted to examine volatility of several compounds and a few formulated products under several current VOC test

  19. [WMN: a negative ERPs component related to working memory during non-target visual stimuli processing].

    Science.gov (United States)

    Zhao, Lun; Wei, Jin-he

    2003-10-01

    To study non-target stimuli processing in the brain. Features of the event-related potentials (ERPs) from non-target stimuli during selective response task (SR) was compared with that during visual selective discrimination (DR) task in 26 normal subjects. The stimuli consisted of two color LED flashes (red and green) appeared randomly in left (LVF) or right (RVF) visual field with same probability. ERPs were derived at 9 electrode sites on the scalp under 2 task conditions: a) SR, making switch response to the target (NT) stimuli from LVF or RVF in one direction and making no response to the non-target (NT) ones; b) DR, making switching response to T stimuli differentially, i.e., to the left for T from LVF and to the right for T from RVF. 1) the non-target stimuli in DR conditions, compared with that in SR condition, elicited smaller P2 and P3 components and larger N2 component at the frontal brain areas; 2) a significant negative component, named as WMN (working memory negativity), appeared in the non-target ERPs during DR in the period of 100 to 700 ms post stimulation which was predominant at the frontal brain areas. According to the major difference between brain activities for non-target stimuli during SR and DR, the predominant appearance of WMN at the frontal brain areas demonstrated that the non-target stimulus processing was an active process and was related to working memory, i.e., the temporary elimination and the retrieval of the response mode which was stored in working memory.

  20. Estimation of long memory in volatility using wavelets

    Czech Academy of Sciences Publication Activity Database

    Kraicová, Lucie; Baruník, Jozef

    2017-01-01

    Roč. 21, č. 3 (2017), č. článku 20160101. ISSN 1081-1826 R&D Projects: GA ČR GA13-32263S EU Projects: European Commission 612955 - FINMAP Institutional support: RVO:67985556 Keywords : long memory * wavelets * whittle Subject RIV: AH - Economics OBOR OECD: Applied Economics, Econometrics Impact factor: 0.649, year: 2016 http://library.utia.cas.cz/separaty/2017/E/barunik-0478480.pdf