WorldWideScience

Sample records for non-volatile memory based

  1. Emerging non-volatile memories

    CERN Document Server

    Hong, Seungbum; Wouters, Dirk

    2014-01-01

    This book is an introduction to the fundamentals of emerging non-volatile memories and provides an overview of future trends in the field. Readers will find coverage of seven important memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), Multiferroic RAM (MFRAM), Phase-Change Memories (PCM), Oxide-based Resistive RAM (RRAM), Probe Storage, and Polymer Memories. Chapters are structured to reflect diffusions and clashes between different topics. Emerging Non-Volatile Memories is an ideal book for graduate students, faculty, and professionals working in the area of non-volatile memory. This book also: Covers key memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), and Multiferroic RAM (MFRAM), among others. Provides an overview of non-volatile memory fundamentals. Broadens readers' understanding of future trends in non-volatile memories.

  2. EDITORIAL: Non-volatile memory based on nanostructures Non-volatile memory based on nanostructures

    Science.gov (United States)

    Kalinin, Sergei; Yang, J. Joshua; Demming, Anna

    2011-06-01

    Non-volatile memory refers to the crucial ability of computers to store information once the power source has been removed. Traditionally this has been achieved through flash, magnetic computer storage and optical discs, and in the case of very early computers paper tape and punched cards. While computers have advanced considerably from paper and punched card memory devices, there are still limits to current non-volatile memory devices that restrict them to use as secondary storage from which data must be loaded and carefully saved when power is shut off. Denser, faster, low-energy non-volatile memory is highly desired and nanostructures are the critical enabler. This special issue on non-volatile memory based on nanostructures describes some of the new physics and technology that may revolutionise future computers. Phase change random access memory, which exploits the reversible phase change between crystalline and amorphous states, also holds potential for future memory devices. The chalcogenide Ge2Sb2Te5 (GST) is a promising material in this field because it combines a high activation energy for crystallization and a relatively low crystallization temperature, as well as a low melting temperature and low conductivity, which accommodates localized heating. Doping is often used to lower the current required to activate the phase change or 'reset' GST but this often aggravates other problems. Now researchers in Korea report in-depth studies of SiO2-doped GST and identify ways of optimising the material's properties for phase-change random access memory [1]. Resistance switching is an area that has attracted a particularly high level of interest for non-volatile memory technology, and a great deal of research has focused on the potential of TiO2 as a model system in this respect. Researchers at HP labs in the US have made notable progress in this field, and among the work reported in this special issue they describe means to control the switch resistance and show

  3. Non-volatile memories

    CERN Document Server

    Lacaze, Pierre-Camille

    2014-01-01

    Written for scientists, researchers, and engineers, Non-volatile Memories describes the recent research and implementations in relation to the design of a new generation of non-volatile electronic memories. The objective is to replace existing memories (DRAM, SRAM, EEPROM, Flash, etc.) with a universal memory model likely to reach better performances than the current types of memory: extremely high commutation speeds, high implantation densities and retention time of information of about ten years.

  4. Non-volatile memory based on the ferroelectric photovoltaic effect

    Science.gov (United States)

    Guo, Rui; You, Lu; Zhou, Yang; Shiuh Lim, Zhi; Zou, Xi; Chen, Lang; Ramesh, R.; Wang, Junling

    2013-01-01

    The quest for a solid state universal memory with high-storage density, high read/write speed, random access and non-volatility has triggered intense research into new materials and novel device architectures. Though the non-volatile memory market is dominated by flash memory now, it has very low operation speed with ~10 μs programming and ~10 ms erasing time. Furthermore, it can only withstand ~105 rewriting cycles, which prevents it from becoming the universal memory. Here we demonstrate that the significant photovoltaic effect of a ferroelectric material, such as BiFeO3 with a band gap in the visible range, can be used to sense the polarization direction non-destructively in a ferroelectric memory. A prototype 16-cell memory based on the cross-bar architecture has been prepared and tested, demonstrating the feasibility of this technique. PMID:23756366

  5. Carbon nanomaterials for non-volatile memories

    Science.gov (United States)

    Ahn, Ethan C.; Wong, H.-S. Philip; Pop, Eric

    2018-03-01

    Carbon can create various low-dimensional nanostructures with remarkable electronic, optical, mechanical and thermal properties. These features make carbon nanomaterials especially interesting for next-generation memory and storage devices, such as resistive random access memory, phase-change memory, spin-transfer-torque magnetic random access memory and ferroelectric random access memory. Non-volatile memories greatly benefit from the use of carbon nanomaterials in terms of bit density and energy efficiency. In this Review, we discuss sp2-hybridized carbon-based low-dimensional nanostructures, such as fullerene, carbon nanotubes and graphene, in the context of non-volatile memory devices and architectures. Applications of carbon nanomaterials as memory electrodes, interfacial engineering layers, resistive-switching media, and scalable, high-performance memory selectors are investigated. Finally, we compare the different memory technologies in terms of writing energy and time, and highlight major challenges in the manufacturing, integration and understanding of the physical mechanisms and material properties.

  6. A semi-floating gate memory based on van der Waals heterostructures for quasi-non-volatile applications.

    Science.gov (United States)

    Liu, Chunsen; Yan, Xiao; Song, Xiongfei; Ding, Shijin; Zhang, David Wei; Zhou, Peng

    2018-04-09

    As conventional circuits based on field-effect transistors are approaching their physical limits due to quantum phenomena, semi-floating gate transistors have emerged as an alternative ultrafast and silicon-compatible technology. Here, we show a quasi-non-volatile memory featuring a semi-floating gate architecture with band-engineered van der Waals heterostructures. This two-dimensional semi-floating gate memory demonstrates 156 times longer refresh time with respect to that of dynamic random access memory and ultrahigh-speed writing operations on nanosecond timescales. The semi-floating gate architecture greatly enhances the writing operation performance and is approximately 10 6 times faster than other memories based on two-dimensional materials. The demonstrated characteristics suggest that the quasi-non-volatile memory has the potential to bridge the gap between volatile and non-volatile memory technologies and decrease the power consumption required for frequent refresh operations, enabling a high-speed and low-power random access memory.

  7. A room-temperature non-volatile CNT-based molecular memory cell

    Science.gov (United States)

    Ye, Senbin; Jing, Qingshen; Han, Ray P. S.

    2013-04-01

    Recent experiments with a carbon nanotube (CNT) system confirmed that the innertube can oscillate back-and-forth even under a room-temperature excitation. This demonstration of relative motion suggests that it is now feasible to build a CNT-based molecular memory cell (MC), and the key to bring the concept to reality is the precision control of the moving tube for sustained and reliable read/write (RW) operations. Here, we show that by using a 2-section outertube design, we are able to suitably recalibrate the system energetics and obtain the designed performance characteristics of a MC. Further, the resulting energy modification enables the MC to operate as a non-volatile memory element at room temperatures. Our paper explores a fundamental understanding of a MC and its response at the molecular level to roadmap a novel approach in memory technologies that can be harnessed to overcome the miniaturization limit and memory volatility in memory technologies.

  8. Active non-volatile memory post-processing

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Sudarsun; Milojicic, Dejan S.; Talwar, Vanish

    2017-04-11

    A computing node includes an active Non-Volatile Random Access Memory (NVRAM) component which includes memory and a sub-processor component. The memory is to store data chunks received from a processor core, the data chunks comprising metadata indicating a type of post-processing to be performed on data within the data chunks. The sub-processor component is to perform post-processing of said data chunks based on said metadata.

  9. Organic non-volatile memories from ferroelectric phase separated blends

    Science.gov (United States)

    Asadi, Kamal; de Leeuw, Dago; de Boer, Bert; Blom, Paul

    2009-03-01

    Ferroelectric polarisation is an attractive physical property for non-volatile binary switching. The functionality of the targeted memory should be based on resistive switching. Conductivity and ferroelectricity however cannot be tuned independently. The challenge is to develop a storage medium in which the favourable properties of ferroelectrics such as bistability and non-volatility can be combined with the beneficial properties provided by semiconductors such as conductivity and rectification. In this contribution we present an integrated solution by blending semiconducting and ferroelectric polymers into phase separated networks. The polarisation field of the ferroelectric modulates the injection barrier at the semiconductor--metal contact. This combination allows for solution-processed non-volatile memory arrays with a simple cross-bar architecture that can be read-out non-destructively. Based on this general concept a non-volatile, reversible switchable Schottky diode with relatively fast programming time of shorter than 100 microseconds, long information retention time of longer than 10^ days, and high programming cycle endurance with non-destructive read-out is demonstrated.

  10. Method for refreshing a non-volatile memory

    Science.gov (United States)

    Riekels, James E.; Schlesinger, Samuel

    2008-11-04

    A non-volatile memory and a method of refreshing a memory are described. The method includes allowing an external system to control refreshing operations within the memory. The memory may generate a refresh request signal and transmit the refresh request signal to the external system. When the external system finds an available time to process the refresh request, the external system acknowledges the refresh request and transmits a refresh acknowledge signal to the memory. The memory may also comprise a page register for reading and rewriting a data state back to the memory. The page register may comprise latches in lieu of supplemental non-volatile storage elements, thereby conserving real estate within the memory.

  11. Organic non-volatile memories from ferroelectric phase-separated blends

    Science.gov (United States)

    Asadi, Kamal; de Leeuw, Dago M.; de Boer, Bert; Blom, Paul W. M.

    2008-07-01

    New non-volatile memories are being investigated to keep up with the organic-electronics road map. Ferroelectric polarization is an attractive physical property as the mechanism for non-volatile switching, because the two polarizations can be used as two binary levels. However, in ferroelectric capacitors the read-out of the polarization charge is destructive. The functionality of the targeted memory should be based on resistive switching. In inorganic ferroelectrics conductivity and ferroelectricity cannot be tuned independently. The challenge is to develop a storage medium in which the favourable properties of ferroelectrics such as bistability and non-volatility can be combined with the beneficial properties provided by semiconductors such as conductivity and rectification. Here we present an integrated solution by blending semiconducting and ferroelectric polymers into phase-separated networks. The polarization field of the ferroelectric modulates the injection barrier at the semiconductor-metal contact. The combination of ferroelectric bistability with (semi)conductivity and rectification allows for solution-processed non-volatile memory arrays with a simple cross-bar architecture that can be read out non-destructively. The concept of an electrically tunable injection barrier as presented here is general and can be applied to other electronic devices such as light-emitting diodes with an integrated on/off switch.

  12. Overview of one transistor type of hybrid organic ferroelectric non-volatile memory

    Institute of Scientific and Technical Information of China (English)

    Young; Tea; Chun; Daping; Chu

    2015-01-01

    Organic ferroelectric memory devices based on field effect transistors that can be configured between two stable states of on and off have been widely researched as the next generation data storage media in recent years.This emerging type of memory devices can lead to a new instrument system as a potential alternative to previous non-volatile memory building blocks in future processing units because of their numerous merits such as cost-effective process,simple structure and freedom in substrate choices.This bi-stable non-volatile memory device of information storage has been investigated using several organic or inorganic semiconductors with organic ferroelectric polymer materials.Recent progresses in this ferroelectric memory field,hybrid system have attracted a lot of attention due to their excellent device performance in comparison with that of all organic systems.In this paper,a general review of this type of ferroelectric non-volatile memory is provided,which include the device structure,organic ferroelectric materials,electrical characteristics and working principles.We also present some snapshots of our previous study on hybrid ferroelectric memories including our recent work based on zinc oxide nanowire channels.

  13. Channel equalization techniques for non-volatile memristor memories

    KAUST Repository

    Naous, Rawan

    2016-03-16

    Channel coding and information theoretic approaches have been utilized in conventional non-volatile memories to overcome their inherent design limitations of leakage, coupling and refresh rates. However, the continuous scaling and integration constraints set on the current devices directed the attention towards emerging memory technologies as suitable alternatives. Memristive devices are prominent candidates to replace the conventional electronics due to its non-volatility and small feature size. Nonetheless, memristor-based memories still encounter an accuracy limitation throughout the read operation addressed as the sneak path phenomenon. The readout data is corrupted with added distortion that increases significantly the bit error rate and jeopardizes the reliability of the read operation. A novel technique is applied to alleviate this distorting effect where the communication channel model is proposed for the memory array. Noise cancellation principles are applied with the aid of preset pilots to extract channel information and adjust the readout values accordingly. The proposed technique has the virtue of high speed, energy efficiency, and low complexity design while achieving high reliability and error-free decoding.

  14. Channel equalization techniques for non-volatile memristor memories

    KAUST Repository

    Naous, Rawan; Zidan, Mohammed A.; Salem, Ahmed Sultan; Salama, Khaled N.

    2016-01-01

    Channel coding and information theoretic approaches have been utilized in conventional non-volatile memories to overcome their inherent design limitations of leakage, coupling and refresh rates. However, the continuous scaling and integration constraints set on the current devices directed the attention towards emerging memory technologies as suitable alternatives. Memristive devices are prominent candidates to replace the conventional electronics due to its non-volatility and small feature size. Nonetheless, memristor-based memories still encounter an accuracy limitation throughout the read operation addressed as the sneak path phenomenon. The readout data is corrupted with added distortion that increases significantly the bit error rate and jeopardizes the reliability of the read operation. A novel technique is applied to alleviate this distorting effect where the communication channel model is proposed for the memory array. Noise cancellation principles are applied with the aid of preset pilots to extract channel information and adjust the readout values accordingly. The proposed technique has the virtue of high speed, energy efficiency, and low complexity design while achieving high reliability and error-free decoding.

  15. A graphene-based non-volatile memory

    Science.gov (United States)

    Loisel, Loïc.; Maurice, Ange; Lebental, Bérengère; Vezzoli, Stefano; Cojocaru, Costel-Sorin; Tay, Beng Kang

    2015-09-01

    We report on the development and characterization of a simple two-terminal non-volatile graphene switch. After an initial electroforming step during which Joule heating leads to the formation of a nano-gap impeding the current flow, the devices can be switched reversibly between two well-separated resistance states. To do so, either voltage sweeps or pulses can be used, with the condition that VSET achieve reversible switching on more than 100 cycles with resistance ratio values of 104. This approach of graphene memory is competitive as compared to other graphene approaches such as redox of graphene oxide, or electro-mechanical switches with suspended graphene. We suggest a switching model based on a planar electro-mechanical switch, whereby electrostatic, elastic and friction forces are competing to switch devices ON and OFF, and the stability in the ON state is achieved by the formation of covalent bonds between the two stretched sides of the graphene, hence bridging the nano-gap. Developing a planar electro-mechanical switch enables to obtain the advantages of electro-mechanical switches while avoiding most of their drawbacks.

  16. Physical principles and current status of emerging non-volatile solid state memories

    Science.gov (United States)

    Wang, L.; Yang, C.-H.; Wen, J.

    2015-07-01

    Today the influence of non-volatile solid-state memories on persons' lives has become more prominent because of their non-volatility, low data latency, and high robustness. As a pioneering technology that is representative of non-volatile solidstate memories, flash memory has recently seen widespread application in many areas ranging from electronic appliances, such as cell phones and digital cameras, to external storage devices such as universal serial bus (USB) memory. Moreover, owing to its large storage capacity, it is expected that in the near future, flash memory will replace hard-disk drives as a dominant technology in the mass storage market, especially because of recently emerging solid-state drives. However, the rapid growth of the global digital data has led to the need for flash memories to have larger storage capacity, thus requiring a further downscaling of the cell size. Such a miniaturization is expected to be extremely difficult because of the well-known scaling limit of flash memories. It is therefore necessary to either explore innovative technologies that can extend the areal density of flash memories beyond the scaling limits, or to vigorously develop alternative non-volatile solid-state memories including ferroelectric random-access memory, magnetoresistive random-access memory, phase-change random-access memory, and resistive random-access memory. In this paper, we review the physical principles of flash memories and their technical challenges that affect our ability to enhance the storage capacity. We then present a detailed discussion of novel technologies that can extend the storage density of flash memories beyond the commonly accepted limits. In each case, we subsequently discuss the physical principles of these new types of non-volatile solid-state memories as well as their respective merits and weakness when utilized for data storage applications. Finally, we predict the future prospects for the aforementioned solid-state memories for

  17. A review of emerging non-volatile memory (NVM) technologies and applications

    Science.gov (United States)

    Chen, An

    2016-11-01

    This paper will review emerging non-volatile memory (NVM) technologies, with the focus on phase change memory (PCM), spin-transfer-torque random-access-memory (STTRAM), resistive random-access-memory (RRAM), and ferroelectric field-effect-transistor (FeFET) memory. These promising NVM devices are evaluated in terms of their advantages, challenges, and applications. Their performance is compared based on reported parameters of major industrial test chips. Memory selector devices and cell structures are discussed. Changing market trends toward low power (e.g., mobile, IoT) and data-centric applications create opportunities for emerging NVMs. High-performance and low-cost emerging NVMs may simplify memory hierarchy, introduce non-volatility in logic gates and circuits, reduce system power, and enable novel architectures. Storage-class memory (SCM) based on high-density NVMs could fill the performance and density gap between memory and storage. Some unique characteristics of emerging NVMs can be utilized for novel applications beyond the memory space, e.g., neuromorphic computing, hardware security, etc. In the beyond-CMOS era, emerging NVMs have the potential to fulfill more important functions and enable more efficient, intelligent, and secure computing systems.

  18. Origami-based tunable truss structures for non-volatile mechanical memory operation.

    Science.gov (United States)

    Yasuda, Hiromi; Tachi, Tomohiro; Lee, Mia; Yang, Jinkyu

    2017-10-17

    Origami has recently received significant interest from the scientific community as a method for designing building blocks to construct metamaterials. However, the primary focus has been placed on their kinematic applications by leveraging the compactness and auxeticity of planar origami platforms. Here, we present volumetric origami cells-specifically triangulated cylindrical origami (TCO)-with tunable stability and stiffness, and demonstrate their feasibility as non-volatile mechanical memory storage devices. We show that a pair of TCO cells can develop a double-well potential to store bit information. What makes this origami-based approach more appealing is the realization of two-bit mechanical memory, in which two pairs of TCO cells are interconnected and one pair acts as a control for the other pair. By assembling TCO-based truss structures, we experimentally verify the tunable nature of the TCO units and demonstrate the operation of purely mechanical one- and two-bit memory storage prototypes.Origami is a popular method to design building blocks for mechanical metamaterials. Here, the authors assemble a volumetric origami-based structure, predict its axial and rotational movements during folding, and demonstrate the operation of mechanical one- and two-bit memory storage.

  19. Future Trend of Non-Volatile Semiconductor Memory and Feasibility Study of BiCS Type Stacked Structure

    OpenAIRE

    渡辺, 重佳

    2009-01-01

    Future trend of non-volatile semiconductor memory—FeRAM, MRAM, PRAM, ReRAM—compared with NAND typeflash memory has been described based on its history, application and performance. In the realistic point of view,FeRAM and MRAM are suitable for embedded memory and main memory, and PRAM and ReRAM are promising candidatesfor main memory and mass-storage memory for multimedia. Furthermore, the feasibility study of aggressiveultra-low-cost high-speed universal non-volatile semiconductor memory has...

  20. Bioorganic nanodots for non-volatile memory devices

    International Nuclear Information System (INIS)

    Amdursky, Nadav; Shalev, Gil; Handelman, Amir; Natan, Amir; Rosenwaks, Yossi; Litsyn, Simon; Szwarcman, Daniel; Rosenman, Gil; Roizin, Yakov

    2013-01-01

    In recent years we are witnessing an intensive integration of bio-organic nanomaterials in electronic devices. Here we show that the diphenylalanine bio-molecule can self-assemble into tiny peptide nanodots (PNDs) of ∼2 nm size, and can be embedded into metal-oxide-semiconductor devices as charge storage nanounits in non-volatile memory. For that purpose, we first directly observe the crystallinity of a single PND by electron microscopy. We use these nanocrystalline PNDs units for the formation of a dense monolayer on SiO 2 surface, and study the electron/hole trapping mechanisms and charge retention ability of the monolayer, followed by fabrication of PND-based memory cell device

  1. Bioorganic nanodots for non-volatile memory devices

    Energy Technology Data Exchange (ETDEWEB)

    Amdursky, Nadav; Shalev, Gil; Handelman, Amir; Natan, Amir; Rosenwaks, Yossi [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); Litsyn, Simon; Szwarcman, Daniel; Rosenman, Gil, E-mail: rgil@post.tau.ac.il [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); StoreDot LTD, 16 Menahem Begin St., Ramat Gan (Israel); Roizin, Yakov [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); TowerJazz, P.O. Box 619, Migdal HaEmek 23105 (Israel)

    2013-12-01

    In recent years we are witnessing an intensive integration of bio-organic nanomaterials in electronic devices. Here we show that the diphenylalanine bio-molecule can self-assemble into tiny peptide nanodots (PNDs) of ∼2 nm size, and can be embedded into metal-oxide-semiconductor devices as charge storage nanounits in non-volatile memory. For that purpose, we first directly observe the crystallinity of a single PND by electron microscopy. We use these nanocrystalline PNDs units for the formation of a dense monolayer on SiO{sub 2} surface, and study the electron/hole trapping mechanisms and charge retention ability of the monolayer, followed by fabrication of PND-based memory cell device.

  2. Low-power non-volatile spintronic memory: STT-RAM and beyond

    International Nuclear Information System (INIS)

    Wang, K L; Alzate, J G; Khalili Amiri, P

    2013-01-01

    The quest for novel low-dissipation devices is one of the most critical for the future of semiconductor technology and nano-systems. The development of a low-power, universal memory will enable a new paradigm of non-volatile computation. Here we consider STT-RAM as one of the emerging candidates for low-power non-volatile memory. We show different configurations for STT memory and demonstrate strategies to optimize key performance parameters such as switching current and energy. The energy and scaling limits of STT-RAM are discussed, leading us to argue that alternative writing mechanisms may be required to achieve ultralow power dissipation, a necessary condition for direct integration with CMOS at the gate level for non-volatile logic purposes. As an example, we discuss the use of the giant spin Hall effect as a possible alternative to induce magnetization reversal in magnetic tunnel junctions using pure spin currents. Further, we concentrate on magnetoelectric effects, where electric fields are used instead of spin-polarized currents to manipulate the nanomagnets, as another candidate solution to address the challenges of energy efficiency and density. The possibility of an electric-field-controlled magnetoelectric RAM as a promising candidate for ultralow-power non-volatile memory is discussed in the light of experimental data demonstrating voltage-induced switching of the magnetization and reorientation of the magnetic easy axis by electric fields in nanomagnets. (paper)

  3. Metal-organic molecular device for non-volatile memory storage

    International Nuclear Information System (INIS)

    Radha, B.; Sagade, Abhay A.; Kulkarni, G. U.

    2014-01-01

    Non-volatile memory devices have been of immense research interest for their use in active memory storage in powered off-state of electronic chips. In literature, various molecules and metal compounds have been investigated in this regard. Molecular memory devices are particularly attractive as they offer the ease of storing multiple memory states in a unique way and also represent ubiquitous choice for miniaturized devices. However, molecules are fragile and thus the device breakdown at nominal voltages during repeated cycles hinders their practical applicability. Here, in this report, a synergetic combination of an organic molecule and an inorganic metal, i.e., a metal-organic complex, namely, palladium hexadecylthiolate is investigated for memory device characteristics. Palladium hexadecylthiolate following partial thermolysis is converted to a molecular nanocomposite of Pd(II), Pd(0), and long chain hydrocarbons, which is shown to exhibit non-volatile memory characteristics with exceptional stability and retention. The devices are all solution-processed and the memory action stems from filament formation across the pre-formed cracks in the nanocomposite film.

  4. Design exploration of emerging nano-scale non-volatile memory

    CERN Document Server

    Yu, Hao

    2014-01-01

    This book presents the latest techniques for characterization, modeling and design for nano-scale non-volatile memory (NVM) devices.  Coverage focuses on fundamental NVM device fabrication and characterization, internal state identification of memristic dynamics with physics modeling, NVM circuit design, and hybrid NVM memory system design-space optimization. The authors discuss design methodologies for nano-scale NVM devices from a circuits/systems perspective, including the general foundations for the fundamental memristic dynamics in NVM devices.  Coverage includes physical modeling, as well as the development of a platform to explore novel hybrid CMOS and NVM circuit and system design.   • Offers readers a systematic and comprehensive treatment of emerging nano-scale non-volatile memory (NVM) devices; • Focuses on the internal state of NVM memristic dynamics, novel NVM readout and memory cell circuit design, and hybrid NVM memory system optimization; • Provides both theoretical analysis and pr...

  5. Role of Non-Volatile Memories in Automotive and IoT Markets

    Science.gov (United States)

    2017-03-01

    Standard Manufacturing Supply Long Term Short to Medium Term Density Up to 16MB Up to 2MB IO Configuration Up to x128 Up to x32 Design for Test...Role of Non-Volatile Memories in Automotive and IoT Markets Vipin Tiwari Director, Business Development and Product Marketing SST – A Wholly Own...microcontrollers (MCU) and certainly one of the most challenging elements to master. This paper addresses the role of non-volatile memories for

  6. Multi-floor cascading ferroelectric nanostructures: multiple data writing-based multi-level non-volatile memory devices

    Science.gov (United States)

    Hyun, Seung; Kwon, Owoong; Lee, Bom-Yi; Seol, Daehee; Park, Beomjin; Lee, Jae Yong; Lee, Ju Hyun; Kim, Yunseok; Kim, Jin Kon

    2016-01-01

    Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process.Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr07377d

  7. High-performance non-volatile organic ferroelectric memory on banknotes

    KAUST Repository

    Khan, Yasser; Bhansali, Unnat Sampatraj; Alshareef, Husam N.

    2012-01-01

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage

  8. ZnO as dielectric for optically transparent non-volatile memory

    International Nuclear Information System (INIS)

    Salim, N. Tjitra; Aw, K.C.; Gao, W.; Wright, Bryon E.

    2009-01-01

    This paper discusses the application of a DC sputtered ZnO thin film as a dielectric in an optically transparent non-volatile memory. The main motivation for using ZnO as a dielectric is due to its optical transparency and mechanical flexibility. We have established the relationship between the electrical resistivity (ρ) and the activation energy (E a ) of the electron transport in the conduction band of the ZnO film. The ρ of 2 x 10 4 -5 x 10 7 Ω-cm corresponds to E a of 0.36-0.76 eV, respectively. The k-value and optical band-gap for films sputtered with Ar:O 2 ratio of 4:1 are 53 ± 3.6 and 3.23 eV, respectively. In this paper, the basic charge storage element for a non-volatile memory is a triple layer dielectric structure in which a 50 nm thick ZnO film is sandwiched between two layers of methyl silsesquioxane sol-gel dielectric of varying thickness. A pronounced clockwise capacitance-voltage (C-V) hysteresis was observed with a memory window of 6 V. The integration with a solution-processable pentacene, 13,6-N-Sulfinylacetamodipentacene resulted in an optically transparent organic field effect transistor non-volatile memory (OFET-NVM). We have demonstrated that this OFET-NVM can be electrically programmed and erased at low voltage (± 10 V) with a threshold voltage shift of 4.0 V.

  9. Non-volatile main memory management methods based on a file system.

    Science.gov (United States)

    Oikawa, Shuichi

    2014-01-01

    There are upcoming non-volatile (NV) memory technologies that provide byte addressability and high performance. PCM, MRAM, and STT-RAM are such examples. Such NV memory can be used as storage because of its data persistency without power supply while it can be used as main memory because of its high performance that matches up with DRAM. There are a number of researches that investigated its uses for main memory and storage. They were, however, conducted independently. This paper presents the methods that enables the integration of the main memory and file system management for NV memory. Such integration makes NV memory simultaneously utilized as both main memory and storage. The presented methods use a file system as their basis for the NV memory management. We implemented the proposed methods in the Linux kernel, and performed the evaluation on the QEMU system emulator. The evaluation results show that 1) the proposed methods can perform comparably to the existing DRAM memory allocator and significantly better than the page swapping, 2) their performance is affected by the internal data structures of a file system, and 3) the data structures appropriate for traditional hard disk drives do not always work effectively for byte addressable NV memory. We also performed the evaluation of the effects caused by the longer access latency of NV memory by cycle-accurate full-system simulation. The results show that the effect on page allocation cost is limited if the increase of latency is moderate.

  10. Overview of radiation effects on emerging non-volatile memory technologies

    Directory of Open Access Journals (Sweden)

    Fetahović Irfan S.

    2017-01-01

    Full Text Available In this paper we give an overview of radiation effects in emergent, non-volatile memory technologies. Investigations into radiation hardness of resistive random access memory, ferroelectric random access memory, magneto-resistive random access memory, and phase change memory are presented in cases where these memory devices were subjected to different types of radiation. The obtained results proved high radiation tolerance of studied devices making them good candidates for application in radiation-intensive environments. [Project of the Serbian Ministry of Education, Science and Technological Development, Grant no. 171007

  11. Highly Stretchable Non-volatile Nylon Thread Memory

    Science.gov (United States)

    Kang, Ting-Kuo

    2016-04-01

    Integration of electronic elements into textiles, to afford e-textiles, can provide an ideal platform for the development of lightweight, thin, flexible, and stretchable e-textiles. This approach will enable us to meet the demands of the rapidly growing market of wearable-electronics on arbitrary non-conventional substrates. However the actual integration of the e-textiles that undergo mechanical deformations during both assembly and daily wear or satisfy the requirements of the low-end applications, remains a challenge. Resistive memory elements can also be fabricated onto a nylon thread (NT) for e-textile applications. In this study, a simple dip-and-dry process using graphene-PEDOT:PSS (poly(3,4-ethylenedioxythiophene) polystyrene sulfonate) ink is proposed for the fabrication of a highly stretchable non-volatile NT memory. The NT memory appears to have typical write-once-read-many-times characteristics. The results show that an ON/OFF ratio of approximately 103 is maintained for a retention time of 106 s. Furthermore, a highly stretchable strain and a long-term digital-storage capability of the ON-OFF-ON states are demonstrated in the NT memory. The actual integration of the knitted NT memories into textiles will enable new design possibilities for low-cost and large-area e-textile memory applications.

  12. High-Speed Non-Volatile Optical Memory: Achievements and Challenges

    Directory of Open Access Journals (Sweden)

    Vadym Zayets

    2017-01-01

    Full Text Available We have proposed, fabricated, and studied a new design of a high-speed optical non-volatile memory. The recoding mechanism of the proposed memory utilizes a magnetization reversal of a nanomagnet by a spin-polarized photocurrent. It was shown experimentally that the operational speed of this memory may be extremely fast above 1 TBit/s. The challenges to realize both a high-speed recording and a high-speed reading are discussed. The memory is compact, integratable, and compatible with present semiconductor technology. If realized, it will advance data processing and computing technology towards a faster operation speed.

  13. High-performance non-volatile organic ferroelectric memory on banknotes

    KAUST Repository

    Khan, Yasser

    2012-03-21

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage, high mobility, and long retention times. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. High-performance non-volatile organic ferroelectric memory on banknotes.

    Science.gov (United States)

    Khan, M A; Bhansali, Unnat S; Alshareef, H N

    2012-04-24

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage, high mobility, and long retention times. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Microwave oven fabricated hybrid memristor devices for non-volatile memory storage

    International Nuclear Information System (INIS)

    Verrelli, E; Gray, R J; O’Neill, M; Kemp, N T; Kelly, S M

    2014-01-01

    Novel hybrid non-volatile memories made using an ultra-fast microwave heating method are reported for the first time. The devices, consisting of aligned ZnO nanorods embedded in poly (methyl methacrylate), require no forming step and exhibit reliable and reproducible bipolar resistive switching at low voltages and with low power usage. We attribute these properties to a combination of the high aspect ratio of the nanorods and the polymeric hybrid structure of the device. The extremely easy, fast and low-cost solution based method of fabrication makes possible the simple and quick production of cheap memory cells. (paper)

  16. Non-volatile memory devices with redox-active diruthenium molecular compound

    International Nuclear Information System (INIS)

    Pookpanratana, S; Zhu, H; Bittle, E G; Richter, C A; Li, Q; Hacker, C A; Natoli, S N; Ren, T

    2016-01-01

    Reduction-oxidation (redox) active molecules hold potential for memory devices due to their many unique properties. We report the use of a novel diruthenium-based redox molecule incorporated into a non-volatile Flash-based memory device architecture. The memory capacitor device structure consists of a Pd/Al 2 O 3 /molecule/SiO 2 /Si structure. The bulky ruthenium redox molecule is attached to the surface by using a ‘click’ reaction and the monolayer structure is characterized by x-ray photoelectron spectroscopy to verify the Ru attachment and molecular density. The ‘click’ reaction is particularly advantageous for memory applications because of (1) ease of chemical design and synthesis, and (2) provides an additional spatial barrier between the oxide/silicon to the diruthenium molecule. Ultraviolet photoelectron spectroscopy data identified the energy of the electronic levels of the surface before and after surface modification. The molecular memory devices display an unsaturated charge storage window attributed to the intrinsic properties of the redox-active molecule. Our findings demonstrate the strengths and challenges with integrating molecular layers within solid-state devices, which will influence the future design of molecular memory devices. (paper)

  17. Use of non-volatile memories for SSC detector readout

    International Nuclear Information System (INIS)

    Fennelly, A.J.; Woosley, J.K.; Johnson, M.B.

    1990-01-01

    Use of non-volatile memory units at the end of each fiber optic bunch/strand would substantially increase information available from experiments by providing a complete event history, in addition to easing real time processing requirements. This may be an alternative to enhancing technology to optical computing techniques. Available and low-risk projected technologies will be surveyed, with costing addressed. Some discussion will be given to covnersion of optical signals, to electronic information, concepts for providing timing pulses to the memory units, and to the magnetoresistive (MRAM) and ferroelectric (FERAM) random access memory technologies that may be utilized in the prototype system

  18. Non-volatile flash memory with discrete bionanodot floating gate assembled by protein template

    International Nuclear Information System (INIS)

    Miura, Atsushi; Yamashita, Ichiro; Uraoka, Yukiharu; Fuyuki, Takashi; Tsukamoto, Rikako; Yoshii, Shigeo

    2008-01-01

    We demonstrated non-volatile flash memory fabrication by utilizing uniformly sized cobalt oxide (Co 3 O 4 ) bionanodot (Co-BND) architecture assembled by a cage-shaped supramolecular protein template. A fabricated high-density Co-BND array was buried in a metal-oxide-semiconductor field-effect-transistor (MOSFET) structure to use as the charge storage node of a floating nanodot gate memory. We observed a clockwise hysteresis in the drain current-gate voltage characteristics of fabricated BND-embedded MOSFETs. Observed hysteresis obviously indicates a memory operation of Co-BND-embedded MOSFETs due to the charge confinement in the embedded BND and successful functioning of embedded BNDs as the charge storage nodes of the non-volatile flash memory. Fabricated Co-BND-embedded MOSFETs showed good memory properties such as wide memory windows, long charge retention and high tolerance to repeated write/erase operations. A new pathway for device fabrication by utilizing the versatile functionality of biomolecules is presented

  19. Rad Hard Non Volatile Memory for FPGA BootLoading, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Radiation-hardened non volatile memory (NVM) is needed to store the golden copy of the image(s) has not kept pace with the advances in FPGAs. Consider that a single...

  20. A Survey of Soft-Error Mitigation Techniques for Non-Volatile Memories

    Directory of Open Access Journals (Sweden)

    Sparsh Mittal

    2017-02-01

    Full Text Available Non-volatile memories (NVMs offer superior density and energy characteristics compared to the conventional memories; however, NVMs suffer from severe reliability issues that can easily eclipse their energy efficiency advantages. In this paper, we survey architectural techniques for improving the soft-error reliability of NVMs, specifically PCM (phase change memory and STT-RAM (spin transfer torque RAM. We focus on soft-errors, such as resistance drift and write disturbance, in PCM and read disturbance and write failures in STT-RAM. By classifying the research works based on key parameters, we highlight their similarities and distinctions. We hope that this survey will underline the crucial importance of addressing NVM reliability for ensuring their system integration and will be useful for researchers, computer architects and processor designers.

  1. The memory of volatility

    Directory of Open Access Journals (Sweden)

    Kai R. Wenger

    2018-03-01

    Full Text Available The focus of the volatility literature on forecasting and the predominance of theconceptually simpler HAR model over long memory stochastic volatility models has led to the factthat the actual degree of memory estimates has rarely been considered. Estimates in the literaturerange roughly between 0.4 and 0.6 - that is from the higher stationary to the lower non-stationaryregion. This difference, however, has important practical implications - such as the existence or nonexistenceof the fourth moment of the return distribution. Inference on the memory order is complicatedby the presence of measurement error in realized volatility and the potential of spurious long memory.In this paper we provide a comprehensive analysis of the memory in variances of international stockindices and exchange rates. On the one hand, we find that the variance of exchange rates is subject tospurious long memory and the true memory parameter is in the higher stationary range. Stock indexvariances, on the other hand, are free of low frequency contaminations and the memory is in the lowernon-stationary range. These results are obtained using state of the art local Whittle methods that allowconsistent estimation in presence of perturbations or low frequency contaminations.

  2. Different importance of the volatile and non-volatile fractions of an olfactory signature for individual social recognition in rats versus mice and short-term versus long-term memory.

    Science.gov (United States)

    Noack, Julia; Richter, Karin; Laube, Gregor; Haghgoo, Hojjat Allah; Veh, Rüdiger W; Engelmann, Mario

    2010-11-01

    When tested in the olfactory cued social recognition/discrimination test, rats and mice differ in their retention of a recognition memory for a previously encountered conspecific juvenile: Rats are able to recognize a given juvenile for approximately 45 min only whereas mice show not only short-term, but also long-term recognition memory (≥ 24 h). Here we modified the social recognition/social discrimination procedure to investigate the neurobiological mechanism(s) underlying the species differences. We presented a conspecific juvenile repeatedly to the experimental subjects and monitored the investigation duration as a measure for recognition. Presentation of only the volatile fraction of the juvenile olfactory signature was sufficient for both short- and long-term recognition in mice but not rats. Applying additional volatile, mono-molecular odours to the "to be recognized" juveniles failed to affect short-term memory in both species, but interfered with long-term recognition in mice. Finally immunocytochemical analysis of c-Fos as a marker for cellular activation, revealed that juvenile exposure stimulated areas involved in the processing of olfactory signals in both the main and the accessory olfactory bulb in mice. In rats, we measured an increased c-Fos synthesis almost exclusively in cells of the accessory olfactory bulb. Our data suggest that the species difference in the retention of social recognition memory is based on differences in the processing of the volatile versus non-volatile fraction of the individuals' olfactory signature. The non-volatile fraction is sufficient for retaining a short-term social memory only. Long-term social memory - as observed in mice - requires a processing of both the volatile and non-volatile fractions of the olfactory signature. Copyright © 2010 Elsevier Inc. All rights reserved.

  3. Scalable printed electronics: an organic decoder addressing ferroelectric non-volatile memory

    Science.gov (United States)

    Ng, Tse Nga; Schwartz, David E.; Lavery, Leah L.; Whiting, Gregory L.; Russo, Beverly; Krusor, Brent; Veres, Janos; Bröms, Per; Herlogsson, Lars; Alam, Naveed; Hagel, Olle; Nilsson, Jakob; Karlsson, Christer

    2012-01-01

    Scalable circuits of organic logic and memory are realized using all-additive printing processes. A 3-bit organic complementary decoder is fabricated and used to read and write non-volatile, rewritable ferroelectric memory. The decoder-memory array is patterned by inkjet and gravure printing on flexible plastics. Simulation models for the organic transistors are developed, enabling circuit designs tolerant of the variations in printed devices. We explain the key design rules in fabrication of complex printed circuits and elucidate the performance requirements of materials and devices for reliable organic digital logic. PMID:22900143

  4. High performance non-volatile ferroelectric copolymer memory based on a ZnO nanowire transistor fabricated on a transparent substrate

    International Nuclear Information System (INIS)

    Nedic, Stanko; Welland, Mark; Tea Chun, Young; Chu, Daping; Hong, Woong-Ki

    2014-01-01

    A high performance ferroelectric non-volatile memory device based on a top-gate ZnO nanowire (NW) transistor fabricated on a glass substrate is demonstrated. The ZnO NW channel was spin-coated with a poly (vinylidenefluoride-co-trifluoroethylene) (P(VDF-TrFE)) layer acting as a top-gate dielectric without buffer layer. Electrical conductance modulation and memory hysteresis are achieved by a gate electric field induced reversible electrical polarization switching of the P(VDF-TrFE) thin film. Furthermore, the fabricated device exhibits a memory window of ∼16.5 V, a high drain current on/off ratio of ∼10 5 , a gate leakage current below ∼300 pA, and excellent retention characteristics for over 10 4 s

  5. Low-temperature process steps for realization of non-volatile memory devices

    NARCIS (Netherlands)

    Brunets, I.; Boogaard, A.; Aarnink, Antonius A.I.; Kovalgin, Alexeij Y.; Wolters, Robertus A.M.; Holleman, J.; Schmitz, Jurriaan

    2007-01-01

    In this work, the low-temperature process steps required for the realization of nano-crystal non-volatile memory cells are discussed. An amorphous silicon film, crystallized using a diode pumped solid state green laser irradiating at 532 nm, is proposed as an active layer. The deposition of the

  6. Technology breakthroughs in high performance metal-oxide-semiconductor devices for ultra-high density, low power non-volatile memory applications

    Science.gov (United States)

    Hong, Augustin Jinwoo

    Non-volatile memory devices have attracted much attention because data can be retained without power consumption more than a decade. Therefore, non-volatile memory devices are essential to mobile electronic applications. Among state of the art non-volatile memory devices, NAND flash memory has earned the highest attention because of its ultra-high scalability and therefore its ultra-high storage capacity. However, human desire as well as market competition requires not only larger storage capacity but also lower power consumption for longer battery life time. One way to meet this human desire and extend the benefits of NAND flash memory is finding out new materials for storage layer inside the flash memory, which is called floating gate in the state of the art flash memory device. In this dissertation, we study new materials for the floating gate that can lower down the power consumption and increase the storage capacity at the same time. To this end, we employ various materials such as metal nanodot, metal thin film and graphene incorporating complementary-metal-oxide-semiconductor (CMOS) compatible processes. Experimental results show excellent memory effects at relatively low operating voltages. Detailed physics and analysis on experimental results are discussed. These new materials for data storage can be promising candidates for future non-volatile memory application beyond the state of the art flash technologies.

  7. Models for Total-Dose Radiation Effects in Non-Volatile Memory

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, Philip Montgomery; Wix, Steven D.

    2017-04-01

    The objective of this work is to develop models to predict radiation effects in non- volatile memory: flash memory and ferroelectric RAM. In flash memory experiments have found that the internal high-voltage generators (charge pumps) are the most sensitive to radiation damage. Models are presented for radiation effects in charge pumps that demonstrate the experimental results. Floating gate models are developed for the memory cell in two types of flash memory devices by Intel and Samsung. These models utilize Fowler-Nordheim tunneling and hot electron injection to charge and erase the floating gate. Erase times are calculated from the models and compared with experimental results for different radiation doses. FRAM is less sensitive to radiation than flash memory, but measurements show that above 100 Krad FRAM suffers from a large increase in leakage current. A model for this effect is developed which compares closely with the measurements.

  8. Highly conducting leakage-free electrolyte for SrCoOx-based non-volatile memory device

    Science.gov (United States)

    Katase, Takayoshi; Suzuki, Yuki; Ohta, Hiromichi

    2017-10-01

    The electrochemical switching of SrCoOx-based non-volatile memory with a thin-film-transistor structure was examined by using liquid-leakage-free electrolytes with different conductivities (σ) as the gate insulator. We first examined leakage-free water, which is incorporated in the amorphous (a-) 12CaO.7Al2O3 film with a nanoporous structure (Calcium Aluminate with Nanopore), but the electrochemical oxidation/reduction of the SrCoOx layer required the application of a high gate voltage (Vg) up to 20 V for a very long current-flowing-time (t) ˜40 min, primarily due to the low σ [2.0 × 10-8 S cm-1 at room temperature (RT)] of leakage-free water. We then controlled the σ of the leakage-free electrolyte, infiltrated in the a-NaxTaO3 film with a nanopillar array structure, from 8.0 × 10-8 S cm-1 to 2.5 × 10-6 S cm-1 at RT by changing the x = 0.01-1.0. As the result, the t, required for the metallization of the SrCoOx layer under small Vg = -3 V, becomes two orders of magnitude shorter with increase of the σ of the a-NaxTaO3 leakage-free electrolyte. These results indicate that the ion migration in the leakage-free electrolyte is the rate-determining step for the electrochemical switching, compared to the other electrochemical process, and the high σ of the leakage-free electrolyte is the key factor for the development of the non-volatile SrCoOx-based electro-magnetic phase switching device.

  9. Computing with volatile memristors: an application of non-pinched hysteresis

    Science.gov (United States)

    Pershin, Y. V.; Shevchenko, S. N.

    2017-02-01

    The possibility of in-memory computing with volatile memristive devices, namely, memristors requiring a power source to sustain their memory, is demonstrated theoretically. We have adopted a hysteretic graphene-based field emission structure as a prototype of a volatile memristor, which is characterized by a non-pinched hysteresis loop. A memristive model of the structure is developed and used to simulate a polymorphic circuit implementing stateful logic gates, such as the material implication. Specific regions of parameter space realizing useful logic functions are identified. Our results are applicable to other realizations of volatile memory devices, such as certain NEMS switches.

  10. A direct metal transfer method for cross-bar type polymer non-volatile memory applications

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Lee, Kyeongmi; Oh, Seung-Hwan; Wang, Gunuk; Kim, Dong-Yu; Jung, Gun-Young; Lee, Takhee

    2008-01-01

    Polymer non-volatile memory devices in 8 x 8 array cross-bar architecture were fabricated by a non-aqueous direct metal transfer (DMT) method using a two-step thermal treatment. Top electrodes with a linewidth of 2 μm were transferred onto the polymer layer by the DMT method. The switching behaviour of memory devices fabricated by the DMT method was very similar to that of devices fabricated by the conventional shadow mask method. The devices fabricated using the DMT method showed three orders of magnitude of on/off ratio with stable resistance switching, demonstrating that the DMT method can be a simple process to fabricate organic memory array devices

  11. A Compute Capable SSD Architecture for Next-Generation Non-volatile Memories

    Energy Technology Data Exchange (ETDEWEB)

    De, Arup [Univ. of California, San Diego, CA (United States)

    2014-01-01

    Existing storage technologies (e.g., disks and ash) are failing to cope with the processor and main memory speed and are limiting the overall perfor- mance of many large scale I/O or data-intensive applications. Emerging fast byte-addressable non-volatile memory (NVM) technologies, such as phase-change memory (PCM), spin-transfer torque memory (STTM) and memristor are very promising and are approaching DRAM-like performance with lower power con- sumption and higher density as process technology scales. These new memories are narrowing down the performance gap between the storage and the main mem- ory and are putting forward challenging problems on existing SSD architecture, I/O interface (e.g, SATA, PCIe) and software. This dissertation addresses those challenges and presents a novel SSD architecture called XSSD. XSSD o oads com- putation in storage to exploit fast NVMs and reduce the redundant data tra c across the I/O bus. XSSD o ers a exible RPC-based programming framework that developers can use for application development on SSD without dealing with the complication of the underlying architecture and communication management. We have built a prototype of XSSD on the BEE3 FPGA prototyping system. We implement various data-intensive applications and achieve speedup and energy ef- ciency of 1.5-8.9 and 1.7-10.27 respectively. This dissertation also compares XSSD with previous work on intelligent storage and intelligent memory. The existing ecosystem and these new enabling technologies make this system more viable than earlier ones.

  12. The origin of traps and the effect of nitrogen plasma in oxide-nitride-oxide structures for non-volatile memories

    International Nuclear Information System (INIS)

    Kim, W. S.; Kwak, D. W.; Oh, J. S.; Lee, D. W.; Cho, H. Y.

    2010-01-01

    Ultrathin oxide-nitride-oxide (ONO) dielectric stacked layers are fundamental structures of silicon-oxide-nitride-oxide-silicon (SONOS) non-volatile memory devices in which information is known to be stored as charges trapped in silicon nitride. Deep-level transient spectroscopy (DLTS) and a capacitance-voltage (CV) analysis were introduced to observe the trap behavior related to the memory effect in memory devices. The DLTS results verified that the nitride-related traps were a dominant factor in the memory effect. The energy of hole traps was 0.307 eV above the balance band. To improve the memory effects of the non-volatile memory devices with ONO structures, we introduced a nitrogen plasma treatment. After the N-plasma treatment, the flat-band voltage shift (ΔV FB ) was increased by about 1.5 times. The program and the erase (P-E) characteristics were also shown to be better than those for the as-ONO structure. In addition, the retention characteristics were improved by over 2.4 times.

  13. A hybrid magnetic/complementary metal oxide semiconductor three-context memory bit cell for non-volatile circuit design

    International Nuclear Information System (INIS)

    Jovanović, B.; Brum, R. M.; Torres, L.

    2014-01-01

    After decades of continued scaling to the beat of Moore's law, it now appears that conventional silicon based devices are approaching their physical limits. In today's deep-submicron nodes, a number of short-channel and quantum effects are emerging that affect the manufacturing process, as well as, the functionality of the microelectronic systems-on-chip. Spintronics devices that exploit both the intrinsic spin of the electron and its associated magnetic moment, in addition to its fundamental electronic charge, are promising solutions to circumvent these scaling threats. Being compatible with the CMOS technology, such devices offer a promising synergy of radiation immunity, infinite endurance, non-volatility, increased density, etc. In this paper, we present a hybrid (magnetic/CMOS) cell that is able to store and process data both electrically and magnetically. The cell is based on perpendicular spin-transfer torque magnetic tunnel junctions (STT-MTJs) and is suitable for use in magnetic random access memories and reprogrammable computing (non-volatile registers, processor cache memories, magnetic field-programmable gate arrays, etc). To demonstrate the potential our hybrid cell, we physically implemented a small hybrid memory block using 45 nm × 45 nm round MTJs for the magnetic part and 28 nm fully depleted silicon on insulator (FD-SOI) technology for the CMOS part. We also report the cells measured performances in terms of area, robustness, read/write speed and energy consumption

  14. A hybrid magnetic/complementary metal oxide semiconductor three-context memory bit cell for non-volatile circuit design

    Energy Technology Data Exchange (ETDEWEB)

    Jovanović, B., E-mail: bojan.jovanovic@lirmm.fr, E-mail: lionel.torres@lirmm.fr; Brum, R. M.; Torres, L. [LIRMM—University of Montpellier 2/UMR CNRS 5506, 161 Rue Ada, 34095 Montpellier (France)

    2014-04-07

    After decades of continued scaling to the beat of Moore's law, it now appears that conventional silicon based devices are approaching their physical limits. In today's deep-submicron nodes, a number of short-channel and quantum effects are emerging that affect the manufacturing process, as well as, the functionality of the microelectronic systems-on-chip. Spintronics devices that exploit both the intrinsic spin of the electron and its associated magnetic moment, in addition to its fundamental electronic charge, are promising solutions to circumvent these scaling threats. Being compatible with the CMOS technology, such devices offer a promising synergy of radiation immunity, infinite endurance, non-volatility, increased density, etc. In this paper, we present a hybrid (magnetic/CMOS) cell that is able to store and process data both electrically and magnetically. The cell is based on perpendicular spin-transfer torque magnetic tunnel junctions (STT-MTJs) and is suitable for use in magnetic random access memories and reprogrammable computing (non-volatile registers, processor cache memories, magnetic field-programmable gate arrays, etc). To demonstrate the potential our hybrid cell, we physically implemented a small hybrid memory block using 45 nm × 45 nm round MTJs for the magnetic part and 28 nm fully depleted silicon on insulator (FD-SOI) technology for the CMOS part. We also report the cells measured performances in terms of area, robustness, read/write speed and energy consumption.

  15. Phase-change materials for non-volatile memory devices: from technological challenges to materials science issues

    Science.gov (United States)

    Noé, Pierre; Vallée, Christophe; Hippert, Françoise; Fillot, Frédéric; Raty, Jean-Yves

    2018-01-01

    Chalcogenide phase-change materials (PCMs), such as Ge-Sb-Te alloys, have shown outstanding properties, which has led to their successful use for a long time in optical memories (DVDs) and, recently, in non-volatile resistive memories. The latter, known as PCM memories or phase-change random access memories (PCRAMs), are the most promising candidates among emerging non-volatile memory (NVM) technologies to replace the current FLASH memories at CMOS technology nodes under 28 nm. Chalcogenide PCMs exhibit fast and reversible phase transformations between crystalline and amorphous states with very different transport and optical properties leading to a unique set of features for PCRAMs, such as fast programming, good cyclability, high scalability, multi-level storage capability, and good data retention. Nevertheless, PCM memory technology has to overcome several challenges to definitively invade the NVM market. In this review paper, we examine the main technological challenges that PCM memory technology must face and we illustrate how new memory architecture, innovative deposition methods, and PCM composition optimization can contribute to further improvements of this technology. In particular, we examine how to lower the programming currents and increase data retention. Scaling down PCM memories for large-scale integration means the incorporation of the PCM into more and more confined structures and raises materials science issues in order to understand interface and size effects on crystallization. Other materials science issues are related to the stability and ageing of the amorphous state of PCMs. The stability of the amorphous phase, which determines data retention in memory devices, can be increased by doping the PCM. Ageing of the amorphous phase leads to a large increase of the resistivity with time (resistance drift), which has up to now hindered the development of ultra-high multi-level storage devices. A review of the current understanding of all these

  16. Resistive switching characteristics of polymer non-volatile memory devices in a scalable via-hole structure

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Choi, Hyejung; Oh, Seung-Hwan; Jo, Minseok; Wang, Gunuk; Cho, Byungjin; Kim, Dong-Yu; Hwang, Hyunsang; Lee, Takhee

    2009-01-01

    The resistive switching characteristics of polyfluorene-derivative polymer material in a sub-micron scale via-hole device structure were investigated. The scalable via-hole sub-microstructure was fabricated using an e-beam lithographic technique. The polymer non-volatile memory devices varied in size from 40 x 40 μm 2 to 200 x 200 nm 2 . From the scaling of junction size, the memory mechanism can be attributed to the space-charge-limited current with filamentary conduction. Sub-micron scale polymer memory devices showed excellent resistive switching behaviours such as a large ON/OFF ratio (I ON /I OFF ∼10 4 ), excellent device-to-device switching uniformity, good sweep endurance, and good retention times (more than 10 000 s). The successful operation of sub-micron scale memory devices of our polyfluorene-derivative polymer shows promise to fabricate high-density polymer memory devices.

  17. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu; Nagabhushan, B.; Banerji, P. [Materials Science Centre, Indian Institute of Technology, Kharagpur 721 302 (India); Chakraborty, S. [Applied Materials Science Division, Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Sector-I, Kolkata 700 064 (India); Mukherjee, Rabibrata [Department of Chemical Engineering, Indian Institute of Technology, Kharagpur 721302 (India)

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. The device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.

  18. Process Qualification Strategy for Advances Embedded Non Volatile Memory Technology : The Philips' 0.18um Embedded Flash Case

    NARCIS (Netherlands)

    Tao, Guoqiao; Scarpa, Andrea; van Dijk, Kitty; Kuper, Fred G.

    2003-01-01

    A qualification strategy for advanced embedded non-volatile memory technology has been revealed. This strategy consists of: a thorough understanding of the requirements, extensive use and frequent update of the FMEA (failure mode effect analysis), a qualification plan with excellent coverage of all

  19. Quasi-unipolar pentacene films embedded with fullerene for non-volatile organic transistor memories

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Juhee; Lee, Sungpyo; Lee, Moo Hyung; Kang, Moon Sung, E-mail: mskang@ssu.ac.kr [Department of Chemical Engineering, Soongsil University, Seoul 156-743 (Korea, Republic of)

    2015-02-09

    Quasi-unipolar non-volatile organic transistor memory (NOTM) can combine the best characteristics of conventional unipolar and ambipolar NOTMs and, as a result, exhibit improved device performance. Unipolar NOTMs typically exhibit a large signal ratio between the programmed and erased current signals but also require a large voltage to program and erase the memory cells. Meanwhile, an ambipolar NOTM can be programmed and erased at lower voltages, but the resulting signal ratio is small. By embedding a discontinuous n-type fullerene layer within a p-type pentacene film, quasi-unipolar NOTMs are fabricated, of which the signal storage utilizes both electrons and holes while the electrical signal relies on only hole conduction. These devices exhibit superior memory performance relative to both pristine unipolar pentacene devices and ambipolar fullerene/pentacene bilayer devices. The quasi-unipolar NOTM exhibited a larger signal ratio between the programmed and erased states while also reducing the voltage required to program and erase a memory cell. This simple approach should be readily applicable for various combinations of advanced organic semiconductors that have been recently developed and thereby should make a significant impact on organic memory research.

  20. Controlled data storage for non-volatile memory cells embedded in nano magnetic logic

    Science.gov (United States)

    Riente, Fabrizio; Ziemys, Grazvydas; Mattersdorfer, Clemens; Boche, Silke; Turvani, Giovanna; Raberg, Wolfgang; Luber, Sebastian; Breitkreutz-v. Gamm, Stephan

    2017-05-01

    Among the beyond-CMOS technologies, perpendicular Nano Magnetic Logic (pNML) is a promising candidate due to its low power consumption, its non-volatility and its monolithic 3D integrability, which makes it possible to integrate memory and logic into the same device by exploiting the interaction of bi-stable nanomagnets with perpendicular magnetic anisotropy. Logic computation and signal synchronization are achieved by focus ion beam irradiation and by pinning domain walls in magnetic notches. However, in realistic circuits, the information storage and their read-out are crucial issues, often ignored in the exploration of beyond-CMOS devices. In this paper we address these issues by experimentally demonstrating a pNML memory element, whose read and write operations can be controlled by two independent pulsed currents. Our results prove the correct behavior of the proposed structure that enables high density memory embedded in the logic plane of 3D-integrated pNML circuits.

  1. Controlled data storage for non-volatile memory cells embedded in nano magnetic logic

    Directory of Open Access Journals (Sweden)

    Fabrizio Riente

    2017-05-01

    Full Text Available Among the beyond-CMOS technologies, perpendicular Nano Magnetic Logic (pNML is a promising candidate due to its low power consumption, its non-volatility and its monolithic 3D integrability, which makes it possible to integrate memory and logic into the same device by exploiting the interaction of bi-stable nanomagnets with perpendicular magnetic anisotropy. Logic computation and signal synchronization are achieved by focus ion beam irradiation and by pinning domain walls in magnetic notches. However, in realistic circuits, the information storage and their read-out are crucial issues, often ignored in the exploration of beyond-CMOS devices. In this paper we address these issues by experimentally demonstrating a pNML memory element, whose read and write operations can be controlled by two independent pulsed currents. Our results prove the correct behavior of the proposed structure that enables high density memory embedded in the logic plane of 3D-integrated pNML circuits.

  2. Investigation of High-k Dielectrics and Metal Gate Electrodes for Non-volatile Memory Applications

    Science.gov (United States)

    Jayanti, Srikant

    Due to the increasing demand of non-volatile flash memories in the portable electronics, the device structures need to be scaled down drastically. However, the scalability of traditional floating gate structures beyond 20 nm NAND flash technology node is uncertain. In this regard, the use of metal gates and high-k dielectrics as the gate and interpoly dielectrics respectively, seem to be promising substitutes in order to continue the flash scaling beyond 20nm. Furthermore, research of novel memory structures to overcome the scaling challenges need to be explored. Through this work, the use of high-k dielectrics as IPDs in a memory structure has been studied. For this purpose, IPD process optimization and barrier engineering were explored to determine and improve the memory performance. Specifically, the concept of high-k / low-k barrier engineering was studied in corroboration with simulations. In addition, a novel memory structure comprising a continuous metal floating gate was investigated in combination with high-k blocking oxides. Integration of thin metal FGs and high-k dielectrics into a dual floating gate memory structure to result in both volatile and non-volatile modes of operation has been demonstrated, for plausible application in future unified memory architectures. The electrical characterization was performed on simple MIS/MIM and memory capacitors, fabricated through CMOS compatible processes. Various analytical characterization techniques were done to gain more insight into the material behavior of the layers in the device structure. In the first part of this study, interfacial engineering was investigated by exploring La2O3 as SiO2 scavenging layer. Through the silicate formation, the consumption of low-k SiO2 was controlled and resulted in a significant improvement in dielectric leakage. The performance improvement was also gauged through memory capacitors. In the second part of the study, a novel memory structure consisting of continuous metal FG

  3. Silicon nano crystal-based non-volatile memory devices

    International Nuclear Information System (INIS)

    Ng, C.Y.; Chen, T.P.; Sreeduth, D.; Chen, Q.; Ding, L.; Du, A.

    2006-01-01

    In this work, we have investigated the performance and reliability of a Flash memory based on silicon nanocrystal synthesized with very-low energy ion beams. The devices are fabricated with a conventional CMOS process and the size of the nanocrystal is ∼ 4 nm as determined from TEM measurement. Electrical properties of the devices with a tunnel oxide of either 3 nm or 7 nm are evaluated. The devices exhibit good endurance up to 10 5 W/E cycles even at the high operation temperature of 85 deg. C for both the tunnel oxide thicknesses. For the thicker tunnel oxide (i.e., the 7-nm tunnel oxide), a good retention performance with an extrapolated 10-year memory window of ∼ 0.3 V (or ∼ 20% of charge lose after 10 years) is achieved. However, ∼ 70% of charge loss after 10 years is expected for the thinner tunnel oxide (i.e., the 3-nm tunnel oxide)

  4. Non-exponential resistive switching in Ag2S memristors: a key to nanometer-scale non-volatile memory devices.

    Science.gov (United States)

    Gubicza, Agnes; Csontos, Miklós; Halbritter, András; Mihály, György

    2015-03-14

    The dynamics of resistive switchings in nanometer-scale metallic junctions formed between an inert metallic tip and an Ag film covered by a thin Ag2S layer are investigated. Our thorough experimental analysis and numerical simulations revealed that the resistance change upon a switching bias voltage pulse exhibits a strongly non-exponential behaviour yielding markedly different response times at different bias levels. Our results demonstrate the merits of Ag2S nanojunctions as nanometer-scale non-volatile memory cells with stable switching ratios, high endurance as well as fast response to write/erase, and an outstanding stability against read operations at technologically optimal bias and current levels.

  5. Long Memory in STOCK Market Volatility: the International Evidence

    Science.gov (United States)

    Yang, Chunxia; Hu, Sen; Xia, Bingying; Wang, Rui

    2012-08-01

    It is still a hot topic to catch the auto-dependence behavior of volatility. Here, based on the measurement of average volatility, under different observation window size, we investigated the dependence of successive volatility of several main stock indices and their simulated GARCH(1, 1) model, there were obvious linear auto-dependence in the logarithm of volatility under a small observation window size and nonlinear auto-dependence under a big observation. After calculating the correlation and mutual information of the logarithm of volatility for Dow Jones Industrial Average during different periods, we find that some influential events can change the correlation structure and the volatilities of different periods have distinct influence on that of the remote future. Besides, GARCH model could produce similar behavior of dependence as real data and long memory property. But our analyses show that the auto-dependence of volatility in GARCH is different from that in real data, and the long memory is undervalued by GARCH.

  6. Non-volatile resistive switching in the Mott insulator (V1-xCrx)2O3

    Science.gov (United States)

    Querré, M.; Tranchant, J.; Corraze, B.; Cordier, S.; Bouquet, V.; Députier, S.; Guilloux-Viry, M.; Besland, M.-P.; Janod, E.; Cario, L.

    2018-05-01

    The discovery of non-volatile resistive switching in Mott insulators related to an electric-field-induced insulator to metal transition (IMT) has paved the way for their use in a new type of non-volatile memories, the Mott memories. While most of the previous studies were dedicated to uncover the resistive switching mechanism and explore the memory potential of chalcogenide Mott insulators, we present here a comprehensive study of resistive switching in the canonical oxide Mott insulator (V1-xCrx)2O3. Our work demonstrates that this compound undergoes a non-volatile resistive switching under electric field. This resistive switching is induced by a Mott transition at the local scale which creates metallic domains closely related to existing phases of the temperature-pressure phase diagram of (V1-xCrx)2O3. Our work demonstrates also reversible resistive switching in (V1-xCrx)2O3 crystals and thin film devices. Preliminary performances obtained on 880 nm thick layers with 500 nm electrodes show the strong potential of Mott memories based on the Mott insulator (V1-xCrx)2O3.

  7. Bias-reduced estimation of long memory stochastic volatility

    DEFF Research Database (Denmark)

    Frederiksen, Per; Nielsen, Morten Ørregaard

    We propose to use a variant of the local polynomial Whittle estimator to estimate the memory parameter in volatility for long memory stochastic volatility models with potential nonstation- arity in the volatility process. We show that the estimator is asymptotically normal and capable of obtaining...

  8. Long-term memory and volatility clustering in high-frequency price changes

    Science.gov (United States)

    oh, Gabjin; Kim, Seunghwan; Eom, Cheoljun

    2008-02-01

    We studied the long-term memory in diverse stock market indices and foreign exchange rates using Detrended Fluctuation Analysis (DFA). For all high-frequency market data studied, no significant long-term memory property was detected in the return series, while a strong long-term memory property was found in the volatility time series. The possible causes of the long-term memory property were investigated using the return data filtered by the AR(1) model, reflecting the short-term memory property, the GARCH(1,1) model, reflecting the volatility clustering property, and the FIGARCH model, reflecting the long-term memory property of the volatility time series. The memory effect in the AR(1) filtered return and volatility time series remained unchanged, while the long-term memory property diminished significantly in the volatility series of the GARCH(1,1) filtered data. Notably, there is no long-term memory property, when we eliminate the long-term memory property of volatility by the FIGARCH model. For all data used, although the Hurst exponents of the volatility time series changed considerably over time, those of the time series with the volatility clustering effect removed diminish significantly. Our results imply that the long-term memory property of the volatility time series can be attributed to the volatility clustering observed in the financial time series.

  9. Feasibility and limitations of anti-fuses based on bistable non-volatile switches for power electronic applications

    Science.gov (United States)

    Erlbacher, T.; Huerner, A.; Bauer, A. J.; Frey, L.

    2012-09-01

    Anti-fuse devices based on non-volatile memory cells and suitable for power electronic applications are demonstrated for the first time using silicon technology. These devices may be applied as stand alone devices or integrated using standard junction-isolation into application-specific and smart-power integrated circuits. The on-resistance of such devices can be permanently switched by nine orders of magnitude by triggering the anti-fuse with a positive voltage pulse. Extrapolation of measurement data and 2D TCAD process and device simulations indicate that 20 A anti-fuses with 10 mΩ can be reliably fabricated in 0.35 μm technology with a footprint of 2.5 mm2. Moreover, this concept offers distinguished added-values compared to existing mechanical relays, e.g. pre-test, temporary and permanent reset functions, gradual turn-on mode, non-volatility, and extendibility to high voltage capability.

  10. Four-state non-volatile memory in a multiferroic spin filter tunnel junction

    Science.gov (United States)

    Ruan, Jieji; Li, Chen; Yuan, Zhoushen; Wang, Peng; Li, Aidong; Wu, Di

    2016-12-01

    We report a spin filter type multiferroic tunnel junction with a ferromagnetic/ferroelectric bilayer barrier. Memory functions of a spin filter magnetic tunnel junction and a ferroelectric tunnel junction are combined in this single device, producing four non-volatile resistive states that can be read out in a non-destructive manner. This concept is demonstrated in a LaNiO3/Pr0.8Ca0.2MnO3/BaTiO3/La0.7Sr0.3MnO3 all-oxide tunnel junction. The ferromagnetic insulator Pr0.8Ca0.2MnO3 serves as the spin filter and the ferromagnetic metal La0.7Sr0.3MnO3 is the spin analyzer. The ferroelectric polarization reversal in the BaTiO3 barrier switches the tunneling barrier height to produce a tunneling electroresistance. The ferroelectric switching also modulates the spin polarization and the spin filtering efficiency in Pr0.8Ca0.2MnO3.

  11. Field-effect transistor memories based on ferroelectric polymers

    Science.gov (United States)

    Zhang, Yujia; Wang, Haiyang; Zhang, Lei; Chen, Xiaomeng; Guo, Yu; Sun, Huabin; Li, Yun

    2017-11-01

    Field-effect transistors based on ferroelectrics have attracted intensive interests, because of their non-volatile data retention, rewritability, and non-destructive read-out. In particular, polymeric materials that possess ferroelectric properties are promising for the fabrications of memory devices with high performance, low cost, and large-area manufacturing, by virtue of their good solubility, low-temperature processability, and good chemical stability. In this review, we discuss the material characteristics of ferroelectric polymers, providing an update on the current development of ferroelectric field-effect transistors (Fe-FETs) in non-volatile memory applications. Program supported partially by the NSFC (Nos. 61574074, 61774080), NSFJS (No. BK20170075), and the Open Partnership Joint Projects of NSFC-JSPS Bilateral Joint Research Projects (No. 61511140098).

  12. The floating-gate non-volatile semiconductor memory--from invention to the digital age.

    Science.gov (United States)

    Sze, S M

    2012-10-01

    In the past 45 years (from 1967 to 2012), the non-volatile semiconductor memory (NVSM) has emerged from a floating-gate concept to the prime technology driver of the largest industry in the world-the electronics industry. In this paper, we briefly review the historical development of NVSM and project its future trends to the year 2020. In addition, we consider NVSM's wide-range of applications from the digital cellular phone to tablet computer to digital television. As the device dimension is scaled down to the deca-nanometer regime, we expect that many innovations will be made to meet the scaling challenges, and NVSM-inspired technology will continue to enrich and improve our lives for decades to come.

  13. Multicolour fluorescent memory based on the interaction of hydroxy terphenyls with fluoride anions.

    Science.gov (United States)

    Akamatsu, Masaaki; Mori, Taizo; Okamoto, Ken; Sakai, Hideki; Abe, Masahiko; Hill, Jonathan P; Ariga, Katsuhiko

    2014-12-01

    Memory operations based on variation of a molecule's properties are important because they may lead to device miniaturization to the molecular scale or increasingly complex information processing protocols beyond the binary level. Molecular memory also introduces possibilities related to information-storage security where chemical information (or reagents) might be used as an encryption key, in this case, acidic/basic reagents. Chemical memory that possesses both volatile and non-volatile functionality requires reversible conversion between at least two chemically different stable or quasi-stable states. Here we have developed the phenol-phenoxide equilibrium of phenol fluorophores as a data storage element, which can be used to write or modulate data using chemical reagents. The properties of this system allow data to be stored and erased either in non-volatile or volatile modes. We also demonstrate non-binary switching of states made possible by preparation of  a composite containing the molecular memory elements. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Non-volatile nano-floating gate memory with Pt-Fe{sub 2}O{sub 3} composite nanoparticles and indium gallium zinc oxide channel

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Quanli [Myongji University, Department of Nano Science and Engineering (Korea, Republic of); Lee, Seung Chang; Baek, Yoon-Jae [Myongji University, Department of Materials Science and Engineering (Korea, Republic of); Lee, Hyun Ho [Myongji University, Department of Chemical Engineering (Korea, Republic of); Kang, Chi Jung [Myongji University, Department of Nano Science and Engineering (Korea, Republic of); Kim, Hyun-Mi; Kim, Ki-Bum [Seoul National University, Department of Materials Science and Engineering (Korea, Republic of); Yoon, Tae-Sik, E-mail: tsyoon@mju.ac.kr [Myongji University, Department of Nano Science and Engineering (Korea, Republic of)

    2013-02-15

    Non-volatile nano-floating gate memory characteristics with colloidal Pt-Fe{sub 2}O{sub 3} composite nanoparticles with a mostly core-shell structure and indium gallium zinc oxide channel layer were investigated. The Pt-Fe{sub 2}O{sub 3} nanoparticles were chemically synthesized through the preferential oxidation of Fe and subsequent pileup of Pt into the core in the colloidal solution. The uniformly assembled nanoparticles' layer could be formed with a density of {approx}3 Multiplication-Sign 10{sup 11} cm{sup -2} by a solution-based dip-coating process. The Pt core ({approx}3 nm in diameter) and Fe{sub 2}O{sub 3}-shell ({approx}6 nm in thickness) played the roles of the charge storage node and tunneling barrier, respectively. The device exhibited the hysteresis in current-voltage measurement with a threshold voltage shift of {approx}4.76 V by gate voltage sweeping to +30 V. It also showed the threshold shift of {approx}0.66 V after pulse programming at +20 V for 1 s with retention > {approx}65 % after 10{sup 4} s. These results demonstrate the feasibility of using colloidal nanoparticles with core-shell structure as gate stacks of the charge storage node and tunneling dielectric for low-temperature and solution-based processed non-volatile memory devices.

  15. Electrical and ferroelectric properties of RF sputtered PZT/SBN on silicon for non-volatile memory applications

    Science.gov (United States)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    We report the integration of multilayer ferroelectric film deposited by RF magnetron sputtering and explore the electrical characteristics for its application as the gate of ferroelectric field effect transistor for non-volatile memories. PZT (Pb[Zr0.35Ti0.65]O3) and SBN (SrBi2Nb2O9) ferroelectric materials were selected for the stack fabrication due to their large polarization and fatigue free properties respectively. Electrical characterization has been carried out to obtain memory window, leakage current density, PUND and endurance characteristics. Fabricated multilayer ferroelectric film capacitor structure shows large memory window of 17.73 V and leakage current density of the order 10-6 A cm-2 for the voltage sweep of -30 to +30 V. This multilayer gate stack of PZT/SBN shows promising endurance property with no degradation in the remnant polarization for the read/write iteration cycles upto 108.

  16. Consequences for option pricing of a long memory in volatility

    OpenAIRE

    Taylor, S J

    2001-01-01

    The economic consequences of a long memory assumption about volatility are documented, by comparing implied volatilities for option prices obtained from short and long memory volatility processes. Numerical results are given for options on the S&P 100 index from 1984 to 1998, with lives up to two years. The long memory assumption is found to have a significant impact upon the term structure of implied volatilities and a relatively minor impact upon smile effects. These conclusions are importa...

  17. Long Memory in Stock Market Volatility and the Volatility-in-Mean Effect: The FIEGARCH-M Model

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; Nielsen, Morten Ørregaard; Zhu, Jie

    We extend the fractionally integrated exponential GARCH (FIEGARCH) model for daily stock return data with long memory in return volatility of Bollerslev and Mikkelsen (1996) by introducing a possible volatility-in-mean effect. To avoid that the long memory property of volatility carries over to r...

  18. Electric field mediated non-volatile tuning magnetism in CoPt/PMN-PT heterostructure for magnetoelectric memory devices

    Science.gov (United States)

    Yang, Y. T.; Li, J.; Peng, X. L.; Wang, X. Q.; Wang, D. H.; Cao, Q. Q.; Du, Y. W.

    2016-02-01

    We report a power efficient non-volatile magnetoelectric memory in the CoPt/(011)PMN-PT heterostructure. Two reversible and stable electric field induced coercivity states (i.e., high-HC or low-HC) are obtained due to the strain mediated converse magnetoelectric effect. The reading process of the different coercive field information written by electric fields is demonstrated by using a magnetoresistance read head. This result shows good prospects in the application of novel multiferroic devices.

  19. NVL-C: Static Analysis Techniques for Efficient, Correct Programming of Non-Volatile Main Memory Systems

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seyong [ORNL; Vetter, Jeffrey S [ORNL

    2016-01-01

    Computer architecture experts expect that non-volatile memory (NVM) hierarchies will play a more significant role in future systems including mobile, enterprise, and HPC architectures. With this expectation in mind, we present NVL-C: a novel programming system that facilitates the efficient and correct programming of NVM main memory systems. The NVL-C programming abstraction extends C with a small set of intuitive language features that target NVM main memory, and can be combined directly with traditional C memory model features for DRAM. We have designed these new features to enable compiler analyses and run-time checks that can improve performance and guard against a number of subtle programming errors, which, when left uncorrected, can corrupt NVM-stored data. Moreover, to enable recovery of data across application or system failures, these NVL-C features include a flexible directive for specifying NVM transactions. So that our implementation might be extended to other compiler front ends and languages, the majority of our compiler analyses are implemented in an extended version of LLVM's intermediate representation (LLVM IR). We evaluate NVL-C on a number of applications to show its flexibility, performance, and correctness.

  20. Large scale integration of flexible non-volatile, re-addressable memories using P(VDF-TrFE) and amorphous oxide transistors

    International Nuclear Information System (INIS)

    Gelinck, Gerwin H; Cobb, Brian; Van Breemen, Albert J J M; Myny, Kris

    2015-01-01

    Ferroelectric polymers and amorphous metal oxide semiconductors have emerged as important materials for re-programmable non-volatile memories and high-performance, flexible thin-film transistors, respectively. However, realizing sophisticated transistor memory arrays has proven to be a challenge, and demonstrating reliable writing to and reading from such a large scale memory has thus far not been demonstrated. Here, we report an integration of ferroelectric, P(VDF-TrFE), transistor memory arrays with thin-film circuitry that can address each individual memory element in that array. n-type indium gallium zinc oxide is used as the active channel material in both the memory and logic thin-film transistors. The maximum process temperature is 200 °C, allowing plastic films to be used as substrate material. The technology was scaled up to 150 mm wafer size, and offers good reproducibility, high device yield and low device variation. This forms the basis for successful demonstration of memory arrays, read and write circuitry, and the integration of these. (paper)

  1. Two-dimensional non-volatile programmable p-n junctions

    Science.gov (United States)

    Li, Dong; Chen, Mingyuan; Sun, Zhengzong; Yu, Peng; Liu, Zheng; Ajayan, Pulickel M.; Zhang, Zengxing

    2017-09-01

    Semiconductor p-n junctions are the elementary building blocks of most electronic and optoelectronic devices. The need for their miniaturization has fuelled the rapid growth of interest in two-dimensional (2D) materials. However, the performance of a p-n junction considerably degrades as its thickness approaches a few nanometres and traditional technologies, such as doping and implantation, become invalid at the nanoscale. Here we report stable non-volatile programmable p-n junctions fabricated from the vertically stacked all-2D semiconductor/insulator/metal layers (WSe2/hexagonal boron nitride/graphene) in a semifloating gate field-effect transistor configuration. The junction exhibits a good rectifying behaviour with a rectification ratio of 104 and photovoltaic properties with a power conversion efficiency up to 4.1% under a 6.8 nW light. Based on the non-volatile programmable properties controlled by gate voltages, the 2D p-n junctions have been exploited for various electronic and optoelectronic applications, such as memories, photovoltaics, logic rectifiers and logic optoelectronic circuits.

  2. Unipolar resistive switching in metal oxide/organic semiconductor non-volatile memories as a critical phenomenon

    International Nuclear Information System (INIS)

    Bory, Benjamin F.; Meskers, Stefan C. J.; Rocha, Paulo R. F.; Gomes, Henrique L.; Leeuw, Dago M. de

    2015-01-01

    Diodes incorporating a bilayer of an organic semiconductor and a wide bandgap metal oxide can show unipolar, non-volatile memory behavior after electroforming. The prolonged bias voltage stress induces defects in the metal oxide with an areal density exceeding 10 17  m −2 . We explain the electrical bistability by the coexistence of two thermodynamically stable phases at the interface between an organic semiconductor and metal oxide. One phase contains mainly ionized defects and has a low work function, while the other phase has mainly neutral defects and a high work function. In the diodes, domains of the phase with a low work function constitute current filaments. The phase composition and critical temperature are derived from a 2D Ising model as a function of chemical potential. The model predicts filamentary conduction exhibiting a negative differential resistance and nonvolatile memory behavior. The model is expected to be generally applicable to any bilayer system that shows unipolar resistive switching

  3. Non-volatile MOS RAM cell with capacitor-isolated nodes that are radiation accessible for rendering a non-permanent programmed information in the cell of a non-volatile one

    NARCIS (Netherlands)

    Widdershoven, Franciscus P.; Annema, Anne J.; Storms, Maurits M.N.; Pelgrom, Marcellinus J.M.; Pelgrom, Marcel J M

    2001-01-01

    A non-volatile, random access memory cell comprises first and second inverters each having an output node cross-coupled by cross-coupling means to an input node of the other inverter for forming a MOS RAM cell. The output node of each inverter is selectively connected via the conductor paths of

  4. Phase change materials in non-volatile storage

    OpenAIRE

    Ielmini, Daniele; Lacaita, Andrea L.

    2011-01-01

    After revolutionizing the technology of optical data storage, phase change materials are being adopted in non-volatile semiconductor memories. Their success in electronic storage is mostly due to the unique properties of the amorphous state where carrier transport phenomena and thermally-induced phase change cooperate to enable high-speed, low-voltage operation and stable data retention possible within the same material. This paper reviews the key physical properties that make this phase so s...

  5. Long memory and tail dependence in trading volume and volatility

    DEFF Research Database (Denmark)

    Rossi, Eduardo; Santucci de Magistris, Paolo

    2013-01-01

    We investigate the relationship between volatility, measured by realized volatility, and trading volume for 25 NYSE stocks. We show that volume and volatility are long memory but not fractionally cointegrated in most cases. We also find right tail dependence in the volatility and volume innovations...

  6. Testing for long memory in volatility in the Indian Forex market

    Directory of Open Access Journals (Sweden)

    Kumar Anoop S.

    2014-01-01

    Full Text Available This article attempts to verify the presence of long memory in volatility in the Indian foreign exchange market using daily bilateral returns of the Indian Rupee against the US dollar from 17/02/1994 to 08/11/2013. In the first part of the analysis the presence of long-term dependence is confirmed in the return series as well as in two measures of unconditional volatility (absolute returns and squared returns by employing three measures of long memory. Next, the presence of long memory in conditional volatility is tested using ARMA-FIGARCH and ARMA-FIAPARCH models under various distributional assumptions. The results confirm the presence of long memory in conditional variance for two models. In the last part, the presence of long memory in conditional mean and conditional variance is verified using ARFIMA-FIGARCH and ARFIMA-FIAPARCH models. It is also found that long-memory models fare well compared to short-memory models in sample forecast performance.

  7. The Effect of Long Memory in Volatility on Stock Market Fluctuations

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; Nielsen, Morten Ørregaard

    2007-01-01

    Recent empirical evidence demonstrates the presence of an important long memory component in realized asset return volatility. We specify and estimate multivariate models for the joint dynamics of stock returns and volatility that allow for long memory in volatility without imposing this property...... on returns. Asset pricing theory imposes testable cross-equation restrictions on the system that are not rejected in our preferred specifications, which include a strong financial leverage effect. We show that the impact of volatility shocks on stock prices is small and short-lived, in spite of a positive...

  8. Non Volatile Flash Memory Radiation Tests

    Science.gov (United States)

    Irom, Farokh; Nguyen, Duc N.; Allen, Greg

    2012-01-01

    Commercial flash memory industry has experienced a fast growth in the recent years, because of their wide spread usage in cell phones, mp3 players and digital cameras. On the other hand, there has been increased interest in the use of high density commercial nonvolatile flash memories in space because of ever increasing data requirements and strict power requirements. Because of flash memories complex structure; they cannot be treated as just simple memories in regards to testing and analysis. It becomes quite challenging to determine how they will respond in radiation environments.

  9. Piezoelectric control of magnetoelectric coupling driven non-volatile memory switching and self cooling effects in FE/FSMA multiferroic heterostructures

    Science.gov (United States)

    Singh, Kirandeep; Kaur, Davinder

    2017-02-01

    The manipulation of magnetic states and materials' spin degree-of-freedom via a control of an electric (E-) field has been recently pursued to develop magnetoelectric (ME) coupling-driven electronic data storage devices with high read/write endurance, fast dynamic response, and low energy dissipation. One major hurdle for this approach is to develop reliable materials which should be compatible with prevailing silicon (Si)-based complementary metal-oxide-semiconductor (CMOS) technology, simultaneously allowing small voltage for the tuning of magnetization switching. In this regard, multiferroic heterostructures where ferromagnetic (FM) and ferroelectric (FE) layers are alternatively grown on conventional Si substrates are promising as the piezoelectric control of magnetization switching is anticipated to be possible by an E-field. In this work, we study the ferromagnetic shape memory alloys based PbZr0.52Ti0.48O3/Ni50Mn35In15 (PZT/Ni-Mn-In) multiferroic heterostructures, and investigate their potential for CMOS compatible non-volatile magnetic data storage applications. We demonstrate the voltage-impulse controlled nonvolatile, reversible, and bistable magnetization switching at room temperature in Si-integrated PZT/Ni-Mn-In thin film multiferroic heterostructures. We also thoroughly unveil the various intriguing features in these materials, such as E-field tuned ME coupling and magnetocaloric effect, shape memory induced ferroelectric modulation, improved fatigue endurance as well as Refrigeration Capacity (RC). This comprehensive study suggests that these novel materials have a great potential for the development of unconventional nanoscale memory and refrigeration devices with self-cooling effect and enhanced refrigeration efficiency, thus providing a new venue for their applications.

  10. Organic ferroelectric opto-electronic memories

    NARCIS (Netherlands)

    Asadi, K.; Li, M.; Blom, P.W.M.; Kemerink, M.; Leeuw, D.M. de

    2011-01-01

    Memory is a prerequisite for many electronic devices. Organic non-volatile memory devices based on ferroelectricity are a promising approach towards the development of a low-cost memory technology based on a simple cross-bar array. In this review article we discuss the latest developments in this

  11. A radiation-tolerant, low-power non-volatile memory based on silicon nanocrystal quantum dots

    OpenAIRE

    Bell, L. D.; Boer, E.; Ostraat, M.; Brongersma, M. L.; Flagan, R. C.; Atwater, H. A.; De Blauwe, J.; Green, M. L.

    2001-01-01

    Nanocrystal nonvolatile floating-gate memories are a good candidate for space applications - initial results suggest they are fast, more reliable and consume less power than conventional floating gate memories. In the nanocrystal based NVM device, charge is not stored on a continuous polysilicon layer (so-called floating gate), but instead on a layer of discrete nanocrystals. Charge injection and storage in dense arrays of silicon nanocrystals in SiO_2 is a critical aspect of the performance ...

  12. Switching speed in resistive random access memories (RRAMS) based on plastic semiconductor

    NARCIS (Netherlands)

    Rocha, P.R.F.; Gomes, H.L.; Kiazadeh, A.; Chen, Qian; Leeuw, de D.M.; Meskers, S.C.J.

    2011-01-01

    This work addresses non-volatile memories based on metal-oxide polymer diodes. We make a thorough investigation into the static and dynamic behavior. Current-voltage characteristics with varying voltage ramp speed demonstrate that the internal capacitive double-layer structure inhibits the switching

  13. True or spurious long memory in volatility: Further evidence on the energy futures markets

    International Nuclear Information System (INIS)

    Charfeddine, Lanouar

    2014-01-01

    The main goal of this paper is to investigate whether the long memory behavior observed in many volatility energy futures markets series is a spurious behavior or not. For this purpose, we employ a wide variety of advanced volatility models that allow for long memory and/or structural changes: the GARCH(1,1), the FIGARCH(1,d,1), the Adaptative-GARCH(1,1,k), and the Adaptative-FIGARCH(1,d,1,k) models. To compare forecasting ability of these models, we use out-of-sample forecasting performance. Using the crude oil, heating oil, gasoline and propane volatility futures energy time series with 1-month and 3-month maturities, we found that five out of the eight time series are characterized by both long memory and structural breaks. For these series, dates of breaks coincide with some major economics and financial events. For the three other time series, we found strong evidence of long memory in volatility. - Highlights: • This paper investigates the long memory properties of the futures energy volatility. • We estimate a variety of GARCH-class of models. • The Adaptative-FIGARCH(1,d,1,k) model has been used to account for both long memory and breaks. • 5 out of the 8 futures energy series are characterized by both long memory and structural breaks. • The 3 other series are characterized by only long range dependence in volatility

  14. Supercritical fluid extraction of volatile and non-volatile compounds from Schinus molle L.

    Directory of Open Access Journals (Sweden)

    M. S. T. Barroso

    2011-06-01

    Full Text Available Schinus molle L., also known as pepper tree, has been reported to have antimicrobial, antifungal, anti-inflammatory, antispasmodic, antipyretic, antitumoural and cicatrizing properties. This work studies supercritical fluid extraction (SFE to obtain volatile and non-volatile compounds from the aerial parts of Schinus molle L. and the influence of the process on the composition of the extracts. Experiments were performed in a pilot-scale extractor with a capacity of 1 L at pressures of 9, 10, 12, 15 and 20 MPa at 323.15 K. The volatile compounds were obtained by CO2 supercritical extraction with moderate pressure (9 MPa, whereas the non-volatile compounds were extracted at higher pressure (12 to 20 MPa. The analysis of the essential oil was carried out by GC-MS and the main compounds identified were sabinene, limonene, D-germacrene, bicyclogermacrene, and spathulenol. For the non-volatile extracts, the total phenolic content was determined by the Folin-Ciocalteau method. Moreover, one of the goals of this study was to compare the experimental data with the simulated yields predicted by a mathematical model based on mass transfer. The model used requires three adjustable parameters to predict the experimental extraction yield curves.

  15. Estimation of stochastic volatility with long memory for index prices of FTSE Bursa Malaysia KLCI

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Kho Chia; Kane, Ibrahim Lawal; Rahman, Haliza Abd [Department of Mathematical Sciences, Faculty of Science, Universiti Teknologi Malaysia, 81310, Johor Bahru (Malaysia); Bahar, Arifah [UTM Centre for Industrial and Applied Mathematics (UTM-CIAM), Universiti Teknologi Malaysia, 81310, Johor Bahru and Department of Mathematical Sciences, Faculty of Science, Universiti Teknologi Malaysia, 81310, Johor Bahru (Malaysia); Ting, Chee-Ming [Center for Biomedical Engineering, Universiti Teknologi Malaysia, 81310, Johor Bahru (Malaysia)

    2015-02-03

    In recent years, modeling in long memory properties or fractionally integrated processes in stochastic volatility has been applied in the financial time series. A time series with structural breaks can generate a strong persistence in the autocorrelation function, which is an observed behaviour of a long memory process. This paper considers the structural break of data in order to determine true long memory time series data. Unlike usual short memory models for log volatility, the fractional Ornstein-Uhlenbeck process is neither a Markovian process nor can it be easily transformed into a Markovian process. This makes the likelihood evaluation and parameter estimation for the long memory stochastic volatility (LMSV) model challenging tasks. The drift and volatility parameters of the fractional Ornstein-Unlenbeck model are estimated separately using the least square estimator (lse) and quadratic generalized variations (qgv) method respectively. Finally, the empirical distribution of unobserved volatility is estimated using the particle filtering with sequential important sampling-resampling (SIR) method. The mean square error (MSE) between the estimated and empirical volatility indicates that the performance of the model towards the index prices of FTSE Bursa Malaysia KLCI is fairly well.

  16. Estimation of stochastic volatility with long memory for index prices of FTSE Bursa Malaysia KLCI

    Science.gov (United States)

    Chen, Kho Chia; Bahar, Arifah; Kane, Ibrahim Lawal; Ting, Chee-Ming; Rahman, Haliza Abd

    2015-02-01

    In recent years, modeling in long memory properties or fractionally integrated processes in stochastic volatility has been applied in the financial time series. A time series with structural breaks can generate a strong persistence in the autocorrelation function, which is an observed behaviour of a long memory process. This paper considers the structural break of data in order to determine true long memory time series data. Unlike usual short memory models for log volatility, the fractional Ornstein-Uhlenbeck process is neither a Markovian process nor can it be easily transformed into a Markovian process. This makes the likelihood evaluation and parameter estimation for the long memory stochastic volatility (LMSV) model challenging tasks. The drift and volatility parameters of the fractional Ornstein-Unlenbeck model are estimated separately using the least square estimator (lse) and quadratic generalized variations (qgv) method respectively. Finally, the empirical distribution of unobserved volatility is estimated using the particle filtering with sequential important sampling-resampling (SIR) method. The mean square error (MSE) between the estimated and empirical volatility indicates that the performance of the model towards the index prices of FTSE Bursa Malaysia KLCI is fairly well.

  17. Estimation of stochastic volatility with long memory for index prices of FTSE Bursa Malaysia KLCI

    International Nuclear Information System (INIS)

    Chen, Kho Chia; Kane, Ibrahim Lawal; Rahman, Haliza Abd; Bahar, Arifah; Ting, Chee-Ming

    2015-01-01

    In recent years, modeling in long memory properties or fractionally integrated processes in stochastic volatility has been applied in the financial time series. A time series with structural breaks can generate a strong persistence in the autocorrelation function, which is an observed behaviour of a long memory process. This paper considers the structural break of data in order to determine true long memory time series data. Unlike usual short memory models for log volatility, the fractional Ornstein-Uhlenbeck process is neither a Markovian process nor can it be easily transformed into a Markovian process. This makes the likelihood evaluation and parameter estimation for the long memory stochastic volatility (LMSV) model challenging tasks. The drift and volatility parameters of the fractional Ornstein-Unlenbeck model are estimated separately using the least square estimator (lse) and quadratic generalized variations (qgv) method respectively. Finally, the empirical distribution of unobserved volatility is estimated using the particle filtering with sequential important sampling-resampling (SIR) method. The mean square error (MSE) between the estimated and empirical volatility indicates that the performance of the model towards the index prices of FTSE Bursa Malaysia KLCI is fairly well

  18. Estimating and Forecasting Generalized Fractional Long Memory Stochastic Volatility Models

    NARCIS (Netherlands)

    S. Peiris (Shelton); M. Asai (Manabu); M.J. McAleer (Michael)

    2016-01-01

    textabstractIn recent years fractionally differenced processes have received a great deal of attention due to its flexibility in financial applications with long memory. This paper considers a class of models generated by Gegenbauer polynomials, incorporating the long memory in stochastic volatility

  19. Low-field Switching Four-state Nonvolatile Memory Based on Multiferroic Tunnel Junctions

    Science.gov (United States)

    Yau, H. M.; Yan, Z. B.; Chan, N. Y.; Au, K.; Wong, C. M.; Leung, C. W.; Zhang, F. Y.; Gao, X. S.; Dai, J. Y.

    2015-08-01

    Multiferroic tunneling junction based four-state non-volatile memories are very promising for future memory industry since this kind of memories hold the advantages of not only the higher density by scaling down memory cell but also the function of magnetically written and electrically reading. In this work, we demonstrate a success of this four-state memory in a material system of NiFe/BaTiO3/La0.7Sr0.3MnO3 with improved memory characteristics such as lower switching field and larger tunneling magnetoresistance (TMR). Ferroelectric switching induced resistive change memory with OFF/ON ratio of 16 and 0.3% TMR effect have been achieved in this multiferroic tunneling structure.

  20. An ultra-low-power area-efficient non-volatile memory in a 0.18 μm single-poly CMOS process for passive RFID tags

    International Nuclear Information System (INIS)

    Jia Xiaoyun; Feng Peng; Zhang Shengguang; Wu Nanjian; Zhao Baiqin; Liu Su

    2013-01-01

    This paper presents an ultra-low-power area-efficient non-volatile memory (NVM) in a 0.18 μm single-poly standard CMOS process for passive radio frequency identification (RFID) tags. In the memory cell, a novel low-power operation method is proposed to realize bi-directional Fowler—Nordheim tunneling during write operation. Furthermore, the cell is designed with PMOS transistors and coupling capacitors to minimize its area. In order to improve its reliability, the cell consists of double floating gates to store the data, and the 1 kbit NVM was implemented in a 0.18 μm single-poly standard CMOS process. The area of the memory cell and 1 kbit memory array is 96 μm 2 and 0.12 mm 2 , respectively. The measured results indicate that the program/erase voltage ranges from 5 to 6 V The power consumption of the read/write operation is 0.19 μW/0.69 μW at a read/write rate of (268 kb/s)/(3.0 kb/s). (semiconductor integrated circuits)

  1. Comparing Predictive Accuracy under Long Memory - With an Application to Volatility Forecasting

    DEFF Research Database (Denmark)

    Kruse, Robinson; Leschinski, Christian; Will, Michael

    This paper extends the popular Diebold-Mariano test to situations when the forecast error loss differential exhibits long memory. It is shown that this situation can arise frequently, since long memory can be transmitted from forecasts and the forecast objective to forecast error loss differentials....... The nature of this transmission mainly depends on the (un)biasedness of the forecasts and whether the involved series share common long memory. Further results show that the conventional Diebold-Mariano test is invalidated under these circumstances. Robust statistics based on a memory and autocorrelation...... extensions of the heterogeneous autoregressive model. While we find that forecasts improve significantly if jumps in the log-price process are considered separately from continuous components, improvements achieved by the inclusion of implied volatility turn out to be insignificant in most situations....

  2. Novel ferroelectric capacitor for non-volatile memory storage and biomedical tactile sensor applications

    International Nuclear Information System (INIS)

    Liu, Shi Yang; Chua, Lynn; Tan, Kian Chuan; Valavan, S.E.

    2010-01-01

    We report on novel ferroelectric thin film compositions for use in non-volatile memory storage and biomedical tactile sensor applications. The lead zirconate titanate (PZT) composition was modified by lanthanum (La 3+ ) (PLZT) and vanadium (V 5+ ) (PZTV, PLZTV) doping. Hybrid films with PZTV and PLZTV as top layers are also made using seed layers of differing compositions using sol-gel and spin coating methods. La 3+ doping decreased the coercive field, polarization and leakage current, while increasing the relative permittivity. V 5+ doping, while having similar effects, results in an enhanced polarization, with comparable dielectric loss characteristics. Complex doping of both La 3+ and V 5+ in PLZTV, while reducing the polarization relative to PZTV, significantly decreases the coercive field. Hybrid films have a greater uniformity of grain formation than non-hybrid films, thus decreasing the coercive field, leakage current and polarization fatigue while increasing the relative permittivity. Analysis using X-ray diffraction (XRD) verified the retention of the PZT perovskite structure in the novel films. PLZT/PZTV has been identified as an optimal ferroelectric film composition due to its desirable ferroelectric, fatigue and dielectric properties, including the highest observed remnant polarization (P r ) of ∼ 25 μC/cm 2 , saturation polarization (P sat ) of ∼ 58 μC/cm 2 and low coercive field (E c ) of ∼ 60 kV/cm at an applied field of ∼ 1000 kV/cm, as well as a low leakage current density of ∼ 10 -5 A/cm 2 at 500 kV/cm and fatigue resistance of up to ∼ 10 10 switching cycles.

  3. Radiation-hardened MRAM-based LUT for non-volatile FPGA soft error mitigation with multi-node upset tolerance

    Science.gov (United States)

    Zand, Ramtin; DeMara, Ronald F.

    2017-12-01

    In this paper, we have developed a radiation-hardened non-volatile lookup table (LUT) circuit utilizing spin Hall effect (SHE)-magnetic random access memory (MRAM) devices. The design is motivated by modeling the effect of radiation particles striking hybrid complementary metal oxide semiconductor/spin based circuits, and the resistive behavior of SHE-MRAM devices via established and precise physics equations. The models developed are leveraged in the SPICE circuit simulator to verify the functionality of the proposed design. The proposed hardening technique is based on using feedback transistors, as well as increasing the radiation capacity of the sensitive nodes. Simulation results show that our proposed LUT circuit can achieve multiple node upset (MNU) tolerance with more than 38% and 60% power-delay product improvement as well as 26% and 50% reduction in device count compared to the previous energy-efficient radiation-hardened LUT designs. Finally, we have performed a process variation analysis showing that the MNU immunity of our proposed circuit is realized at the cost of increased susceptibility to transistor and MRAM variations compared to an unprotected LUT design.

  4. A non-destructive crossbar architecture of multi-level memory-based resistor

    Science.gov (United States)

    Sahebkarkhorasani, Seyedmorteza

    Nowadays, researchers are trying to shrink the memory cell in order to increase the capacity of the memory system and reduce the hardware costs. In recent years, there has been a revolution in electronics by using fundamentals of physics to build a new memory for computer application in order to increase the capacity and decrease the power consumption. Increasing the capacity of the memory causes a growth in the chip area. From 1971 to 2012 semiconductor manufacturing process improved from 6mum to 22 mum. In May 2008, S.Williams stated that "it is time to stop shrinking". In his paper, he declared that the process of shrinking memory element has recently become very slow and it is time to use another alternative in order to create memory elements [9]. In this project, we present a new design of a memory array using the new element named Memristor [3]. Memristor is a two-terminal passive electrical element that relates the charge and magnetic flux to each other. The device remained unknown since 1971 when it was discovered by Chua and introduced as the fourth fundamental passive element like capacitor, inductor and resistor [3]. Memristor has a dynamic resistance and it can retain its previous value even after disconnecting the power supply. Due to this interesting behavior of the Memristor, it can be a good replacement for all of the Non-Volatile Memories (NVMs) in the near future. Combination of this newly introduced element with the nanowire crossbar architecture would be a great structure which is called Crossbar Memristor. Some frameworks have recently been introduced in literature that utilized Memristor crossbar array, but there are many challenges to implement the Memristor crossbar array due to fabrication and device limitations. In this work, we proposed a simple design of Memristor crossbar array architecture which uses input feedback in order to preserve its data after each read operation.

  5. Modelling Long Memory Volatility in Agricultural Commodity Futures Returns

    NARCIS (Netherlands)

    R. Tansuchat (Roengchai); C-L. Chang (Chia-Lin); M.J. McAleer (Michael)

    2009-01-01

    textabstractThis paper estimates the long memory volatility model for 16 agricultural commodity futures returns from different futures markets, namely corn, oats, soybeans, soybean meal, soybean oil, wheat, live cattle, cattle feeder, pork, cocoa, coffee, cotton, orange juice, Kansas City wheat,

  6. Modelling Long Memory Volatility in Agricultural Commodity Futures Returns

    NARCIS (Netherlands)

    C-L. Chang (Chia-Lin); M.J. McAleer (Michael); R. Tansuchat (Roengchai)

    2012-01-01

    textabstractThis paper estimates a long memory volatility model for 16 agricultural commodity futures returns from different futures markets, namely corn, oats, soybeans, soybean meal, soybean oil, wheat, live cattle, cattle feeder, pork, cocoa, coffee, cotton, orange juice, Kansas City wheat,

  7. Volatile and non-volatile/semi-volatile compounds and in vitro bioactive properties of Chilean Ulmo (Eucryphia cordifolia Cav.) honey.

    Science.gov (United States)

    Acevedo, Francisca; Torres, Paulina; Oomah, B Dave; de Alencar, Severino Matias; Massarioli, Adna Prado; Martín-Venegas, Raquel; Albarral-Ávila, Vicenta; Burgos-Díaz, César; Ferrer, Ruth; Rubilar, Mónica

    2017-04-01

    Ulmo honey originating from Eucryphia cordifolia tree, known locally in the Araucania region as the Ulmo tree is a natural product with valuable nutritional and medicinal qualities. It has been used in the Mapuche culture to treat infections. This study aimed to identify the volatile and non-volatile/semi-volatile compounds of Ulmo honey and elucidate its in vitro biological properties by evaluating its antioxidant, antibacterial, antiproliferative and hemolytic properties and cytotoxicity in Caco-2 cells. Headspace volatiles of Ulmo honey were isolated by solid-phase microextraction (SPME); non-volatiles/semi-volatiles were obtained by removing all saccharides with acidified water and the compounds were identified by GC/MS analysis. Ulmo honey volatiles consisted of 50 compounds predominated by 20 flavor components. Two of the volatile compounds, lyrame and anethol have never been reported before as honey compounds. The non-volatile/semi-volatile components of Ulmo honey comprised 27 compounds including 13 benzene derivatives accounting 75% of the total peak area. Ulmo honey exhibited weak antioxidant activity but strong antibacterial activity particularly against gram-negative bacteria and methicillin-resistant Staphylococcus aureus (MRSA), the main strain involved in wounds and skin infections. At concentrations >0.5%, Ulmo honey reduced Caco-2 cell viability, released lactate dehydrogenase (LDH) and increased reactive oxygen species (ROS) production in a dose dependent manner in the presence of foetal bovine serum (FBS). The wide array of volatile and non-volatile/semi-volatile constituents of Ulmo honey rich in benzene derivatives may partly account for its strong antibacterial and antiproliferative properties important for its therapeutic use. Our results indicate that Ulmo honey can potentially inhibit cancer growth at least partly by modulating oxidative stress. Copyright © 2017 Elsevier Ltd. All rights reserved.

  8. Magnetic Random Access Memory based non-volatile asynchronous Muller cell for ultra-low power autonomous applications

    Science.gov (United States)

    Di Pendina, G.; Zianbetov, E.; Beigne, E.

    2015-05-01

    Micro and nano electronic integrated circuit domain is today mainly driven by the advent of the Internet of Things for which the constraints are strong, especially in terms of power consumption and autonomy, not only during the computing phases but also during the standby or idle phases. In such ultra-low power applications, the circuit has to meet new constraints mainly linked to its changing energetic environment: long idle phases, automatic wake up, data back-up when the circuit is sporadically turned off, and ultra-low voltage power supply operation. Such circuits have to be completely autonomous regarding their unstable environment, while remaining in an optimum energetic configuration. Therefore, we propose in this paper the first MRAM-based non-volatile asynchronous Muller cell. This cell has been simulated and characterized in a very advanced 28 nm CMOS fully depleted silicon-on-insulator technology, presenting good power performance results due to an extremely efficient body biasing control together with ultra-wide supply voltage range from 160 mV up to 920 mV. The leakage current can be reduced to 154 pA thanks to reverse body biasing. We also propose an efficient standard CMOS bulk version of this cell in order to be compatible with different fabrication processes.

  9. Magnetic Random Access Memory based non-volatile asynchronous Muller cell for ultra-low power autonomous applications

    Energy Technology Data Exchange (ETDEWEB)

    Di Pendina, G., E-mail: gregory.dipendina@cea.fr, E-mail: eldar.zianbetov@cea.fr, E-mail: edith.beigne@cea.fr; Zianbetov, E., E-mail: gregory.dipendina@cea.fr, E-mail: eldar.zianbetov@cea.fr, E-mail: edith.beigne@cea.fr [Univ. Grenoble Alpes, INAC-SPINTEC, F-38000 Grenoble (France); CNRS, SPINTEC, F-38000 Grenoble (France); CEA, INAC-SPINTEC, F-38000 Grenoble (France); Beigne, E., E-mail: gregory.dipendina@cea.fr, E-mail: eldar.zianbetov@cea.fr, E-mail: edith.beigne@cea.fr [Univ. Grenoble Alpes, CEA, LETI, F-38000 Grenoble (France)

    2015-05-07

    Micro and nano electronic integrated circuit domain is today mainly driven by the advent of the Internet of Things for which the constraints are strong, especially in terms of power consumption and autonomy, not only during the computing phases but also during the standby or idle phases. In such ultra-low power applications, the circuit has to meet new constraints mainly linked to its changing energetic environment: long idle phases, automatic wake up, data back-up when the circuit is sporadically turned off, and ultra-low voltage power supply operation. Such circuits have to be completely autonomous regarding their unstable environment, while remaining in an optimum energetic configuration. Therefore, we propose in this paper the first MRAM-based non-volatile asynchronous Muller cell. This cell has been simulated and characterized in a very advanced 28 nm CMOS fully depleted silicon-on-insulator technology, presenting good power performance results due to an extremely efficient body biasing control together with ultra-wide supply voltage range from 160 mV up to 920 mV. The leakage current can be reduced to 154 pA thanks to reverse body biasing. We also propose an efficient standard CMOS bulk version of this cell in order to be compatible with different fabrication processes.

  10. Magnetic Random Access Memory based non-volatile asynchronous Muller cell for ultra-low power autonomous applications

    International Nuclear Information System (INIS)

    Di Pendina, G.; Zianbetov, E.; Beigne, E.

    2015-01-01

    Micro and nano electronic integrated circuit domain is today mainly driven by the advent of the Internet of Things for which the constraints are strong, especially in terms of power consumption and autonomy, not only during the computing phases but also during the standby or idle phases. In such ultra-low power applications, the circuit has to meet new constraints mainly linked to its changing energetic environment: long idle phases, automatic wake up, data back-up when the circuit is sporadically turned off, and ultra-low voltage power supply operation. Such circuits have to be completely autonomous regarding their unstable environment, while remaining in an optimum energetic configuration. Therefore, we propose in this paper the first MRAM-based non-volatile asynchronous Muller cell. This cell has been simulated and characterized in a very advanced 28 nm CMOS fully depleted silicon-on-insulator technology, presenting good power performance results due to an extremely efficient body biasing control together with ultra-wide supply voltage range from 160 mV up to 920 mV. The leakage current can be reduced to 154 pA thanks to reverse body biasing. We also propose an efficient standard CMOS bulk version of this cell in order to be compatible with different fabrication processes

  11. Effect of Ag nanoparticles on resistive switching of polyfluorene-based organic non-volatile memory devices

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Oh, Seung-Hwan; Choi, Hye-Jung; Wang, Gun-Uk; Kim, Dong-Yu; Hwang, Hyun-Sang; Lee, Tak-Hee

    2010-01-01

    The effects of Ag nanoparticles on the switching behavior of polyfluorene-based organic nonvolatile memory devices were investigated. Polyfluorene-derivatives (WPF-oxy-F) with and without Ag nanoparticles were synthesized, and the presence of Ag nanoparticles in Ag-WPF-oxy-F was identified by transmission electron microscopy and X-ray photoelectron spectroscopy analyses. The Ag-nanoparticles did not significantly affect the basic switching performances, such as the current-voltage characteristics, the distribution of on/off resistance, and the retention. The pulse switching time of Ag-WPF-oxy-F was faster than that of WPF-oxy-F. Ag-WPF-oxy-F memory devices showed an area dependence in the high resistance state, implying that formation of a Ag metallic channel for current conduction.

  12. Laser Nanosoldering of Golden and Magnetite Particles and its Possible Application in 3D Printing Devices and Four-Valued Non-Volatile Memories

    Directory of Open Access Journals (Sweden)

    Jaworski Jacek

    2015-12-01

    Full Text Available In recent years the 3D printing methods have been developing rapidly. This article presents researches about a new composite consisted of golden and magnetite nanoparticles which could be used for this technique. Preparation of golden nanoparticles by laser ablation and their soldering by laser green light irradiation proceeded in water environment. Magnetite was obtained on chemical way. During experiments it was tested a change of a size of nanoparticles during laser irradiation, surface plasmon resonance, zeta potential. The obtained golden - magnetite composite material was magnetic after laser irradiation. On the end there was considered the application it for 3D printing devices, water filters and four-valued non-volatile memories.

  13. Emerging memory technologies design, architecture, and applications

    CERN Document Server

    2014-01-01

    This book explores the design implications of emerging, non-volatile memory (NVM) technologies on future computer memory hierarchy architecture designs. Since NVM technologies combine the speed of SRAM, the density of DRAM, and the non-volatility of Flash memory, they are very attractive as the basis for future universal memories. This book provides a holistic perspective on the topic, covering modeling, design, architecture and applications. The practical information included in this book will enable designers to exploit emerging memory technologies to improve significantly the performance/power/reliability of future, mainstream integrated circuits. • Provides a comprehensive reference on designing modern circuits with emerging, non-volatile memory technologies, such as MRAM and PCRAM; • Explores new design opportunities offered by emerging memory technologies, from a holistic perspective; • Describes topics in technology, modeling, architecture and applications; • Enables circuit designers to ex...

  14. Electric Field Tuning Non-volatile Magnetism in Half-Metallic Alloys Co2FeAl/Pb(Mg1/3Nb2/3)O3-PbTiO3 Heterostructure

    Science.gov (United States)

    Dunzhu, Gesang; Wang, Fenglong; Zhou, Cai; Jiang, Changjun

    2018-03-01

    We reported the non-volatile electric field-mediated magnetic properties in the half-metallic Heusler alloy Co2FeAl/Pb(Mg1/3Nb2/3)O3-PbTiO3 heterostructure at room temperature. The remanent magnetization with different applied electric field along [100] and [01-1] directions was achieved, which showed the non-volatile remanent magnetization driven by an electric field. The two giant reversible and stable remanent magnetization states were obtained by applying pulsed electric field. This can be attributed to the piezostrain effect originating from the piezoelectric substrate, which can be used for magnetoelectric-based memory devices.

  15. Novel applications of non-volatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Duthie, I

    1982-01-01

    The author reviews briefly the evolution of the programmable memory and the alternative technologies, before discussing the operation of a small EEPROM when used in conjunction with a microprocessor for typical applications. Some applications are reviewed and the opportunities which eeproms can offer for new applications are presented, together with the requirements for artificial intelligence to become a reality.

  16. On the origin of resistive switching volatility in Ni/TiO{sub 2}/Ni stacks

    Energy Technology Data Exchange (ETDEWEB)

    Cortese, Simone, E-mail: simone.cortese@soton.ac.uk; Trapatseli, Maria; Khiat, Ali; Prodromakis, Themistoklis [Nano Research Group, Electronics and Computer Science, University of Southampton, Southampton, Hampshire, SO17 1BJ (United Kingdom)

    2016-08-14

    Resistive switching and resistive random access memories have attracted huge interest for next generation nonvolatile memory applications, also thought to be able to overcome flash memories limitations when arranged in crossbar arrays. A cornerstone of their potential success is that the toggling between two distinct resistance states, usually a High Resistive State (HRS) and a Low Resistive State (LRS), is an intrinsic non-volatile phenomenon with the two states being thermodynamically stable. TiO{sub 2} is one of the most common materials known to support non-volatile RS. In this paper, we report a volatile resistive switching in a titanium dioxide thin film sandwiched by two nickel electrodes. The aim of this work is to understand the underlying physical mechanism that triggers the volatile effect, which is ascribed to the presence of a NiO layer at the bottom interface. The NiO layer alters the equilibrium between electric field driven filament formation and thermal enhanced ion diffusion, resulting in the volatile behaviour. Although the volatility is not ideal for non-volatile memory applications, it shows merit for access devices in crossbar arrays due to its high LRS/HRS ratio, which are also briefly discussed.

  17. Next generation spin torque memories

    CERN Document Server

    Kaushik, Brajesh Kumar; Kulkarni, Anant Aravind; Prajapati, Sanjay

    2017-01-01

    This book offers detailed insights into spin transfer torque (STT) based devices, circuits and memories. Starting with the basic concepts and device physics, it then addresses advanced STT applications and discusses the outlook for this cutting-edge technology. It also describes the architectures, performance parameters, fabrication, and the prospects of STT based devices. Further, moving from the device to the system perspective it presents a non-volatile computing architecture composed of STT based magneto-resistive and all-spin logic devices and demonstrates that efficient STT based magneto-resistive and all-spin logic devices can turn the dream of instant on/off non-volatile computing into reality.

  18. On Using the Volatile Mem-Capacitive Effect of TiO2 Resistive Random Access Memory to Mimic the Synaptic Forgetting Process

    Science.gov (United States)

    Sarkar, Biplab; Mills, Steven; Lee, Bongmook; Pitts, W. Shepherd; Misra, Veena; Franzon, Paul D.

    2018-02-01

    In this work, we report on mimicking the synaptic forgetting process using the volatile mem-capacitive effect of a resistive random access memory (RRAM). TiO2 dielectric, which is known to show volatile memory operations due to migration of inherent oxygen vacancies, was used to achieve the volatile mem-capacitive effect. By placing the volatile RRAM candidate along with SiO2 at the gate of a MOS capacitor, a volatile capacitance change resembling the forgetting nature of a human brain is demonstrated. Furthermore, the memory operation in the MOS capacitor does not require a current flow through the gate dielectric indicating the feasibility of obtaining low power memory operations. Thus, the mem-capacitive effect of volatile RRAM candidates can be attractive to the future neuromorphic systems for implementing the forgetting process of a human brain.

  19. Demonstration of Novel Sampling Techniques for Measurement of Turbine Engine Volatile and Non-Volatile Particulate Matter (PM) Emissions

    Science.gov (United States)

    2017-03-06

    WP-201317) Demonstration of Novel Sampling Techniques for Measurement of Turbine Engine Volatile and Non-volatile Particulate Matter (PM... Engine Volatile and Non-Volatile Particulate Matter (PM) Emissions 6. AUTHOR(S) E. Corporan, M. DeWitt, C. Klingshirn, M.D. Cheng, R. Miake-Lye, J. Peck...the performance and viability of two devices to condition aircraft turbine engine exhaust to allow the accurate measurement of total (volatile and non

  20. High frequency electromechanical memory cells based on telescoping carbon nanotubes.

    Science.gov (United States)

    Popov, A M; Lozovik, Y E; Kulish, A S; Bichoutskaia, E

    2010-07-01

    A new method to increase the operational frequency of electromechanical memory cells based on the telescoping motion of multi-walled carbon nanotubes through the selection of the form of the switching voltage pulse is proposed. The relative motion of the walls of carbon nanotubes can be controlled through the shape of the interwall interaction energy surface. This allows the use of the memory cells in nonvolatile or volatile regime, depending on the structure of carbon nanotube. Simulations based on ab initio and semi-empirical calculations of the interwall interaction energies are used to estimate the switching voltage and the operational frequency of volatile cells with the electrodes made of carbon nanotubes. The lifetime of nonvolatile memory cells is also predicted.

  1. Effects of Financial Crises on the Long Memory Volatility Dependency of Foreign Exchange Rates: the Asian Crisis vs. the Global Crisis

    Directory of Open Access Journals (Sweden)

    Young Wook Han

    2014-03-01

    Full Text Available This paper examines the effects of financial crises on the long memory volatility dependency of daily exchange returns focusing on the Asian crisis in 97-98 and the Global crisis in 08-09. By using the daily KRW-USD and JPY-USD exchange rates which have different trading regions and volumes, this paper first applies both the parametric FIGARCH model and the semi-parametric Local Whittle method to estimate the long memory volatility dependency of the daily returns and the temporally aggregated returns of the two exchange rates. Then it compares the effects of the two financial crises on the long memory volatility dependency of the daily returns. The estimation results reflect that the long memory volatility dependency of the KRW-USD is generally greater than that of the JPY-USD returns and the long memory dependency of the two returns appears to be invariant to temporal aggregation. And, the two financial crises appear to affect the volatility dynamics of all the returns by inducing greater long memory dependency in the volatility process of the exchange returns, but the degree of the effects of the two crises seems to be different on the exchange rates.

  2. Discovering Authentication Credentials in Volatile Memory of Android Mobile Devices

    OpenAIRE

    Apostolopoulos , Dimitris; Marinakis , Giannis; Ntantogian , Christoforos; Xenakis , Christos

    2013-01-01

    Part 5: Adoption Issues in e/m-Services; International audience; This paper investigates whether authentication credentials in the volatile memory of Android mobile devices can be discovered using freely available tools. The experiments that we carried out for each application included two different sets: In the first set, our goal was to check if we could recover our own submitted credentials from the memory dump of the mobile device. In the second set of experiments, the goal was to find pa...

  3. Air-stable memory array of bistable rectifying diodes based on ferroelectric-semiconductor polymer blends

    Science.gov (United States)

    Kumar, Manasvi; Sharifi Dehsari, Hamed; Anwar, Saleem; Asadi, Kamal

    2018-03-01

    Organic bistable diodes based on phase-separated blends of ferroelectric and semiconducting polymers have emerged as promising candidates for non-volatile information storage for low-cost solution processable electronics. One of the bottlenecks impeding upscaling is stability and reliable operation of the array in air. Here, we present a memory array fabricated with an air-stable amine-based semiconducting polymer. Memory diode fabrication and full electrical characterizations were carried out in atmospheric conditions (23 °C and 45% relative humidity). The memory diodes showed on/off ratios greater than 100 and further exhibited robust and stable performance upon continuous write-read-erase-read cycles. Moreover, we demonstrate a 4-bit memory array that is free from cross-talk with a shelf-life of several months. Demonstration of the stability and reliable air operation further strengthens the feasibility of the resistance switching in ferroelectric memory diodes for low-cost applications.

  4. Electrically-controlled nonlinear switching and multi-level storage characteristics in WOx film-based memory cells

    Science.gov (United States)

    Duan, W. J.; Wang, J. B.; Zhong, X. L.

    2018-05-01

    Resistive switching random access memory (RRAM) is considered as a promising candidate for the next generation memory due to its scalability, high integration density and non-volatile storage characteristics. Here, the multiple electrical characteristics in Pt/WOx/Pt cells are investigated. Both of the nonlinear switching and multi-level storage can be achieved by setting different compliance current in the same cell. The correlations among the current, time and temperature are analyzed by using contours and 3D surfaces. The switching mechanism is explained in terms of the formation and rupture of conductive filament which is related to oxygen vacancies. The experimental results show that the non-stoichiometric WOx film-based device offers a feasible way for the applications of oxide-based RRAMs.

  5. Exponential Smoothing, Long Memory and Volatility Prediction

    DEFF Research Database (Denmark)

    Proietti, Tommaso

    three models that are natural extensions of ES: the fractionally integrated first order moving average (FIMA) model, a new integrated moving average model formulated in terms of the fractional lag operator (FLagIMA), and a fractional equal root integrated moving average (FerIMA) model, proposed...... originally by Hosking. We investigate the properties of the volatility components and the forecasts arising from these specification, which depend uniquely on the memory and the moving average parameters. For statistical inference we show that, under mild regularity conditions, the Whittle pseudo...

  6. New memory devices based on the proton transfer process

    Science.gov (United States)

    Wierzbowska, Małgorzata

    2016-01-01

    Memory devices operating due to the fast proton transfer (PT) process are proposed by the means of first-principles calculations. Writing information is performed using the electrostatic potential of scanning tunneling microscopy (STM). Reading information is based on the effect of the local magnetization induced at the zigzag graphene nanoribbon (Z-GNR) edge—saturated with oxygen or the hydroxy group—and can be realized with the use of giant magnetoresistance (GMR), a magnetic tunnel junction or spin-transfer torque devices. The energetic barriers for the hop forward and backward processes can be tuned by the distance and potential of the STM tip; this thus enables us to tailor the non-volatile logic states. The proposed system enables very dense packing of the logic cells and could be used in random access and flash memory devices.

  7. Emerging Non-volatile Memory Technologies Exploration Flow for Processor Architecture

    OpenAIRE

    senni , sophiane; Torres , Lionel; Sassatelli , Gilles; Gamatié , Abdoulaye; Mussard , Bruno

    2015-01-01

    International audience; Most die area of today's systems-on-chips is occupied by memories. Hence, a significant proportion of total power is spent on memory systems. Moreover, since processing elements have to be fed with instructions and data from memories, memory plays a key role for system's performance. As a result, memories are a critical part of future embedded systems. Continuing CMOS scaling leads to manufacturing constraints and power consumption issues for the current three main mem...

  8. Volatile Composition of Smoked and Non-Smoked Iranian Rice

    Directory of Open Access Journals (Sweden)

    Leontina Lipan

    2016-11-01

    Full Text Available In this work, the volatile profiles of smoked and non-smoked Iranian rice were identified, and their relative abundance was calculated and compared. Headspace solid-phase microextraction together with gas chromatography-mass spectrometry (SPME-GC-MS were used to extract and identify the volatile compounds. The main groups of volatiles in Iranian rice were aldehydes, ketones, phenol derivatives, furans, linear hydrocarbons, esters and terpenes. The chemical family aldehydes was the most abundant one in the profile of non-smoked rice, while phenol derivatives and furans predominated in smoked samples. This study is the first one reporting comparative data of volatile compounds between smoked and non-smoked Iranian rice.

  9. Scientific developments of liquid crystal-based optical memory: a review

    Science.gov (United States)

    Prakash, Jai; Chandran, Achu; Biradar, Ashok M.

    2017-01-01

    The memory behavior in liquid crystals (LCs), although rarely observed, has made very significant headway over the past three decades since their discovery in nematic type LCs. It has gone from a mere scientific curiosity to application in variety of commodities. The memory element formed by numerous LCs have been protected by patents, and some commercialized, and used as compensation to non-volatile memory devices, and as memory in personal computers and digital cameras. They also have the low cost, large area, high speed, and high density memory needed for advanced computers and digital electronics. Short and long duration memory behavior for industrial applications have been obtained from several LC materials, and an LC memory with interesting features and applications has been demonstrated using numerous LCs. However, considerable challenges still exist in searching for highly efficient, stable, and long-lifespan materials and methods so that the development of useful memory devices is possible. This review focuses on the scientific and technological approach of fascinating applications of LC-based memory. We address the introduction, development status, novel design and engineering principles, and parameters of LC memory. We also address how the amalgamation of LCs could bring significant change/improvement in memory effects in the emerging field of nanotechnology, and the application of LC memory as the active component for futuristic and interesting memory devices.

  10. New memory devices based on the proton transfer process

    International Nuclear Information System (INIS)

    Wierzbowska, Małgorzata

    2016-01-01

    Memory devices operating due to the fast proton transfer (PT) process are proposed by the means of first-principles calculations. Writing  information is performed using the electrostatic potential of scanning tunneling microscopy (STM). Reading information is based on the effect of the local magnetization induced at the zigzag graphene nanoribbon (Z-GNR) edge—saturated with oxygen or the hydroxy group—and can be realized with the use of giant magnetoresistance (GMR), a magnetic tunnel junction or spin-transfer torque devices. The energetic barriers for the hop forward and backward processes can be tuned by the distance and potential of the STM tip; this thus enables us to tailor the non-volatile logic states. The proposed system enables very dense packing of the logic cells and could be used in random access and flash memory devices. (paper)

  11. Estimating and Forecasting Generalized Fractional Long Memory Stochastic Volatility Models

    Directory of Open Access Journals (Sweden)

    Shelton Peiris

    2017-12-01

    Full Text Available This paper considers a flexible class of time series models generated by Gegenbauer polynomials incorporating the long memory in stochastic volatility (SV components in order to develop the General Long Memory SV (GLMSV model. We examine the corresponding statistical properties of this model, discuss the spectral likelihood estimation and investigate the finite sample properties via Monte Carlo experiments. We provide empirical evidence by applying the GLMSV model to three exchange rate return series and conjecture that the results of out-of-sample forecasts adequately confirm the use of GLMSV model in certain financial applications.

  12. FPGA-based prototype storage system with phase change memory

    Science.gov (United States)

    Li, Gezi; Chen, Xiaogang; Chen, Bomy; Li, Shunfen; Zhou, Mi; Han, Wenbing; Song, Zhitang

    2016-10-01

    With the ever-increasing amount of data being stored via social media, mobile telephony base stations, and network devices etc. the database systems face severe bandwidth bottlenecks when moving vast amounts of data from storage to the processing nodes. At the same time, Storage Class Memory (SCM) technologies such as Phase Change Memory (PCM) with unique features like fast read access, high density, non-volatility, byte-addressability, positive response to increasing temperature, superior scalability, and zero standby leakage have changed the landscape of modern computing and storage systems. In such a scenario, we present a storage system called FLEET which can off-load partial or whole SQL queries to the storage engine from CPU. FLEET uses an FPGA rather than conventional CPUs to implement the off-load engine due to its highly parallel nature. We have implemented an initial prototype of FLEET with PCM-based storage. The results demonstrate that significant performance and CPU utilization gains can be achieved by pushing selected query processing components inside in PCM-based storage.

  13. Ferroelectric polymer gates for non-volatile field effect control of ferromagnetism in (Ga, Mn)As layers

    International Nuclear Information System (INIS)

    Stolichnov, I; Riester, S W E; Mikheev, E; Setter, N; Rushforth, A W; Edmonds, K W; Campion, R P; Foxon, C T; Gallagher, B L; Jungwirth, T; Trodahl, H J

    2011-01-01

    (Ga, Mn)As and other diluted magnetic semiconductors (DMS) attract a great deal of attention for potential spintronic applications because of the possibility of controlling the magnetic properties via electrical gating. Integration of a ferroelectric gate on the DMS channel adds to the system a non-volatile memory functionality and permits nanopatterning via the polarization domain engineering. This topical review is focused on the multiferroic system, where the ferromagnetism in the (Ga, Mn)As DMS channel is controlled by the non-volatile field effect of the spontaneous polarization. Use of ferroelectric polymer gates in such heterostructures offers a viable alternative to the traditional oxide ferroelectrics generally incompatible with DMS. Here we review the proof-of-concept experiments demonstrating the ferroelectric control of ferromagnetism, analyze the performance issues of the ferroelectric gates and discuss prospects for further development of the ferroelectric/DMS heterostructures toward the multiferroic field effect transistor. (topical review)

  14. Malware Memory Analysis of the IVYL Linux Rootkit: Investigating a Publicly Available Linux Rootkit Using the Volatility Memory Analysis Framework

    Science.gov (United States)

    2015-04-01

    report is to examine how a computer forensic investigator/incident handler, without specialised computer memory or software reverse engineering skills ...The skills amassed by incident handlers and investigators alike while using Volatility to examine Windows memory images will be of some help...bin/pulseaudio --start --log-target=syslog 1362 1000 1000 nautilus 1366 1000 1000 /usr/lib/pulseaudio/pulse/gconf- helper 1370 1000 1000 nm-applet

  15. Transparent Memory For Harsh Electronics

    KAUST Repository

    Ho, C. H.; Duran Retamal, Jose Ramon; Yang, P. K.; Lee, C. P.; Tsai, M. L.; Kang, C. F.; He, Jr-Hau

    2017-01-01

    As a new class of non-volatile memory, resistive random access memory (RRAM) offers not only superior electronic characteristics, but also advanced functionalities, such as transparency and radiation hardness. However, the environmental tolerance

  16. Modeling of Volatility with Non-linear Time Series Model

    OpenAIRE

    Kim Song Yon; Kim Mun Chol

    2013-01-01

    In this paper, non-linear time series models are used to describe volatility in financial time series data. To describe volatility, two of the non-linear time series are combined into form TAR (Threshold Auto-Regressive Model) with AARCH (Asymmetric Auto-Regressive Conditional Heteroskedasticity) error term and its parameter estimation is studied.

  17. Dual-functional Memory and Threshold Resistive Switching Based on the Push-Pull Mechanism of Oxygen Ions

    KAUST Repository

    Huang, Yi-Jen; Chao, Shih-Chun; Lien, Der-Hsien; Wen, Cheng-Yen; He, Jr-Hau; Lee, Si-Chen

    2016-01-01

    The combination of nonvolatile memory switching and volatile threshold switching functions of transition metal oxides in crossbar memory arrays is of great potential for replacing charge-based flash memory in very-large-scale integration. Here, we

  18. A Multivariate Asymmetric Long Memory Conditional Volatility Model with X, Regularity and Asymptotics

    NARCIS (Netherlands)

    M. Asai (Manabu); M.J. McAleer (Michael)

    2016-01-01

    textabstractThe paper derives a Multivariate Asymmetric Long Memory conditional volatility model with Exogenous Variables (X), or the MALMX model, with dynamic conditional correlations, appropriate regularity conditions, and associated asymptotic theory. This enables checking of internal consistency

  19. Observing the amorphous-to-crystalline phase transition in Ge{sub 2}Sb{sub 2}Te{sub 5} non-volatile memory materials from ab initio molecular-dynamics simulations

    Energy Technology Data Exchange (ETDEWEB)

    Lee, T.H.; Elliott, S.R. [Department of Chemistry, University of Cambridge, Lensfield Road, CB2 1EW Cambridge (United Kingdom)

    2012-10-15

    Phase-change memory is a promising candidate for the next generation of non-volatile memory devices. This technology utilizes reversible phase transitions between amorphous and crystalline phases of a recording material, and has been successfully used in rewritable optical data storage, revealing its feasibility. In spite of the importance of understanding the nucleation and growth processes that play a critical role in the phase transition, this understanding is still incomplete. Here, we present observations of the early stages of crystallization in Ge{sub 2}Sb{sub 2}Te{sub 5} materials through ab initio molecular-dynamics simulations. Planar structures, including fourfold rings and planes, play an important role in the formation and growth of crystalline clusters in the amorphous matrix. At the same time, vacancies facilitate crystallization by providing space at the glass-crystalline interface for atomic diffusion, which results in fast crystal growth, as observed in simulations and experiments. The microscopic mechanism of crystallization presented here may deepen our understanding of the phase transition occurring in real devices, providing an opportunity to optimize the memory performance of phase-change materials. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Impact of process parameters on the structural and electrical properties of metal/PZT/Al2O3/silicon gate stack for non-volatile memory applications

    Science.gov (United States)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    In this paper, we present the structural and electrical properties of the Al2O3 buffer layer on non-volatile memory behavior using Metal/PZT/Al2O3/Silicon structures. Metal/PZT/Silicon and Metal/Al2O3/Silicon structures were also fabricated and characterized to obtain capacitance and leakage current parameters. Lead zirconate titanate (PZT::35:65) and Al2O3 films were deposited by sputtering on the silicon substrate. Memory window, PUND, endurance, breakdown voltage, effective charges, flat-band voltage and leakage current density parameters were measured and the effects of process parameters on the structural and electrical characteristics were investigated. X-ray data show dominant (110) tetragonal phase of the PZT film, which crystallizes at 500 °C. The sputtered Al2O3 film annealed at different temperatures show dominant (312) orientation and amorphous nature at 425 °C. Multiple angle laser ellipsometric analysis reveals the temperature dependence of PZT film refractive index and extinction coefficient. Electrical characterization shows the maximum memory window of 3.9 V and breakdown voltage of 25 V for the Metal/Ferroelectric/Silicon (MFeS) structures annealed at 500 °C. With 10 nm Al2O3 layer in the Metal/Ferroelectric/Insulator/Silicon (MFeIS) structure, the memory window and breakdown voltage was improved to 7.21 and 35 V, respectively. Such structures show high endurance with no significant reduction polarization charge for upto 2.2 × 109 iteration cycles.

  1. Magnetic vortex racetrack memory

    Science.gov (United States)

    Geng, Liwei D.; Jin, Yongmei M.

    2017-02-01

    We report a new type of racetrack memory based on current-controlled movement of magnetic vortices in magnetic nanowires with rectangular cross-section and weak perpendicular anisotropy. Data are stored through the core polarity of vortices and each vortex carries a data bit. Besides high density, non-volatility, fast data access, and low power as offered by domain wall racetrack memory, magnetic vortex racetrack memory has additional advantages of no need for constrictions to define data bits, changeable information density, adjustable current magnitude for data propagation, and versatile means of ultrafast vortex core switching. By using micromagnetic simulations, current-controlled motion of magnetic vortices in cobalt nanowire is demonstrated for racetrack memory applications.

  2. Mean-Variance portfolio optimization by using non constant mean and volatility based on the negative exponential utility function

    Science.gov (United States)

    Soeryana, Endang; Halim, Nurfadhlina Bt Abdul; Sukono, Rusyaman, Endang; Supian, Sudradjat

    2017-03-01

    Investments in stocks investors are also faced with the issue of risk, due to daily price of stock also fluctuate. For minimize the level of risk, investors usually forming an investment portfolio. Establishment of a portfolio consisting of several stocks are intended to get the optimal composition of the investment portfolio. This paper discussed about optimizing investment portfolio of Mean-Variance to stocks by using mean and volatility is not constant based on the Negative Exponential Utility Function. Non constant mean analyzed using models Autoregressive Moving Average (ARMA), while non constant volatility models are analyzed using the Generalized Autoregressive Conditional heteroscedastic (GARCH). Optimization process is performed by using the Lagrangian multiplier technique. As a numerical illustration, the method is used to analyze some stocks in Indonesia. The expected result is to get the proportion of investment in each stock analyzed

  3. Atomic crystals resistive switching memory

    International Nuclear Information System (INIS)

    Liu Chunsen; Zhang David Wei; Zhou Peng

    2017-01-01

    Facing the growing data storage and computing demands, a high accessing speed memory with low power and non-volatile character is urgently needed. Resistive access random memory with 4F 2 cell size, switching in sub-nanosecond, cycling endurances of over 10 12 cycles, and information retention exceeding 10 years, is considered as promising next-generation non-volatile memory. However, the energy per bit is still too high to compete against static random access memory and dynamic random access memory. The sneak leakage path and metal film sheet resistance issues hinder the further scaling down. The variation of resistance between different devices and even various cycles in the same device, hold resistive access random memory back from commercialization. The emerging of atomic crystals, possessing fine interface without dangling bonds in low dimension, can provide atomic level solutions for the obsessional issues. Moreover, the unique properties of atomic crystals also enable new type resistive switching memories, which provide a brand-new direction for the resistive access random memory. (topical reviews)

  4. Range-based volatility, expected stock returns, and the low volatility anomaly

    Science.gov (United States)

    2017-01-01

    One of the foundations of financial economics is the idea that rational investors will discount stocks with more risk (volatility), which will result in a positive relation between risk and future returns. However, the empirical evidence is mixed when determining how volatility is related to future returns. In this paper, we examine this relation using a range-based measure of volatility, which is shown to be theoretically, numerically, and empirically superior to other measures of volatility. In a variety of tests, we find that range-based volatility is negatively associated with expected stock returns. These results are robust to time-series multifactor models as well as cross-sectional tests. Our findings contribute to the debate about the direction of the relationship between risk and return and confirm the presence of the low volatility anomaly, or the anomalous finding that low volatility stocks outperform high volatility stocks. In other tests, we find that the lower returns associated with range-based volatility are driven by stocks with lottery-like characteristics. PMID:29190652

  5. Range-based volatility, expected stock returns, and the low volatility anomaly.

    Science.gov (United States)

    Blau, Benjamin M; Whitby, Ryan J

    2017-01-01

    One of the foundations of financial economics is the idea that rational investors will discount stocks with more risk (volatility), which will result in a positive relation between risk and future returns. However, the empirical evidence is mixed when determining how volatility is related to future returns. In this paper, we examine this relation using a range-based measure of volatility, which is shown to be theoretically, numerically, and empirically superior to other measures of volatility. In a variety of tests, we find that range-based volatility is negatively associated with expected stock returns. These results are robust to time-series multifactor models as well as cross-sectional tests. Our findings contribute to the debate about the direction of the relationship between risk and return and confirm the presence of the low volatility anomaly, or the anomalous finding that low volatility stocks outperform high volatility stocks. In other tests, we find that the lower returns associated with range-based volatility are driven by stocks with lottery-like characteristics.

  6. Range-based volatility, expected stock returns, and the low volatility anomaly.

    Directory of Open Access Journals (Sweden)

    Benjamin M Blau

    Full Text Available One of the foundations of financial economics is the idea that rational investors will discount stocks with more risk (volatility, which will result in a positive relation between risk and future returns. However, the empirical evidence is mixed when determining how volatility is related to future returns. In this paper, we examine this relation using a range-based measure of volatility, which is shown to be theoretically, numerically, and empirically superior to other measures of volatility. In a variety of tests, we find that range-based volatility is negatively associated with expected stock returns. These results are robust to time-series multifactor models as well as cross-sectional tests. Our findings contribute to the debate about the direction of the relationship between risk and return and confirm the presence of the low volatility anomaly, or the anomalous finding that low volatility stocks outperform high volatility stocks. In other tests, we find that the lower returns associated with range-based volatility are driven by stocks with lottery-like characteristics.

  7. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  8. An overview of Experimental Condensed Matter Physics in Argentina by 2014, and Oxides for Non Volatile Memory Devices: The MeMOSat Project

    Science.gov (United States)

    Levy, Pablo

    2015-03-01

    In the first part of my talk, I will describe the status of the experimental research in Condensed Matter Physics in Argentina, biased towards developments related to micro and nanotechnology. In the second part, I will describe the MeMOSat Project, a consortium aimed at producing non-volatile memory devices to work in aggressive environments, like those found in the aerospace and nuclear industries. Our devices rely on the Resistive Switching mechanism, which produces a permanent but reversible change in the electrical resistance across a metal-insulator-metal structure by means of a pulsed protocol of electrical stimuli. Our project is devoted to the study of Memory Mechanisms in Oxides (MeMO) in order to establish a technological platform that tests the Resistive RAM (ReRAM) technology for aerospace applications. A review of MeMOSat's activities is presented, covering the initial Proof of Concept in ceramic millimeter sized samples; the study of different oxide-metal couples including (LaPr)2/3Ca1/3MnO, La2/3Ca1/3MnO3, YBa2Cu3O7, TiO2, HfO2, MgO and CuO; and recent miniaturized arrays of micrometer sized devices controlled by in-house designed electronics, which were launched with the BugSat01 satellite in June2014 by the argentinian company Satellogic.

  9. Magnetic vortex racetrack memory

    Energy Technology Data Exchange (ETDEWEB)

    Geng, Liwei D.; Jin, Yongmei M., E-mail: ymjin@mtu.edu

    2017-02-01

    We report a new type of racetrack memory based on current-controlled movement of magnetic vortices in magnetic nanowires with rectangular cross-section and weak perpendicular anisotropy. Data are stored through the core polarity of vortices and each vortex carries a data bit. Besides high density, non-volatility, fast data access, and low power as offered by domain wall racetrack memory, magnetic vortex racetrack memory has additional advantages of no need for constrictions to define data bits, changeable information density, adjustable current magnitude for data propagation, and versatile means of ultrafast vortex core switching. By using micromagnetic simulations, current-controlled motion of magnetic vortices in cobalt nanowire is demonstrated for racetrack memory applications. - Highlights: • Advance fundamental knowledge of current-driven magnetic vortex phenomena. • Report appealing new magnetic racetrack memory based on current-controlled magnetic vortices in nanowires. • Provide a novel approach to adjust current magnitude for data propagation. • Overcome the limitations of domain wall racetrack memory.

  10. The properties of realized volatility and realized correlation: Evidence from the Indian stock market

    Science.gov (United States)

    Gkillas (Gillas), Konstantinos; Vortelinos, Dimitrios I.; Saha, Shrabani

    2018-02-01

    This paper investigates the properties of realized volatility and correlation series in the Indian stock market by employing daily data converting to monthly frequency of five different stock indices from January 2, 2006 to November 30, 2014. Using non-parametric estimation technique the properties examined include normality, long-memory, asymmetries, jumps, and heterogeneity. The realized volatility is a useful technique which provides a relatively accurate measure of volatility based on the actual variance which is beneficial for asset management in particular for non-speculative funds. The results show that realized volatility and correlation series are not normally distributed, with some evidence of persistence. Asymmetries are also evident in both volatilities and correlations. Both jumps and heterogeneity properties are significant; whereas, the former is more significant than the latter. The findings show that properties of volatilities and correlations in Indian stock market have similarities as that show in the stock markets in developed countries such as the stock market in the United States which is more prevalent for speculative business traders.

  11. Inkjet-printing of non-volatile organic resistive devices and crossbar array structures

    Science.gov (United States)

    Sax, Stefan; Nau, Sebastian; Popovic, Karl; Bluemel, Alexander; Klug, Andreas; List-Kratochvil, Emil J. W.

    2015-09-01

    Due to the increasing demand for storage capacity in various electronic gadgets like mobile phones or tablets, new types of non-volatile memory devices have gained a lot of attention over the last few years. Especially multilevel conductance switching elements based on organic semiconductors are of great interest due to their relatively simple device architecture and their small feature size. Since organic semiconductors combine the electronic properties of inorganic materials with the mechanical characteristics of polymers, this class of materials is suitable for solution based large area device preparation techniques. Consequently, inkjet based deposition techniques are highly capable of facing preparation related challenges. By gradually replacing the evaporated electrodes with inkjet printed silver, the preparation related influence onto device performance parameters such as the ON/OFF ratio was investigated with IV measurements and high resolution transmission electron microscopy. Due to the electrode surface roughness the solvent load during the printing of the top electrode as well as organic layer inhomogeneity's the utilization in array applications is hampered. As a prototypical example a 1diode-1resistor element and a 2×2 subarray from 5×5 array matrix were fully characterized demonstrating the versatility of inkjet printing for device preparation.

  12. A Non-Targeted Approach Unravels the Volatile Network in Peach Fruit

    Science.gov (United States)

    Sánchez, Gerardo; Besada, Cristina; Badenes, María Luisa; Monforte, Antonio José; Granell, Antonio

    2012-01-01

    Volatile compounds represent an important part of the plant metabolome and are of particular agronomic and biological interest due to their contribution to fruit aroma and flavor and therefore to fruit quality. By using a non-targeted approach based on HS-SPME-GC-MS, the volatile-compound complement of peach fruit was described. A total of 110 volatile compounds (including alcohols, ketones, aldehydes, esters, lactones, carboxylic acids, phenolics and terpenoids) were identified and quantified in peach fruit samples from different genetic backgrounds, locations, maturity stages and physiological responses. By using a combination of hierarchical cluster analysis and metabolomic correlation network analysis we found that previously known peach fruit volatiles are clustered according to their chemical nature or known biosynthetic pathways. Moreover, novel volatiles that had not yet been described in peach were identified and assigned to co-regulated groups. In addition, our analyses showed that most of the co-regulated groups showed good intergroup correlations that are therefore consistent with the existence of a higher level of regulation orchestrating volatile production under different conditions and/or developmental stages. In addition, this volatile network of interactions provides the ground information for future biochemical studies as well as a useful route map for breeding or biotechnological purposes. PMID:22761719

  13. Pricing European option with transaction costs under the fractional long memory stochastic volatility model

    Science.gov (United States)

    Wang, Xiao-Tian; Wu, Min; Zhou, Ze-Min; Jing, Wei-Shu

    2012-02-01

    This paper deals with the problem of discrete time option pricing using the fractional long memory stochastic volatility model with transaction costs. Through the 'anchoring and adjustment' argument in a discrete time setting, a European call option pricing formula is obtained.

  14. High-performance and low-power rewritable SiOx 1 kbit one diode-one resistor crossbar memory array.

    Science.gov (United States)

    Wang, Gunuk; Lauchner, Adam C; Lin, Jian; Natelson, Douglas; Palem, Krishna V; Tour, James M

    2013-09-14

    An entire 1-kilobit crossbar device based upon SiOx resistive memories with integrated diodes has been made. The SiOx -based one diode-one resistor device system has promise to satisfy the prerequisite conditions for next generation non-volatile memory applications. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Bipolar resistive switching in graphene oxide based metal insulator metal structure for non-volatile memory applications

    Science.gov (United States)

    Singh, Rakesh; Kumar, Ravi; Kumar, Anil; Kashyap, Rajesh; Kumar, Mukesh; Kumar, Dinesh

    2018-05-01

    Graphene oxide based devices have attracted much attention recently because of their possible application in next generation electronic devices. In this study, bipolar resistive switching characteristics of graphene oxide based metal insulator metal structure were investigated for nonvolatile memories. The graphene oxide was prepared by the conventional Hummer's method and deposited on ITO coated glass by spin-coating technique. The dominant mechanism of resistive switching is the formation and rupture of the conductive filament inside the graphene oxide. The conduction mechanism for low and high resistance states are dominated by two mechanism the ohmic conduction and space charge limited current (SCLC) mechanism, respectively. Atomic Force Microscopy, X-ray diffraction, Cyclic-Voltammetry were conducted to observe the morphology, structure and behavior of the material. The fabricated device with Al/GO/ITO structure exhibited reliable bipolar resistive switching with set & reset voltage of -2.3 V and 3V respectively.

  16. Testing for Co-integration in Vector Autoregressions with Non-Stationary Volatility

    DEFF Research Database (Denmark)

    Cavaliere, Guiseppe; Rahbæk, Anders; Taylor, A.M. Robert

    Many key macro-economic and financial variables are characterised by permanent changes in unconditional volatility. In this paper we analyse vector autoregressions with non-stationary (unconditional) volatility of a very general form, which includes single and multiple volatility breaks as special...

  17. Direct observation of conductive filament formation in Alq3 based organic resistive memories

    Energy Technology Data Exchange (ETDEWEB)

    Busby, Y., E-mail: yan.busby@unamur.be; Pireaux, J.-J. [Research Center in the Physics of Matter and Radiation (PMR), Laboratoire Interdisciplinaire de Spectroscopie Electronique (LISE), University of Namur, B-5000 Namur (Belgium); Nau, S.; Sax, S. [NanoTecCenter Weiz Forschungsgesellschaft mbH, Franz-Pichler Straße 32, A-8160 Weiz (Austria); List-Kratochvil, E. J. W. [NanoTecCenter Weiz Forschungsgesellschaft mbH, Franz-Pichler Straße 32, A-8160 Weiz (Austria); Institute of Solid State Physics, Graz University of Technology, A-8010 Graz (Austria); Novak, J.; Banerjee, R.; Schreiber, F. [Institute of Applied Physics, Eberhard-Karls-Universität Tübingen, D-72076 Tübingen (Germany)

    2015-08-21

    This work explores resistive switching mechanisms in non-volatile organic memory devices based on tris(8-hydroxyquinolie)aluminum (Alq{sub 3}). Advanced characterization tools are applied to investigate metal diffusion in ITO/Alq{sub 3}/Ag memory device stacks leading to conductive filament formation. The morphology of Alq{sub 3}/Ag layers as a function of the metal evaporation conditions is studied by X-ray reflectivity, while depth profile analysis with X-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectrometry is applied to characterize operational memory elements displaying reliable bistable current-voltage characteristics. 3D images of the distribution of silver inside the organic layer clearly point towards the existence of conductive filaments and allow for the identification of the initial filament formation and inactivation mechanisms during switching of the device. Initial filament formation is suggested to be driven by field assisted diffusion of silver from abundant structures formed during the top electrode evaporation, whereas thermochemical effects lead to local filament inactivation.

  18. Thin PZT-Based Ferroelectric Capacitors on Flexible Silicon for Nonvolatile Memory Applications

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-04-24

    A flexible version of traditional thin lead zirconium titanate ((Pb1.1Zr0.48Ti0.52O3)-(PZT)) based ferroelectric random access memory (FeRAM) on silicon shows record performance in flexible arena. The thin PZT layer requires lower operational voltages to achieve coercive electric fields, reduces the sol-gel coating cycles required (i.e., more cost-effective), and, fabrication wise, is more suitable for further scaling of lateral dimensions to the nano-scale due to the larger feature size-to-depth aspect ratio (critical for ultra-high density non-volatile memory applications). Utilizing the inverse proportionality between substrate\\'s thickness and its flexibility, traditional PZT based FeRAM on silicon is transformed through a transfer-less manufacturable process into a flexible form that matches organic electronics\\' flexibility while preserving the superior performance of silicon CMOS electronics. Each memory cell in a FeRAM array consists of two main elements; a select/access transistor, and a storage ferroelectric capacitor. Flexible transistors on silicon have already been reported. In this work, we focus on the storage ferroelectric capacitors, and report, for the first time, its performance after transformation into a flexible version, and assess its key memory parameters while bent at 0.5 cm minimum bending radius.

  19. A Skewed Student-t Value-at-Risk Approach for Long Memory Volatility Processes in Japanese Financial Markets

    Directory of Open Access Journals (Sweden)

    Seong¡-Min Yoon

    2007-06-01

    Full Text Available This paper investigates the relevance of skewed Student-t distributions in capturing long memory volatility properties in the daily return series of Japanese financial data (Nikkei 225 Index and JPY-USD exchange rate. For this purpose, we assess the performance of two long memory Value-at-Risk (VaR models (FIGARCH and FIAPARCH VaR model with three different distribution innovations: the normal, Student-t, and skewed Student-t distributions. From our results, we find that the skewed Student-t distribution model produces more accurate VaR estimations than normal and Student-t distribution models. Thus, accounting for skewness and excess kurtosis in the asset return distribution can provide suitable criteria for VaR model selection in the context of long memory volatility and enhance the performance of risk management in Japanese financial markets.

  20. Testing for Co-integration in Vector Autoregressions with Non-Stationary Volatility

    DEFF Research Database (Denmark)

    Cavaliere, Giuseppe; Rahbek, Anders Christian; Taylor, A. M. Robert

    Many key macro-economic and …nancial variables are characterised by permanent changes in unconditional volatility. In this paper we analyse vector autoregressions with non-stationary (unconditional) volatility of a very general form, which includes single and multiple volatility breaks as special...

  1. Efficient Management for Hybrid Memory in Managed Language Runtime

    OpenAIRE

    Wang , Chenxi; Cao , Ting; Zigman , John; Lv , Fang; Zhang , Yunquan; Feng , Xiaobing

    2016-01-01

    Part 1: Memory: Non-Volatile, Solid State Drives, Hybrid Systems; International audience; Hybrid memory, which leverages the benefits of traditional DRAM and emerging memory technologies, is a promising alternative for future main memory design. However popular management policies through memory-access recording and page migration may invoke non-trivial overhead in execution time and hardware space. Nowadays, managed language applications are increasingly dominant in every kind of platform. M...

  2. Volatile and non-volatile compounds in green tea affected in harvesting time and their correlation to consumer preference.

    Science.gov (United States)

    Kim, Youngmok; Lee, Kwang-Geun; Kim, Mina K

    2016-10-01

    Current study was designed to find out how tea harvesting time affects the volatile and non-volatile compounds profiles of green tea. In addition, correlation of instrumental volatile and non-volatile compounds analyses to consumer perception were analyzed. Overall, earlier harvested green tea had stronger antioxidant capacity (~61.0%) due to the polyphenolic compounds from catechin (23,164 mg/L), in comparison to later harvested green teas (11,961 mg/L). However, high catechin content in green tea influenced negatively the consumer likings of green tea, due to high bitterness (27.6%) and astringency (13.4%). Volatile compounds drive consumer liking of green tea products were also identified, that included linalool, 2,3-methyl butanal, 2-heptanone, (E,E)-3,5-Octadien-2-one. Finding from current study are useful for green tea industry as it provide the difference in physiochemical properties of green tea harvested at different intervals.

  3. Embedded Memory Hierarchy Exploration Based on Magnetic Random Access Memory

    Directory of Open Access Journals (Sweden)

    Luís Vitório Cargnini

    2014-08-01

    Full Text Available Static random access memory (SRAM is the most commonly employed semiconductor in the design of on-chip processor memory. However, it is unlikely that the SRAM technology will have a cell size that will continue to scale below 45 nm, due to the leakage current that is caused by the quantum tunneling effect. Magnetic random access memory (MRAM is a candidate technology to replace SRAM, assuming appropriate dimensioning given an operating threshold voltage. The write current of spin transfer torque (STT-MRAM is a known limitation; however, this has been recently mitigated by leveraging perpendicular magnetic tunneling junctions. In this article, we present a comprehensive comparison of spin transfer torque-MRAM (STT-MRAM and SRAM cache set banks. The non-volatility of STT-MRAM allows the definition of new instant on/off policies and leakage current optimizations. Through our experiments, we demonstrate that STT-MRAM is a candidate for the memory hierarchy of embedded systems, due to the higher densities and reduced leakage of MRAM.We demonstrate that adopting STT-MRAM in L1 and L2 caches mitigates the impact of higher write latencies and increased current draw due to the use of MRAM. With the correct system-on-chip (SoC design, we believe that STT-MRAM is a viable alternative to SRAM, which minimizes leakage current and the total power consumed by the SoC.

  4. Similar digit-based working memory in deaf signers and hearing non-signers despite digit span differences

    Directory of Open Access Journals (Sweden)

    Josefine eAndin

    2013-12-01

    Full Text Available Similar working memory (WM for lexical items has been demonstrated for signers and non-signers while short-term memory (STM is regularly poorer in deaf than hearing individuals. In the present study, we investigated digit-based WM and STM in Swedish and British deaf signers and hearing non-signers. To maintain good experimental control we used printed stimuli throughout and held response mode constant across groups. We showed that deaf signers have similar digit-based WM performance, despite shorter digit spans, compared to well-matched hearing non-signers. We found no difference between signers and non-signers on STM span for letters chosen to minimize phonological similarity or in the effects of recall direction. This set of findings indicates that similar WM for signers and non-signers can be generalized from lexical items to digits and suggests that poorer STM in deaf signers compared to hearing non-signers may be due to differences in phonological similarity across the language modalities of sign and speech.

  5. Non-Host Plant Volatiles Disrupt Sex Pheromone Communication in a Specialist Herbivore

    OpenAIRE

    Wang, Fumin; Deng, Jianyu; Schal, Coby; Lou, Yonggen; Zhou, Guoxin; Ye, Bingbing; Yin, Xiaohui; Xu, Zhihong; Shen, Lize

    2016-01-01

    The ecological effects of plant volatiles on herbivores are manifold. Little is known, however, about the impacts of non-host plant volatiles on intersexual pheromonal communication in specialist herbivores. We tested the effects of several prominent constitutive terpenoids released by conifers and Eucalyptus trees on electrophysiological and behavioral responses of an oligophagous species, Plutella xylostella, which feeds on Brassicaceae. The non-host plant volatile terpenoids adversely affe...

  6. Phase change memory

    CERN Document Server

    Qureshi, Moinuddin K

    2011-01-01

    As conventional memory technologies such as DRAM and Flash run into scaling challenges, architects and system designers are forced to look at alternative technologies for building future computer systems. This synthesis lecture begins by listing the requirements for a next generation memory technology and briefly surveys the landscape of novel non-volatile memories. Among these, Phase Change Memory (PCM) is emerging as a leading contender, and the authors discuss the material, device, and circuit advances underlying this exciting technology. The lecture then describes architectural solutions t

  7. A non-erasable magnetic memory based on the magnetic permeability

    International Nuclear Information System (INIS)

    Petrie, J.R.; Wieland, K.A.; Burke, R.A.; Newburgh, G.A.; Burnette, J.E.; Fischer, G.A.; Edelstein, A.S.

    2014-01-01

    A non-erasable memory based on using differences in the magnetic permeability is demonstrated. The method can potentially store information indefinitely. Initially the high permeability bits were 10–50 μm wide lines of sputtered permalloy (Ni 81 Fe 19 ) on a glass substrate. In a second writing technique a continuous film of amorphous, high permeability ferromagnetic Metglas (Fe 78 Si 13 B 9 ) was sputtered onto a similar glass substrate. Low permeability, crystalline 50 μm wide lines were then written in the film by laser heating. Both types of written media were read by applying an external probe field that is locally modified by the permeability of each bit. The modifications in the probe field were read by a nearby set of 10 micron wide magnetic tunnel junctions with a signal-to-noise ratio of up to 45 dB. This large response to changes in bit permeability is not altered after the media has been exposed to a 6400 Oe field. While being immediately applicable for data archiving and secure information storage, higher densities are possible with smaller read and write heads. - Highlights: • We demonstrate a non-erasable memory based on changes in the magnetic permeability. • Large change in permeability occur when Metglas changes from amorphous to crystalline. • Micron size regions of Metglas can be crystallized using a laser. • Permeability changes read by observing deviations of a probe field with an MTJ

  8. Stochastic model of financial markets reproducing scaling and memory in volatility return intervals

    Science.gov (United States)

    Gontis, V.; Havlin, S.; Kononovicius, A.; Podobnik, B.; Stanley, H. E.

    2016-11-01

    We investigate the volatility return intervals in the NYSE and FOREX markets. We explain previous empirical findings using a model based on the interacting agent hypothesis instead of the widely-used efficient market hypothesis. We derive macroscopic equations based on the microscopic herding interactions of agents and find that they are able to reproduce various stylized facts of different markets and different assets with the same set of model parameters. We show that the power-law properties and the scaling of return intervals and other financial variables have a similar origin and could be a result of a general class of non-linear stochastic differential equations derived from a master equation of an agent system that is coupled by herding interactions. Specifically, we find that this approach enables us to recover the volatility return interval statistics as well as volatility probability and spectral densities for the NYSE and FOREX markets, for different assets, and for different time-scales. We find also that the historical S&P500 monthly series exhibits the same volatility return interval properties recovered by our proposed model. Our statistical results suggest that human herding is so strong that it persists even when other evolving fluctuations perturbate the financial system.

  9. Measurements of non-volatile aerosols with a VTDMA and their correlations with carbonaceous aerosols in Guangzhou, China

    Science.gov (United States)

    Cheung, Heidi H. Y.; Tan, Haobo; Xu, Hanbing; Li, Fei; Wu, Cheng; Yu, Jian Z.; Chan, Chak K.

    2016-07-01

    Simultaneous measurements of aerosol volatility and carbonaceous matters were conducted at a suburban site in Guangzhou, China, in February and March 2014 using a volatility tandem differential mobility analyzer (VTDMA) and an organic carbon/elemental carbon (OC / EC) analyzer. Low volatility (LV) particles, with a volatility shrink factor (VSF) at 300 °C exceeding 0.9, contributed 5 % of number concentrations of the 40 nm particles and 11-15 % of the 80-300 nm particles. They were composed of non-volatile material externally mixed with volatile material, and therefore did not evaporate significantly at 300 °C. Non-volatile material mixed internally with the volatile material was referred to as medium volatility (MV, 0.4 transported at low altitudes (below 1500 m) for over 40 h before arrival. Further comparison with the diurnal variations in the mass fractions of EC and the non-volatile OC in PM2.5 suggests that the non-volatile residuals may be related to both EC and non-volatile OC in the afternoon, during which the concentration of aged organics increased. A closure analysis of the total mass of LV and MV residuals and the mass of EC or the sum of EC and non-volatile OC was conducted. It suggests that non-volatile OC, in addition to EC, was one of the components of the non-volatile residuals measured by the VTDMA in this study.

  10. Large non-volatile tuning of magnetism mediated by electric field in Fe–Al/Pb(Mg1/3Nb2/3)O3–PbTiO3 heterostructure

    International Nuclear Information System (INIS)

    Chen, Zhendong; Gao, Cunxu; Wei, Yanping; Zhang, Peng; Wang, Yutian; Zhang, Chao; Ma, Zhikun

    2017-01-01

    Electric-field control of magnetism is now an attractive trend to approach a new kind of fast, low-power-cost memory device. In this work, we report a strong non-volatile electric control of magnetism in an Fe–Al/Pb(Mg 1/3 Nb 2/3 )O 3 –PbTiO 3 heterostructure. In this system, a 90° rotation of the in-plane uniaxial magnetic anisotropy is exhibited during the increase of the external electric field, which means the easy axis turns into a hard axis and the hard axis turns into an easy one. Additionally, a non-volatile switch of the remanence is observed after a sweeping of the electric field from 0 kV cm −1 to  ±  10 kV cm −1 , then back to 0 kV cm −1 . More interestingly, a 20% non-volatile magnetic state tuning driven by individual pulse electric fields is shown in contrast to large tuning up to 120% caused by pulse electric fields with small assistant pulse magnetic fields, which means a 180° reverse of the magnetization. These remarkable behaviors demonstrated in this heterostructure reveal a promising potential application in magnetic memory devices mediated by electric fields. (paper)

  11. Uncorrelated multiple conductive filament nucleation and rupture in ultra-thin high-κ dielectric based resistive random access memory

    KAUST Repository

    Wu, Xing; Li, Kun; Raghavan, Nagarajan; Bosman, Michel; Wang, Qing-Xiao; Cha, Dong Kyu; Zhang, Xixiang; Pey, Kin-Leong

    2011-01-01

    Resistive switching in transition metal oxides could form the basis for next-generation non-volatile memory (NVM). It has been reported that the current in the high-conductivity state of several technologically relevant oxide materials flows through

  12. On the non-causal link between volatility and growth

    DEFF Research Database (Denmark)

    Posch, Olaf; Wälde, Klaus

    A model highlighting the endogeneity of both volatility and growth is presented. Volatility and growth are therefore correlated but there is no causal link from volatility to growth. This joint endogeneity is illustrated by working out the effects through which economies with different tax levels...... di er both in their volatility and growth. Using a continuous-time DSGE model with plausible parametric restrictions, we obtain closedform measures of macro volatility based on cyclical components and output growth rates. Given our results, empirical volatility-growth analysis should include controls...

  13. Silicon photonic integrated circuits with electrically programmable non-volatile memory functions.

    Science.gov (United States)

    Song, J-F; Lim, A E-J; Luo, X-S; Fang, Q; Li, C; Jia, L X; Tu, X-G; Huang, Y; Zhou, H-F; Liow, T-Y; Lo, G-Q

    2016-09-19

    Conventional silicon photonic integrated circuits do not normally possess memory functions, which require on-chip power in order to maintain circuit states in tuned or field-configured switching routes. In this context, we present an electrically programmable add/drop microring resonator with a wavelength shift of 426 pm between the ON/OFF states. Electrical pulses are used to control the choice of the state. Our experimental results show a wavelength shift of 2.8 pm/ms and a light intensity variation of ~0.12 dB/ms for a fixed wavelength in the OFF state. Theoretically, our device can accommodate up to 65 states of multi-level memory functions. Such memory functions can be integrated into wavelength division mutiplexing (WDM) filters and applied to optical routers and computing architectures fulfilling large data downloading demands.

  14. BLACKCOMB2: Hardware-software co-design for non-volatile memory in exascale systems

    Energy Technology Data Exchange (ETDEWEB)

    Mudge, Trevor [Univ. of Michigan, Ann Arbor, MI (United States)

    2017-12-15

    This work was part of a larger project, Blackcomb2, centered at Oak Ridge National Labs (Jeff Vetter PI) to investigate the opportunities for replacing or supplementing DRAM main memory with nonvolatile memory (NVmemory) in Exascale memory systems. The goal was to reduce the energy consumed by in future supercomputer memory systems and to improve their resiliency. Building on the accomplishments of the original Blackcomb Project, funded in 2010, the goal for Blackcomb2 was to identify, evaluate, and optimize the most promising emerging memory technologies, architecture hardware and software technologies, which are essential to provide the necessary memory capacity, performance, resilience, and energy efficiency in Exascale systems. Capacity and energy are the key drivers.

  15. Generalized Fractional Processes with Long Memory and Time Dependent Volatility Revisited

    Directory of Open Access Journals (Sweden)

    M. Shelton Peiris

    2016-09-01

    Full Text Available In recent years, fractionally-differenced processes have received a great deal of attention due to their flexibility in financial applications with long-memory. This paper revisits the class of generalized fractionally-differenced processes generated by Gegenbauer polynomials and the ARMA structure (GARMA with both the long-memory and time-dependent innovation variance. We establish the existence and uniqueness of second-order solutions. We also extend this family with innovations to follow GARCH and stochastic volatility (SV. Under certain regularity conditions, we give asymptotic results for the approximate maximum likelihood estimator for the GARMA-GARCH model. We discuss a Monte Carlo likelihood method for the GARMA-SV model and investigate finite sample properties via Monte Carlo experiments. Finally, we illustrate the usefulness of this approach using monthly inflation rates for France, Japan and the United States.

  16. Fault-tolerant NAND-flash memory module for next-generation scientific instruments

    Science.gov (United States)

    Lange, Tobias; Michel, Holger; Fiethe, Björn; Michalik, Harald; Walter, Dietmar

    2015-10-01

    Remote sensing instruments on today's space missions deliver a high amount of data which is typically evaluated on ground. Especially for deep space missions the telemetry downlink is very limited which creates the need for the scientific evaluation and thereby a reduction of data volume already on-board the spacecraft. A demanding example is the Polarimetric and Helioseismic Imager (PHI) instrument on Solar Orbiter. To enable on-board offline processing for data reduction, the instrument has to be equipped with a high capacity memory module. The module is based on non-volatile NAND-Flash technology, which requires more advanced operation than volatile DRAM. Unlike classical mass memories, the module is integrated into the instrument and allows readback of data for processing. The architecture and safe operation of such kind of memory module is described in the following paper.

  17. Flexible and twistable non-volatile memory cell array with all-organic one diode-one resistor architecture.

    Science.gov (United States)

    Ji, Yongsung; Zeigler, David F; Lee, Dong Su; Choi, Hyejung; Jen, Alex K-Y; Ko, Heung Cho; Kim, Tae-Wook

    2013-01-01

    Flexible organic memory devices are one of the integral components for future flexible organic electronics. However, high-density all-organic memory cell arrays on malleable substrates without cross-talk have not been demonstrated because of difficulties in their fabrication and relatively poor performances to date. Here we demonstrate the first flexible all-organic 64-bit memory cell array possessing one diode-one resistor architectures. Our all-organic one diode-one resistor cell exhibits excellent rewritable switching characteristics, even during and after harsh physical stresses. The write-read-erase-read output sequence of the cells perfectly correspond to the external pulse signal regardless of substrate deformation. The one diode-one resistor cell array is clearly addressed at the specified cells and encoded letters based on the standard ASCII character code. Our study on integrated organic memory cell arrays suggests that the all-organic one diode-one resistor cell architecture is suitable for high-density flexible organic memory applications in the future.

  18. A wavelet-based evaluation of time-varying long memory of equity markets: A paradigm in crisis

    Science.gov (United States)

    Tan, Pei P.; Chin, Cheong W.; Galagedera, Don U. A.

    2014-09-01

    This study, using wavelet-based method investigates the dynamics of long memory in the returns and volatility of equity markets. In the sample of five developed and five emerging markets we find that the daily return series from January 1988 to June 2013 may be considered as a mix of weak long memory and mean-reverting processes. In the case of volatility in the returns, there is evidence of long memory, which is stronger in emerging markets than in developed markets. We find that although the long memory parameter may vary during crisis periods (1997 Asian financial crisis, 2001 US recession and 2008 subprime crisis) the direction of change may not be consistent across all equity markets. The degree of return predictability is likely to diminish during crisis periods. Robustness of the results is checked with de-trended fluctuation analysis approach.

  19. Flash memories economic principles of performance, cost and reliability optimization

    CERN Document Server

    Richter, Detlev

    2014-01-01

    The subject of this book is to introduce a model-based quantitative performance indicator methodology applicable for performance, cost and reliability optimization of non-volatile memories. The complex example of flash memories is used to introduce and apply the methodology. It has been developed by the author based on an industrial 2-bit to 4-bit per cell flash development project. For the first time, design and cost aspects of 3D integration of flash memory are treated in this book. Cell, array, performance and reliability effects of flash memories are introduced and analyzed. Key performance parameters are derived to handle the flash complexity. A performance and array memory model is developed and a set of performance indicators characterizing architecture, cost and durability is defined.   Flash memories are selected to apply the Performance Indicator Methodology to quantify design and technology innovation. A graphical representation based on trend lines is introduced to support a requirement based pr...

  20. Non-Host Plant Volatiles Disrupt Sex Pheromone Communication in a Specialist Herbivore.

    Science.gov (United States)

    Wang, Fumin; Deng, Jianyu; Schal, Coby; Lou, Yonggen; Zhou, Guoxin; Ye, Bingbing; Yin, Xiaohui; Xu, Zhihong; Shen, Lize

    2016-09-02

    The ecological effects of plant volatiles on herbivores are manifold. Little is known, however, about the impacts of non-host plant volatiles on intersexual pheromonal communication in specialist herbivores. We tested the effects of several prominent constitutive terpenoids released by conifers and Eucalyptus trees on electrophysiological and behavioral responses of an oligophagous species, Plutella xylostella, which feeds on Brassicaceae. The non-host plant volatile terpenoids adversely affected the calling behavior (pheromone emission) of adult females, and the orientation responses of adult males to sex pheromone were also significantly inhibited by these terpenoids in a wind tunnel and in the field. We suggest that disruption of both pheromone emission and orientation to sex pheromone may explain, at least in part, an observed reduction in herbivore attack in polyculture compared with monoculture plantings. We also propose that mating disruption of both male and female moths with non-host plant volatiles may be a promising alternative pest management strategy.

  1. Non-Host Plant Volatiles Disrupt Sex Pheromone Communication in a Specialist Herbivore

    Science.gov (United States)

    Wang, Fumin; Deng, Jianyu; Schal, Coby; Lou, Yonggen; Zhou, Guoxin; Ye, Bingbing; Yin, Xiaohui; Xu, Zhihong; Shen, Lize

    2016-01-01

    The ecological effects of plant volatiles on herbivores are manifold. Little is known, however, about the impacts of non-host plant volatiles on intersexual pheromonal communication in specialist herbivores. We tested the effects of several prominent constitutive terpenoids released by conifers and Eucalyptus trees on electrophysiological and behavioral responses of an oligophagous species, Plutella xylostella, which feeds on Brassicaceae. The non-host plant volatile terpenoids adversely affected the calling behavior (pheromone emission) of adult females, and the orientation responses of adult males to sex pheromone were also significantly inhibited by these terpenoids in a wind tunnel and in the field. We suggest that disruption of both pheromone emission and orientation to sex pheromone may explain, at least in part, an observed reduction in herbivore attack in polyculture compared with monoculture plantings. We also propose that mating disruption of both male and female moths with non-host plant volatiles may be a promising alternative pest management strategy. PMID:27585907

  2. Organic bistable memory devices based on MoO3 nanoparticle embedded Alq3 structures

    Science.gov (United States)

    Abhijith, T.; Kumar, T. V. Arun; Reddy, V. S.

    2017-03-01

    Organic bistable memory devices were fabricated by embedding a thin layer of molybdenum trioxide (MoO3) between two tris-(8-hydroxyquinoline)aluminum (Alq3) layers. The device exhibited excellent switching characteristics with an ON/OFF current ratio of 1.15 × 103 at a read voltage of 1 V. The device showed repeatable write-erase capability and good stability in both the conductance states. These conductance states are non-volatile in nature and can be obtained by applying appropriate voltage pulses. The effect of MoO3 layer thickness and its location in the Alq3 matrix on characteristics of the memory device was investigated. The field emission scanning electron microscopy (FE-SEM) images of the MoO3 layer revealed the presence of isolated nanoparticles. Based on the experimental results, a mechanism has been proposed for explaining the conductance switching of fabricated devices.

  3. Logic and memory concepts for all-magnetic computing based on transverse domain walls

    International Nuclear Information System (INIS)

    Vandermeulen, J; Van de Wiele, B; Dupré, L; Van Waeyenberge, B

    2015-01-01

    We introduce a non-volatile digital logic and memory concept in which the binary data is stored in the transverse magnetic domain walls present in in-plane magnetized nanowires with sufficiently small cross sectional dimensions. We assign the digital bit to the two possible orientations of the transverse domain wall. Numerical proofs-of-concept are presented for a NOT-, AND- and OR-gate, a FAN-out as well as a reading and writing device. Contrary to the chirality based vortex domain wall logic gates introduced in Omari and Hayward (2014 Phys. Rev. Appl. 2 044001), the presented concepts remain applicable when miniaturized and are driven by electrical currents, making the technology compatible with the in-plane racetrack memory concept. The individual devices can be easily combined to logic networks working with clock speeds that scale linearly with decreasing design dimensions. This opens opportunities to an all-magnetic computing technology where the digital data is stored and processed under the same magnetic representation. (paper)

  4. Multiscaling and clustering of volatility

    Science.gov (United States)

    Pasquini, Michele; Serva, Maurizio

    1999-07-01

    The dynamics of prices in stock markets has been studied intensively both experimentally (data analysis) and theoretically (models). Nevertheless, while the distribution of returns of the most important indices is known to be a truncated Lévy, the behaviour of volatility correlations is still poorly understood. What is well known is that absolute returns have memory on a long time range, this phenomenon is known in financial literature as clustering of volatility. In this paper we show that volatility correlations are power laws with a non-unique scaling exponent. This kind of multiscale phenomenology is known to be relevant in fully developed turbulence and in disordered systems and it is pointed out here for the first time for a financial series. In our study we consider the New York Stock Exchange (NYSE) daily index, from January 1966 to June 1998, for a total of 8180 working days.

  5. The microstructure investigation of GeTi thin film used for non-volatile memory

    International Nuclear Information System (INIS)

    Shen Jie; Liu Bo; Song Zhitang; Xu Cheng; Liang Shuang; Feng Songlin; Chen Bomy

    2008-01-01

    GeTi thin film has been found to have the reversible resistance switching property in our previous work. In this paper, the microstructure of this material with a given composition was investigated. The film was synthesized by magnetron sputtering and treated by the rapid temperature process. The results indicate a coexist status of amorphous and polycrystalline states in the as-deposited GeTi film, and the grains in the film are extremely fine. Furthermore, not until the film annealed at 600 deg. C, can the polycrystalline state be detected by X-ray diffraction. Based on the morphological analysis, the sputtered GeTi has the column growth tendency, and the column structure vanishes with the temperature increasing. The microstructure and thermal property analysis indicate that GeTi does not undergo evident phase change process during the annealing process, which makes the switching mechanism of GeTi different from that of chalcogenide memory material, the most widely used phase change memory material

  6. Size distributions of non-volatile particle residuals (Dp<800 nm at a rural site in Germany and relation to air mass origin

    Directory of Open Access Journals (Sweden)

    T. Tuch

    2007-11-01

    Full Text Available Atmospheric aerosol particle size distributions at a continental background site in Eastern Germany were examined for a one-year period. Particles were classified using a twin differential mobility particle sizer in a size range between 3 and 800 nm. As a novelty, every second measurement of this experiment involved the removal of volatile chemical compounds in a thermodenuder at 300°C. This concept allowed to quantify the number size distribution of non-volatile particle cores – primarily associated with elemental carbon, and to compare this to the original non-conditioned size distribution. As a byproduct of the volatility analysis, new particles originating from nucleation inside the thermodenuder can be observed, however, overwhelmingly at diameters below 6 nm. Within the measurement uncertainty, every particle down to particle sizes of 15 nm is concluded to contain a non-volatile core. The volume fraction of non-volatile particulate matter (non-conditioned diameter < 800 nm varied between 10 and 30% and was largely consistent with the experimentally determined mass fraction of elemental carbon. The average size of the non-volatile particle cores was estimated as a function of original non-conditioned size using a summation method, which showed that larger particles (>200 nm contained more non-volatile compounds than smaller particles (<50 nm, thus indicating a significantly different chemical composition. Two alternative air mass classification schemes based on either, synoptic chart analysis (Berliner Wetterkarte or back trajectories showed that the volume and number fraction of non-volatile cores depended less on air mass than the total particle number concentration. In all air masses, the non-volatile size distributions showed a more and a less volatile ("soot" mode, the latter being located at about 50 nm. During unstable conditions and in maritime air masses, smaller values were observed compared to stable or continental conditions

  7. Non-volatile polarization switch of magnetic domain wall velocity

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.; Stolichnov, I.; Setter, N. [Ceramics Laboratory, EPFL-Swiss Federal Institute of Technology, Lausanne 1015 (Switzerland); Bernand-Mantel, A.; Schott, Marine; Pizzini, S.; Ranno, L. [University of Grenoble Alpes, Institut Néel, F-38042 Grenoble (France); CNRS, Institut Néel, F-38042 Grenoble (France); Auffret, S.; Gaudin, G. [SPINTEC, UMR-8191, CEA/CNRS/UJF/GINP, INAC, F-38054 Grenoble (France)

    2015-12-21

    Controlled propagation speed of individual magnetic domains in metal channels at the room temperature is obtained via the non-volatile field effect associated with the switchable polarization of P(VDF-TrFE) (polyvinylidene fluoride-trifluoroethylene) ferroelectric polymer. Polarization domains directly written using conducting atomic force microscope probe locally accelerate/decelerate the magnetic domains in the 0.6 nm thick Co film. The change of the magnetic domain wall velocity is consistent with the magnetic anisotropy energy modulation through the polarization upward/downward orientation. Excellent retention is observed. The demonstrated local non-destructive and reversible change of magnetic properties via rewritable patterning of ferroelectric domains could be attractive for exploring the ultimate limit of miniaturization in devices based on ferromagnetic/ferroelectric bilayers.

  8. Results from On-Orbit Testing of the Fram Memory Test Experiment on the Fastsat Micro-Satellite

    Science.gov (United States)

    MacLeod, Todd C.; Sims, W. Herb; Varnavas, Kosta A.; Ho, Fat D.

    2011-01-01

    NASA is planning on going beyond Low Earth orbit with manned exploration missions. The radiation environment for most Low Earth orbit missions is harsher than at the Earth's surface but much less harsh than deep space. Development of new electronics is needed to meet the requirements of high performance, radiation tolerance, and reliability. The need for both Volatile and Non-volatile memory has been identified. Emerging Non-volatile memory technologies (FRAM, C-RAM,M-RAM, R-RAM, Radiation Tolerant FLASH, SONOS, etc.) need to be investigated for use in Space missions. An opportunity arose to fly a small memory experiment on a high inclination satellite (FASTSAT). An off-the-shelf 512K Ramtron FRAM was chosen to be tested in the experiment.

  9. Measurements of non-volatile aerosols with a VTDMA and their correlations with carbonaceous aerosols in Guangzhou, China

    Directory of Open Access Journals (Sweden)

    H. H. Y. Cheung

    2016-07-01

    Full Text Available Simultaneous measurements of aerosol volatility and carbonaceous matters were conducted at a suburban site in Guangzhou, China, in February and March 2014 using a volatility tandem differential mobility analyzer (VTDMA and an organic carbon/elemental carbon (OC ∕ EC analyzer. Low volatility (LV particles, with a volatility shrink factor (VSF at 300 °C exceeding 0.9, contributed 5 % of number concentrations of the 40 nm particles and 11–15 % of the 80–300 nm particles. They were composed of non-volatile material externally mixed with volatile material, and therefore did not evaporate significantly at 300 °C. Non-volatile material mixed internally with the volatile material was referred to as medium volatility (MV, 0.4  <  VSF  <  0.9 and high volatility (HV, VSF  <  0.4 particles. The MV and HV particles contributed 57–71 % of number concentration for the particles between 40 and 300 nm in size. The average EC and OC concentrations measured by the OC ∕ EC analyzer were 3.4 ± 3.0 and 9.0 ± 6.0 µg m−3, respectively. Non-volatile OC evaporating at 475 °C or above, together with EC, contributed 67 % of the total carbon mass. In spite of the daily maximum and minimum, the diurnal variations in the volume fractions of the volatile material, HV, MV and LV residuals were less than 15 % for the 80–300 nm particles. Back trajectory analysis also suggests that over 90 % of the air masses influencing the sampling site were well aged as they were transported at low altitudes (below 1500 m for over 40 h before arrival. Further comparison with the diurnal variations in the mass fractions of EC and the non-volatile OC in PM2.5 suggests that the non-volatile residuals may be related to both EC and non-volatile OC in the afternoon, during which the concentration of aged organics increased. A closure analysis of the total mass of LV and MV residuals and the mass of EC or the

  10. Writing to and reading from a nano-scale crossbar memory based on memristors

    International Nuclear Information System (INIS)

    Vontobel, Pascal O; Robinett, Warren; Kuekes, Philip J; Stewart, Duncan R; Straznicky, Joseph; Stanley Williams, R

    2009-01-01

    We present a design study for a nano-scale crossbar memory system that uses memristors with symmetrical but highly nonlinear current-voltage characteristics as memory elements. The memory is non-volatile since the memristors retain their state when un-powered. In order to address the nano-wires that make up this nano-scale crossbar, we use two coded demultiplexers implemented using mixed-scale crossbars (in which CMOS-wires cross nano-wires and in which the crosspoint junctions have one-time configurable memristors). This memory system does not utilize the kind of devices (diodes or transistors) that are normally used to isolate the memory cell being written to and read from in conventional memories. Instead, special techniques are introduced to perform the writing and the reading operation reliably by taking advantage of the nonlinearity of the type of memristors used. After discussing both writing and reading strategies for our memory system in general, we focus on a 64 x 64 memory array and present simulation results that show the feasibility of these writing and reading procedures. Besides simulating the case where all device parameters assume exactly their nominal value, we also simulate the much more realistic case where the device parameters stray around their nominal value: we observe a degradation in margins, but writing and reading is still feasible. These simulation results are based on a device model for memristors derived from measurements of fabricated devices in nano-scale crossbars using Pt and Ti nano-wires and using oxygen-depleted TiO 2 as the switching material.

  11. Schizophrenia patients demonstrate a dissociation on declarative and non-declarative memory tests.

    Science.gov (United States)

    Perry, W; Light, G A; Davis, H; Braff, D L

    2000-12-15

    Declarative memory refers to the recall and recognition of factual information. In contrast, non-declarative memory entails a facilitation of memory based on prior exposure and is typically assessed with priming and perceptual-motor sequencing tasks. In this study, schizophrenia patients were compared to normal comparison subjects on two computerized memory tasks: the Word-stem Priming Test (n=30) and the Pattern Sequence Learning Test (n=20). Word-stem Priming includes recall, recognition (declarative) and priming (non-declarative) components of memory. The schizophrenia patients demonstrated an impaired performance on recall of words with relative improvement during the recognition portion of the test. Furthermore, they performed normally on the priming portion of the test. Thus, on tests of declarative memory, the patients had retrieval deficits with intact performance on the non-declarative memory component. The Pattern Sequence Learning Test utilizes a serial reaction time paradigm to assess non-declarative memory. The schizophrenia patients' serial reaction time was significantly slower than that of comparison subjects. However, the patients' rate of acquisition was not different from the normal comparison group. The data suggest that patients with schizophrenia process more slowly than normal, but have an intact non-declarative memory. The schizophrenia patients' dissociation on declarative vs. non-declarative memory tests is discussed in terms of possible underlying structural impairment.

  12. Occurence and dietary exposure of volatile and non-volatile N-Nitrosamines in processed meat products

    DEFF Research Database (Denmark)

    Herrmann, Susan Strange; Duedahl-Olesen, Lene; Granby, Kit

    Nitrite and nitrate have for many decades been used for preservation of meat. However, nitrite can react with secondary amines in meat to form N-Nitrosamines (NAs), many of which have been shown to be genotoxic1 . The use of nitrite therefore ought to be limited as much as possible. To maintain...... a high level of consumer protection Denmark obtains National low limits of the nitrite use in meat products. An estimation of the dietary exposure to volatile NAs (VNA) and non-volatile NAs (NVNA) is necessary when performing a risk assessment of the use of nitrite and nitrate for meat preservation....

  13. Working Memory for Linguistic and Non-linguistic Manual Gestures: Evidence, Theory, and Application.

    Science.gov (United States)

    Rudner, Mary

    2018-01-01

    Linguistic manual gestures are the basis of sign languages used by deaf individuals. Working memory and language processing are intimately connected and thus when language is gesture-based, it is important to understand related working memory mechanisms. This article reviews work on working memory for linguistic and non-linguistic manual gestures and discusses theoretical and applied implications. Empirical evidence shows that there are effects of load and stimulus degradation on working memory for manual gestures. These effects are similar to those found for working memory for speech-based language. Further, there are effects of pre-existing linguistic representation that are partially similar across language modalities. But above all, deaf signers score higher than hearing non-signers on an n-back task with sign-based stimuli, irrespective of their semantic and phonological content, but not with non-linguistic manual actions. This pattern may be partially explained by recent findings relating to cross-modal plasticity in deaf individuals. It suggests that in linguistic gesture-based working memory, semantic aspects may outweigh phonological aspects when processing takes place under challenging conditions. The close association between working memory and language development should be taken into account in understanding and alleviating the challenges faced by deaf children growing up with cochlear implants as well as other clinical populations.

  14. The information content of implied volatilities of options on eurodeposit futures traded on the LIFFE: is there long memory?

    OpenAIRE

    Cifarelli, giulio

    2002-01-01

    Under rather general conditions Black - Scholes implied volatilities from at-the-money options appropriately quantify, in each period, the market expectations of the average volatility of the return of the underlying asset until contract expiration. The efficiency of these expectation estimates is investigated here, for options on two major short term interest rate futures contracts traded at the LIFFE, using a long memory framework. Over the 1993 – 1997 time interval the performance of im...

  15. Solution-processed flexible NiO resistive random access memory device

    Science.gov (United States)

    Kim, Soo-Jung; Lee, Heon; Hong, Sung-Hoon

    2018-04-01

    Non-volatile memories (NVMs) using nanocrystals (NCs) as active materials can be applied to soft electronic devices requiring a low-temperature process because NCs do not require a heat treatment process for crystallization. In addition, memory devices can be implemented simply by using a patterning technique using a solution process. In this study, a flexible NiO ReRAM device was fabricated using a simple NC patterning method that controls the capillary force and dewetting of a NiO NC solution at low temperature. The switching behavior of a NiO NC based memory was clearly observed by conductive atomic force microscopy (c-AFM).

  16. Scaling Techniques for Massive Scale-Free Graphs in Distributed (External) Memory

    KAUST Repository

    Pearce, Roger; Gokhale, Maya; Amato, Nancy M.

    2013-01-01

    We present techniques to process large scale-free graphs in distributed memory. Our aim is to scale to trillions of edges, and our research is targeted at leadership class supercomputers and clusters with local non-volatile memory, e.g., NAND Flash

  17. Non-pharmacological intervention for memory decline

    Directory of Open Access Journals (Sweden)

    Maria eCotelli

    2012-03-01

    Full Text Available Non-pharmacological treatment of memory difficulties in healthy older adults, as well as those with brain damage and neurodegenerative disorders, has gained much attention in recent years (Ball et al., 2002, Willis et al., 2006, Acevedo and Loewenstein, 2007. The two main reasons that explain this growing interest in memory rehabilitation are the limited efficacy of current drug therapies and the plasticity of the human central nervous system (Cotelli et al., 2011c and the discovery that during aging, the connections in the brain are not fixed but retain the capacity to change with learning.Moreover, several studies have reported enhanced cognitive performance in patients with neurological disease, following non-invasive brain stimulation (i.e., repetitive transcranial magnetic stimulation (rTMS and transcranial direct current stimulation (tDCS to specific cortical areas. The present review provides an overview of memory rehabilitation in individuals with Mild Cognitive Impairment (MCI and in patients with Alzheimer’s Disease (AD with particular regard to cognitive rehabilitation interventions focused on memory and non-invasive brain stimulation. Reviewed data suggest that in patients with memory deficits, memory intervention therapy could lead to performance improvements in memory, nevertheless further studies need to be conducted in order to establish the real value of this approach.

  18. A Survey of Phase Change Memory Systems

    Institute of Scientific and Technical Information of China (English)

    夏飞; 蒋德钧; 熊劲; 孙凝晖

    2015-01-01

    As the scaling of applications increases, the demand of main memory capacity increases in order to serve large working set. It is difficult for DRAM (dynamic random access memory) based memory system to satisfy the memory capacity requirement due to its limited scalability and high energy consumption. Compared to DRAM, PCM (phase change memory) has better scalability, lower energy leakage, and non-volatility. PCM memory systems have become a hot topic of academic and industrial research. However, PCM technology has the following three drawbacks: long write latency, limited write endurance, and high write energy, which raises challenges to its adoption in practice. This paper surveys architectural research work to optimize PCM memory systems. First, this paper introduces the background of PCM. Then, it surveys research efforts on PCM memory systems in performance optimization, lifetime improving, and energy saving in detail, respectively. This paper also compares and summarizes these techniques from multiple dimensions. Finally, it concludes these optimization techniques and discusses possible research directions of PCM memory systems in future.

  19. In search of the next memory inside the circuitry from the oldest to the emerging non-volatile memories

    CERN Document Server

    Campardo, Giovanni

    2017-01-01

    This book provides students and practicing chip designers with an easy-to-follow yet thorough, introductory treatment of the most promising emerging memories under development in the industry. Focusing on the chip designer rather than the end user, this book offers expanded, up-to-date coverage of emerging memories circuit design. After an introduction on the old solid-state memories and the fundamental limitations soon to be encountered, the working principle and main technology issues of each of the considered technologies (PCRAM, MRAM, FeRAM, ReRAM) are reviewed and a range of topics related to design is explored: the array organization, sensing and writing circuitry, programming algorithms and error correction techniques are reviewed comparing the approach followed and the constraints for each of the technologies considered. Finally the issue of radiation effects on memory devices has been briefly treated. Additionally some considerations are entertained about how emerging memories can find a place in the...

  20. Working Memory for Linguistic and Non-linguistic Manual Gestures: Evidence, Theory, and Application

    Directory of Open Access Journals (Sweden)

    Mary Rudner

    2018-05-01

    Full Text Available Linguistic manual gestures are the basis of sign languages used by deaf individuals. Working memory and language processing are intimately connected and thus when language is gesture-based, it is important to understand related working memory mechanisms. This article reviews work on working memory for linguistic and non-linguistic manual gestures and discusses theoretical and applied implications. Empirical evidence shows that there are effects of load and stimulus degradation on working memory for manual gestures. These effects are similar to those found for working memory for speech-based language. Further, there are effects of pre-existing linguistic representation that are partially similar across language modalities. But above all, deaf signers score higher than hearing non-signers on an n-back task with sign-based stimuli, irrespective of their semantic and phonological content, but not with non-linguistic manual actions. This pattern may be partially explained by recent findings relating to cross-modal plasticity in deaf individuals. It suggests that in linguistic gesture-based working memory, semantic aspects may outweigh phonological aspects when processing takes place under challenging conditions. The close association between working memory and language development should be taken into account in understanding and alleviating the challenges faced by deaf children growing up with cochlear implants as well as other clinical populations.

  1. Messier: A Detailed NVM-Based DIMM Model for the SST Simulation Framework.

    Energy Technology Data Exchange (ETDEWEB)

    Awad, Amro [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Voskuilen, Gwendolyn Renae [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Rodrigues, Arun F. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hammond, Simon David [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hoekstra, Robert J. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hughes, Clayton [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2017-02-01

    DRAM technology is the main building block of main memory, however, DRAM scaling is becoming very challenging. The main issues for DRAM scaling are the increasing error rates with each new generation, the geometric and physical constraints of scaling the capacitor part of the DRAM cells, and the high power consumption caused by the continuous need for refreshing cell values. At the same time, emerging Non- Volatile Memory (NVM) technologies, such as Phase-Change Memory (PCM), are emerging as promising replacements for DRAM. NVMs, when compared to current technologies e.g., NAND-based ash, have latencies comparable to DRAM. Additionally, NVMs are non-volatile, which eliminates the need for refresh power and enables persistent memory applications. Finally, NVMs have promising densities and the potential for multi-level cell (MLC) storage.

  2. Enhanced non-volatile and updatable holography using a polymer composite system.

    Science.gov (United States)

    Wu, Pengfei; Sun, Sam Q; Baig, Sarfaraz; Wang, Michael R

    2012-03-12

    Updatable holography is considered as the ultimate technique for true 3D information recording and display. However, there is no practical solution to preserve the required features of both non-volatility and reversibility which conflict with each other when the reading has the same wavelength as the recording. We demonstrate a non-volatile and updatable holographic approach by exploiting new features of molecular transformations in a polymer recording system. In addition, by using a new composite recording film containing photo-reconfigurable liquid-crystal (LC) polymer, the holographic recording is enhanced due to the collective reorientation of LC molecules around the reconfigured polymer chains.

  3. Level Shifts in Volatility and the Implied-Realized Volatility Relation

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; de Magistris, Paolo Santucci

    We propose a simple model in which realized stock market return volatility and implied volatility backed out of option prices are subject to common level shifts corresponding to movements between bull and bear markets. The model is estimated using the Kalman filter in a generalization to the mult......We propose a simple model in which realized stock market return volatility and implied volatility backed out of option prices are subject to common level shifts corresponding to movements between bull and bear markets. The model is estimated using the Kalman filter in a generalization...... to the multivariate case of the univariate level shift technique by Lu and Perron (2008). An application to the S&P500 index and a simulation experiment show that the recently documented empirical properties of strong persistence in volatility and forecastability of future realized volatility from current implied...... volatility, which have been interpreted as long memory (or fractional integration) in volatility and fractional cointegration between implied and realized volatility, are accounted for by occasional common level shifts....

  4. Stochastic volatility of volatility in continuous time

    DEFF Research Database (Denmark)

    Barndorff-Nielsen, Ole; Veraart, Almut

    This paper introduces the concept of stochastic volatility of volatility in continuous time and, hence, extends standard stochastic volatility (SV) models to allow for an additional source of randomness associated with greater variability in the data. We discuss how stochastic volatility...... of volatility can be defined both non-parametrically, where we link it to the quadratic variation of the stochastic variance process, and parametrically, where we propose two new SV models which allow for stochastic volatility of volatility. In addition, we show that volatility of volatility can be estimated...

  5. The volatile compound BinBase mass spectral database.

    Science.gov (United States)

    Skogerson, Kirsten; Wohlgemuth, Gert; Barupal, Dinesh K; Fiehn, Oliver

    2011-08-04

    Volatile compounds comprise diverse chemical groups with wide-ranging sources and functions. These compounds originate from major pathways of secondary metabolism in many organisms and play essential roles in chemical ecology in both plant and animal kingdoms. In past decades, sampling methods and instrumentation for the analysis of complex volatile mixtures have improved; however, design and implementation of database tools to process and store the complex datasets have lagged behind. The volatile compound BinBase (vocBinBase) is an automated peak annotation and database system developed for the analysis of GC-TOF-MS data derived from complex volatile mixtures. The vocBinBase DB is an extension of the previously reported metabolite BinBase software developed to track and identify derivatized metabolites. The BinBase algorithm uses deconvoluted spectra and peak metadata (retention index, unique ion, spectral similarity, peak signal-to-noise ratio, and peak purity) from the Leco ChromaTOF software, and annotates peaks using a multi-tiered filtering system with stringent thresholds. The vocBinBase algorithm assigns the identity of compounds existing in the database. Volatile compound assignments are supported by the Adams mass spectral-retention index library, which contains over 2,000 plant-derived volatile compounds. Novel molecules that are not found within vocBinBase are automatically added using strict mass spectral and experimental criteria. Users obtain fully annotated data sheets with quantitative information for all volatile compounds for studies that may consist of thousands of chromatograms. The vocBinBase database may also be queried across different studies, comprising currently 1,537 unique mass spectra generated from 1.7 million deconvoluted mass spectra of 3,435 samples (18 species). Mass spectra with retention indices and volatile profiles are available as free download under the CC-BY agreement (http://vocbinbase.fiehnlab.ucdavis.edu). The BinBase

  6. The volatile compound BinBase mass spectral database

    Directory of Open Access Journals (Sweden)

    Barupal Dinesh K

    2011-08-01

    Full Text Available Abstract Background Volatile compounds comprise diverse chemical groups with wide-ranging sources and functions. These compounds originate from major pathways of secondary metabolism in many organisms and play essential roles in chemical ecology in both plant and animal kingdoms. In past decades, sampling methods and instrumentation for the analysis of complex volatile mixtures have improved; however, design and implementation of database tools to process and store the complex datasets have lagged behind. Description The volatile compound BinBase (vocBinBase is an automated peak annotation and database system developed for the analysis of GC-TOF-MS data derived from complex volatile mixtures. The vocBinBase DB is an extension of the previously reported metabolite BinBase software developed to track and identify derivatized metabolites. The BinBase algorithm uses deconvoluted spectra and peak metadata (retention index, unique ion, spectral similarity, peak signal-to-noise ratio, and peak purity from the Leco ChromaTOF software, and annotates peaks using a multi-tiered filtering system with stringent thresholds. The vocBinBase algorithm assigns the identity of compounds existing in the database. Volatile compound assignments are supported by the Adams mass spectral-retention index library, which contains over 2,000 plant-derived volatile compounds. Novel molecules that are not found within vocBinBase are automatically added using strict mass spectral and experimental criteria. Users obtain fully annotated data sheets with quantitative information for all volatile compounds for studies that may consist of thousands of chromatograms. The vocBinBase database may also be queried across different studies, comprising currently 1,537 unique mass spectra generated from 1.7 million deconvoluted mass spectra of 3,435 samples (18 species. Mass spectra with retention indices and volatile profiles are available as free download under the CC-BY agreement (http

  7. Robust resistive memory devices using solution-processable metal-coordinated azo aromatics

    Science.gov (United States)

    Goswami, Sreetosh; Matula, Adam J.; Rath, Santi P.; Hedström, Svante; Saha, Surajit; Annamalai, Meenakshi; Sengupta, Debabrata; Patra, Abhijeet; Ghosh, Siddhartha; Jani, Hariom; Sarkar, Soumya; Motapothula, Mallikarjuna Rao; Nijhuis, Christian A.; Martin, Jens; Goswami, Sreebrata; Batista, Victor S.; Venkatesan, T.

    2017-12-01

    Non-volatile memories will play a decisive role in the next generation of digital technology. Flash memories are currently the key player in the field, yet they fail to meet the commercial demands of scalability and endurance. Resistive memory devices, and in particular memories based on low-cost, solution-processable and chemically tunable organic materials, are promising alternatives explored by the industry. However, to date, they have been lacking the performance and mechanistic understanding required for commercial translation. Here we report a resistive memory device based on a spin-coated active layer of a transition-metal complex, which shows high reproducibility (~350 devices), fast switching (106 s) and scalability (down to ~60 nm2). In situ Raman and ultraviolet-visible spectroscopy alongside spectroelectrochemistry and quantum chemical calculations demonstrate that the redox state of the ligands determines the switching states of the device whereas the counterions control the hysteresis. This insight may accelerate the technological deployment of organic resistive memories.

  8. Investigation on amorphous InGaZnO based resistive switching memory with low-power, high-speed, high reliability

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Yang-Shun [Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan, ROC (China); Liu, Po-Tsun, E-mail: ptliu@mail.nctu.edu.tw [Department of Photonics and Display Institute, National Chiao Tung University, Hsinchu 30010, Taiwan, ROC (China); Hsu, Ching-Hui [Department of Photonics and Display Institute, National Chiao Tung University, Hsinchu 30010, Taiwan, ROC (China)

    2013-12-31

    Recently, non-volatile memory (NVM) has been widely used in electronic devices. Nowadays, the prevailing NVM is Flash memory. However, it is generally believed that the conventional Flash memory will approach its scaling limit within about a decade. The resistive random access memory (RRAM) is emerging as one of the potential candidates for future memory replacement because of its high storage density, low power consumption as well as simple structure. The purpose of this work is to develop a reliable a-InGaZnO based resistive switching memory. We investigate the resistive switching characteristics of TiN/Ti/IGZO/Pt structure and TiN/IGZO/Pt structure. The device with TiN/Ti/IGZO/Pt structure exhibits stable bipolar resistive switching. The impact of inserting a Ti interlayer is studied by material analyses. The device shows excellent resistive switching properties. For example, the DC sweep endurance can achieve over 1000 times; and the pulse induced switching cycles can reach at least 10,000 times. Furthermore, the impact of different sputtering ambience, the variable temperature measurement, and the conduction mechanisms are also investigated. According to our experiments, we propose a model to explain the resistive switching phenomenon observed in our devices.

  9. Transparent and flexible write-once-read-many (WORM) memory device based on egg albumen

    International Nuclear Information System (INIS)

    Qu, Bo; Lin, Qianru; Wan, Tao; Du, Haiwei; Chen, Nan; Lin, Xi; Chu, Dewei

    2017-01-01

    Egg albumen, as an important protein resource in nature, is an interesting dielectric material exhibiting many fascinating properties for the development of environmentally friendly electronic devices. Taking advantage of their extraordinary transparency and flexibility, this paper presents an innovative preparation approach for albumen thin film based write-once-read-many-times (WORM) memory devices in a simple, cost-effective manner. The fabricated device shows superior data retention properties including non-volatile character (over 10 5 s) and promising great read durability (10 6 times). Furthermore, our results suggested that the electric-field-induced trap-controlled space charge limited current (SCLC) conduction is responsible for the observed resistance switching effect. The present study may likely reveal another pathway towards complete see-through electrical devices. (paper)

  10. Transparent and flexible write-once-read-many (WORM) memory device based on egg albumen

    Science.gov (United States)

    Qu, Bo; Lin, Qianru; Wan, Tao; Du, Haiwei; Chen, Nan; Lin, Xi; Chu, Dewei

    2017-08-01

    Egg albumen, as an important protein resource in nature, is an interesting dielectric material exhibiting many fascinating properties for the development of environmentally friendly electronic devices. Taking advantage of their extraordinary transparency and flexibility, this paper presents an innovative preparation approach for albumen thin film based write-once-read-many-times (WORM) memory devices in a simple, cost-effective manner. The fabricated device shows superior data retention properties including non-volatile character (over 105 s) and promising great read durability (106 times). Furthermore, our results suggested that the electric-field-induced trap-controlled space charge limited current (SCLC) conduction is responsible for the observed resistance switching effect. The present study may likely reveal another pathway towards complete see-through electrical devices.

  11. Towards Terabit Memories

    Science.gov (United States)

    Hoefflinger, Bernd

    Memories have been the major yardstick for the continuing validity of Moore's law. In single-transistor-per-Bit dynamic random-access memories (DRAM), the number of bits per chip pretty much gives us the number of transistors. For decades, DRAM's have offered the largest storage capacity per chip. However, DRAM does not scale any longer, both in density and voltage, severely limiting its power efficiency to 10 fJ/b. A differential DRAM would gain four-times in density and eight-times in energy. Static CMOS RAM (SRAM) with its six transistors/cell is gaining in reputation because it scales well in cell size and operating voltage so that its fundamental advantage of speed, non-destructive read-out and low-power standby could lead to just 2.5 electrons/bit in standby and to a dynamic power efficiency of 2aJ/b. With a projected 2020 density of 16 Gb/cm², the SRAM would be as dense as normal DRAM and vastly better in power efficiency, which would mean a major change in the architecture and market scenario for DRAM versus SRAM. Non-volatile Flash memory have seen two quantum jumps in density well beyond the roadmap: Multi-Bit storage per transistor and high-density TSV (through-silicon via) technology. The number of electrons required per Bit on the storage gate has been reduced since their first realization in 1996 by more than an order of magnitude to 400 electrons/Bit in 2010 for a complexity of 32Gbit per chip at the 32 nm node. Chip stacking of eight chips with TSV has produced a 32GByte solid-state drive (SSD). A stack of 32 chips with 2 b/cell at the 16 nm node will reach a density of 2.5 Terabit/cm². Non-volatile memory with a density of 10 × 10 nm²/Bit is the target for widespread development. Phase-change memory (PCM) and resistive memory (RRAM) lead in cell density, and they will reach 20 Gb/cm² in 2D and higher with 3D chip stacking. This is still almost an order-of-magnitude less than Flash. However, their read-out speed is ~10-times faster, with as yet

  12. PIYAS-Proceeding to Intelligent Service Oriented Memory Allocation for Flash Based Data Centric Sensor Devices in Wireless Sensor Networks

    Directory of Open Access Journals (Sweden)

    Sanam Shahla Rizvi

    2009-12-01

    Full Text Available Flash memory has become a more widespread storage medium for modern wireless devices because of its effective characteristics like non-volatility, small size, light weight, fast access speed, shock resistance, high reliability and low power consumption. Sensor nodes are highly resource constrained in terms of limited processing speed, runtime memory, persistent storage, communication bandwidth and finite energy. Therefore, for wireless sensor networks supporting sense, store, merge and send schemes, an efficient and reliable file system is highly required with consideration of sensor node constraints. In this paper, we propose a novel log structured external NAND flash memory based file system, called Proceeding to Intelligent service oriented memorY Allocation for flash based data centric Sensor devices in wireless sensor networks (PIYAS. This is the extended version of our previously proposed PIYA [1]. The main goals of the PIYAS scheme are to achieve instant mounting and reduced SRAM space by keeping memory mapping information to a very low size of and to provide high query response throughput by allocation of memory to the sensor data by network business rules. The scheme intelligently samples and stores the raw data and provides high in-network data availability by keeping the aggregate data for a longer period of time than any other scheme has done before. We propose effective garbage collection and wear-leveling schemes as well. The experimental results show that PIYAS is an optimized memory management scheme allowing high performance for wireless sensor networks.

  13. PIYAS-proceeding to intelligent service oriented memory allocation for flash based data centric sensor devices in wireless sensor networks.

    Science.gov (United States)

    Rizvi, Sanam Shahla; Chung, Tae-Sun

    2010-01-01

    Flash memory has become a more widespread storage medium for modern wireless devices because of its effective characteristics like non-volatility, small size, light weight, fast access speed, shock resistance, high reliability and low power consumption. Sensor nodes are highly resource constrained in terms of limited processing speed, runtime memory, persistent storage, communication bandwidth and finite energy. Therefore, for wireless sensor networks supporting sense, store, merge and send schemes, an efficient and reliable file system is highly required with consideration of sensor node constraints. In this paper, we propose a novel log structured external NAND flash memory based file system, called Proceeding to Intelligent service oriented memorY Allocation for flash based data centric Sensor devices in wireless sensor networks (PIYAS). This is the extended version of our previously proposed PIYA [1]. The main goals of the PIYAS scheme are to achieve instant mounting and reduced SRAM space by keeping memory mapping information to a very low size of and to provide high query response throughput by allocation of memory to the sensor data by network business rules. The scheme intelligently samples and stores the raw data and provides high in-network data availability by keeping the aggregate data for a longer period of time than any other scheme has done before. We propose effective garbage collection and wear-leveling schemes as well. The experimental results show that PIYAS is an optimized memory management scheme allowing high performance for wireless sensor networks.

  14. Characteristics of a non-volatile liquid propellant in liquid-fed ablative pulsed plasma thrusters

    Science.gov (United States)

    Ling, William Yeong Liang; Schönherr, Tony; Koizumi, Hiroyuki

    2017-02-01

    In the past several decades, the use of electric propulsion in spacecraft has experienced tremendous growth. With the increasing adoption of small satellites in the kilogram range, suitable propulsion systems will be necessary in the near future. Pulsed plasma thrusters (PPTs) were the first form of electric propulsion to be deployed in orbit, and are highly suitable for small satellites due to their inherent simplicity. However, their lifetime is limited by disadvantages such as carbon deposition leading to thruster failure, and complicated feeding systems required due to the conventional use of solid propellants (usually polytetrafluoroethylene (PTFE)). A promising alternative to solid propellants has recently emerged in the form of non-volatile liquids that are stable in vacuum. This study presents a broad comparison of the non-volatile liquid perfluoropolyether (PFPE) and solid PTFE as propellants on a PPT with a common design base. We show that liquid PFPE can be successfully used as a propellant, and exhibits similar plasma discharge properties to conventional solid PTFE, but with a mass bit that is an order of magnitude higher for an identical ablation area. We also demonstrate that the liquid PFPE propellant has exceptional resistance to carbon deposition, completely negating one of the major causes of thruster failure, while solid PTFE exhibited considerable carbon build-up. Energy dispersive X-ray spectroscopy was used to examine the elemental compositions of the surface deposition on the electrodes and the ablation area of the propellant (or PFPE encapsulator). The results show that based on its physical characteristics and behavior, non-volatile liquid PFPE is an extremely promising propellant for use in PPTs, with an extensive scope available for future research and development.

  15. Dietary exposure to volatile and non-volatile N-nitrosamines from processed meat products in Denmark

    DEFF Research Database (Denmark)

    Herrmann, Susan Strange; Duedahl-Olesen, Lene; Christensen, Tue

    2015-01-01

    the carcinogenicity for the majority of the non-volatile NA (NVNA) remains to be elucidated. Danish adults (15–75 years) and children (4–6 years) consume 20 g and 16 g of processed meat per day (95th percentile), respectively. The consumption is primarily accounted for by sausages, salami, pork flank (spiced...

  16. Investigation of Hafnium oxide/Copper resistive memory for advanced encryption applications

    Science.gov (United States)

    Briggs, Benjamin D.

    The Advanced Encryption Standard (AES) is a widely used encryption algorithm to protect data and communications in today's digital age. Modern AES CMOS implementations require large amounts of dedicated logic and must be tuned for either performance or power consumption. A high throughput, low power, and low die area AES implementation is required in the growing mobile sector. An emerging non-volatile memory device known as resistive memory (ReRAM) is a simple metal-insulator-metal capacitor device structure with the ability to switch between two stable resistance states. Currently, ReRAM is targeted as a non-volatile memory replacement technology to eventually replace flash. Its advantages over flash include ease of fabrication, speed, and lower power consumption. In addition to memory, ReRAM can also be used in advanced logic implementations given its purely resistive behavior. The combination of a new non-volatile memory element ReRAM along with high performance, low power CMOS opens new avenues for logic implementations. This dissertation will cover the design and process implementation of a ReRAM-CMOS hybrid circuit, built using IBM's 10LPe process, for the improvement of hardware AES implementations. Further the device characteristics of ReRAM, specifically the HfO2/Cu memory system, and mechanisms for operation are not fully correlated. Of particular interest to this work is the role of material properties such as the stoichiometry, crystallinity, and doping of the HfO2 layer and their effect on the switching characteristics of resistive memory. Material properties were varied by a combination of atomic layer deposition and reactive sputtering of the HfO2 layer. Several studies will be discussed on how the above mentioned material properties influence switching parameters, and change the underlying physics of device operation.

  17. Decoupling the short- and long-term behavior of stochastic volatility

    DEFF Research Database (Denmark)

    Bennedsen, Mikkel; Lunde, Asger; Pakkanen, Mikko

    behavior) from long memory and persistence (long-term behavior) in a simple and parsimonious way, which allows us to successfully model volatility at all intraday time scales. Our prime model is based on the so-called Brownian semistationary process and we derive a number of theoretical properties...... measures of close to two thousand individual US equities, we find that both roughness and persistence appear to be universal properties of volatility. Inspired by the empirical findings, we introduce a new class of continuous-time stochastic volatility models, capable of decoupling roughness (short-term...

  18. Uncorrelated multiple conductive filament nucleation and rupture in ultra-thin high-κ dielectric based resistive random access memory

    KAUST Repository

    Wu, Xing

    2011-08-29

    Resistive switching in transition metal oxides could form the basis for next-generation non-volatile memory (NVM). It has been reported that the current in the high-conductivity state of several technologically relevant oxide materials flows through localized filaments, but these filaments have been characterized only individually, limiting our understanding of the possibility of multiple conductive filaments nucleation and rupture and the correlation kinetics of their evolution. In this study, direct visualization of uncorrelated multiple conductive filaments in ultra-thin HfO2-based high-κ dielectricresistive random access memory (RRAM) device has been achieved by high-resolution transmission electron microscopy (HRTEM), along with electron energy loss spectroscopy(EELS), for nanoscale chemical analysis. The locations of these multiple filaments are found to be spatially uncorrelated. The evolution of these microstructural changes and chemical properties of these filaments will provide a fundamental understanding of the switching mechanism for RRAM in thin oxide films and pave way for the investigation into improving the stability and scalability of switching memory devices.

  19. Low-cost fabrication of ternary CuInSe{sub 2} nanocrystals by colloidal route using a novel combination of volatile and non-volatile capping agents

    Energy Technology Data Exchange (ETDEWEB)

    Chawla, Parul; Narain Sharma, Shailesh, E-mail: shailesh@nplindia.org; Singh, Son

    2014-11-15

    Wet-route synthesis of CuInSe{sub 2} (CISe) nanocrystals has been envisaged with the utilization of the unique combination of coordinating ligand and non coordinating solvent. Our work demonstrates the formation of a single-phase, nearly stoichiometric and monodispersive, stable and well-passivated colloidal ternary CISe nanocrystals (band gap (E{sub g})∼1.16 eV) using a novel combination of ligands; viz. volatile arylamine aniline and non-volatile solvent 1-octadecene. The synthesis and growth conditions have been manoeuvred using the colligative properties of the mixture and thus higher growth temperature (∼250 °C) could be attained that promoted larger grain growth. The beneficial influence of the capping agents (aniline and 1-octadecene) on the properties of chalcopyrite nanocrystals has enabled us to pictorally model the structural, morphological and optoelectronic aspects of CISe nanoparticles. - Graphical abstract: Without resorting to any post-selenization process and using the colligative properties of the mixture comprising of volatile aniline and non-volatile 1-octadecene to manoeuvre the growth conditions to promote Ostwald ripening, a single phase, monodispersive and nearly stoichiometric ternary CISe nanocrystals are formed by wet-synthesis route. - Highlights: • Wet-route synthesis of CISe nanocrystals reported without post-selenization process. • Single-phase, stable and well-passivated colloidal ternary CISe nanocrystals formed. • Novel combination of capping agents: volatile aniline and non-volatile 1-octadecene. • Higher growth temperature attained using the colligative properties of the mixture. • Metallic salts presence explains exp. and theoretical boiling point difference.

  20. Fractional Black–Scholes option pricing, volatility calibration and implied Hurst exponents in South African context

    Directory of Open Access Journals (Sweden)

    Emlyn Flint

    2017-03-01

    Full Text Available Background: Contingent claims on underlying assets are typically priced under a framework that assumes, inter alia, that the log returns of the underlying asset are normally distributed. However, many researchers have shown that this assumption is violated in practice. Such violations include the statistical properties of heavy tails, volatility clustering, leptokurtosis and long memory. This paper considers the pricing of contingent claims when the underlying is assumed to display long memory, an issue that has heretofore not received much attention. Aim: We address several theoretical and practical issues in option pricing and implied volatility calibration in a fractional Black–Scholes market. We introduce a novel eight-parameter fractional Black–Scholes-inspired (FBSI model for the implied volatility surface, and consider in depth the issue of calibration. One of the main benefits of such a model is that it allows one to decompose implied volatility into an independent long-memory component – captured by an implied Hurst exponent – and a conditional implied volatility component. Such a decomposition has useful applications in the areas of derivatives trading, risk management, delta hedging and dynamic asset allocation. Setting: The proposed FBSI volatility model is calibrated to South African equity index options data as well as South African Rand/American Dollar currency options data. However, given the focus on the theoretical development of the model, the results in this paper are applicable across all financial markets. Methods: The FBSI model essentially combines a deterministic function form of the 1-year implied volatility skew with a separate deterministic function for the implied Hurst exponent, thus allowing one to model both observed implied volatility surfaces as well as decompose them into independent volatility and long-memory components respectively. Calibration of the model makes use of a quasi-explicit weighted

  1. Improvement of multi-level resistive switching characteristics in solution-processed AlO x -based non-volatile resistive memory using microwave irradiation

    Science.gov (United States)

    Kim, Seung-Tae; Cho, Won-Ju

    2018-01-01

    We fabricated a resistive random access memory (ReRAM) device on a Ti/AlO x /Pt structure with solution-processed AlO x switching layer using microwave irradiation (MWI), and demonstrated multi-level cell (MLC) operation. To investigate the effect of MWI power on the MLC characteristics, post-deposition annealing was performed at 600-3000 W after AlO x switching layer deposition, and the MLC operation was compared with as-deposited (as-dep) and conventional thermally annealing (CTA) treated devices. All solution-processed AlO x -based ReRAM devices exhibited bipolar resistive switching (BRS) behavior. We found that these devices have four-resistance states (2 bits) of MLC operation according to the modulation of the high-resistance state (HRSs) through reset voltage control. Particularly, compared to the as-dep and CTA ReRAM devices, the MWI-treated ReRAM devices showed a significant increase in the memory window and stable endurance for multi-level operation. Moreover, as the MWI power increased, excellent MLC characteristics were exhibited because the resistance ratio between each resistance state was increased. In addition, it exhibited reliable retention characteristics without deterioration at 25 °C and 85 °C for 10 000 s. Finally, the relationship between the chemical characteristics of the solution-processed AlO x switching layer and BRS-based multi-level operation according to the annealing method and MWI power was investigated using x-ray photoelectron spectroscopy.

  2. A new approach for two-terminal electronic memory devices - Storing information on silicon nanowires

    Science.gov (United States)

    Saranti, Konstantina; Alotaibi, Sultan; Paul, Shashi

    2016-06-01

    The work described in this paper focuses on the utilisation of silicon nanowires as the information storage element in flash-type memory devices. Silicon nanostructures have attracted attention due to interesting electrical and optical properties, and their potential integration into electronic devices. A detailed investigation of the suitability of silicon nanowires as the charge storage medium in two-terminal non-volatile memory devices are presented in this report. The deposition of the silicon nanostructures was carried out at low temperatures (less than 400 °C) using a previously developed a novel method within our research group. Two-terminal non-volatile (2TNV) memory devices and metal-insulator-semiconductor (MIS) structures containing the silicon nanowires were fabricated and an in-depth study of their characteristics was carried out using current-voltage and capacitance techniques.

  3. EqualChance: Addressing Intra-set Write Variation to Increase Lifetime of Non-volatile Caches

    Energy Technology Data Exchange (ETDEWEB)

    Mittal, Sparsh [ORNL; Vetter, Jeffrey S [ORNL

    2014-01-01

    To address the limitations of SRAM such as high-leakage and low-density, researchers have explored use of non-volatile memory (NVM) devices, such as ReRAM (resistive RAM) and STT-RAM (spin transfer torque RAM) for designing on-chip caches. A crucial limitation of NVMs, however, is that their write endurance is low and the large intra-set write variation introduced by existing cache management policies may further exacerbate this problem, thereby reducing the cache lifetime significantly. We present EqualChance, a technique to increase cache lifetime by reducing intra-set write variation. EqualChance works by periodically changing the physical cache-block location of a write-intensive data item within a set to achieve wear-leveling. Simulations using workloads from SPEC CPU2006 suite and HPC (high-performance computing) field show that EqualChance improves the cache lifetime by 4.29X. Also, its implementation overhead is small, and it incurs very small performance and energy loss.

  4. Realized volatility and absolute return volatility: a comparison indicating market risk.

    Science.gov (United States)

    Zheng, Zeyu; Qiao, Zhi; Takaishi, Tetsuya; Stanley, H Eugene; Li, Baowen

    2014-01-01

    Measuring volatility in financial markets is a primary challenge in the theory and practice of risk management and is essential when developing investment strategies. Although the vast literature on the topic describes many different models, two nonparametric measurements have emerged and received wide use over the past decade: realized volatility and absolute return volatility. The former is strongly favored in the financial sector and the latter by econophysicists. We examine the memory and clustering features of these two methods and find that both enable strong predictions. We compare the two in detail and find that although realized volatility has a better short-term effect that allows predictions of near-future market behavior, absolute return volatility is easier to calculate and, as a risk indicator, has approximately the same sensitivity as realized volatility. Our detailed empirical analysis yields valuable guidelines for both researchers and market participants because it provides a significantly clearer comparison of the strengths and weaknesses of the two methods.

  5. Realized volatility and absolute return volatility: a comparison indicating market risk.

    Directory of Open Access Journals (Sweden)

    Zeyu Zheng

    Full Text Available Measuring volatility in financial markets is a primary challenge in the theory and practice of risk management and is essential when developing investment strategies. Although the vast literature on the topic describes many different models, two nonparametric measurements have emerged and received wide use over the past decade: realized volatility and absolute return volatility. The former is strongly favored in the financial sector and the latter by econophysicists. We examine the memory and clustering features of these two methods and find that both enable strong predictions. We compare the two in detail and find that although realized volatility has a better short-term effect that allows predictions of near-future market behavior, absolute return volatility is easier to calculate and, as a risk indicator, has approximately the same sensitivity as realized volatility. Our detailed empirical analysis yields valuable guidelines for both researchers and market participants because it provides a significantly clearer comparison of the strengths and weaknesses of the two methods.

  6. Spin-transfer torque magnetoresistive random-access memory technologies for normally off computing (invited)

    International Nuclear Information System (INIS)

    Ando, K.; Yuasa, S.; Fujita, S.; Ito, J.; Yoda, H.; Suzuki, Y.; Nakatani, Y.; Miyazaki, T.

    2014-01-01

    Most parts of present computer systems are made of volatile devices, and the power to supply them to avoid information loss causes huge energy losses. We can eliminate this meaningless energy loss by utilizing the non-volatile function of advanced spin-transfer torque magnetoresistive random-access memory (STT-MRAM) technology and create a new type of computer, i.e., normally off computers. Critical tasks to achieve normally off computers are implementations of STT-MRAM technologies in the main memory and low-level cache memories. STT-MRAM technology for applications to the main memory has been successfully developed by using perpendicular STT-MRAMs, and faster STT-MRAM technologies for applications to the cache memory are now being developed. The present status of STT-MRAMs and challenges that remain for normally off computers are discussed

  7. Transparent Memory For Harsh Electronics

    KAUST Repository

    Ho, C. H.

    2017-03-14

    As a new class of non-volatile memory, resistive random access memory (RRAM) offers not only superior electronic characteristics, but also advanced functionalities, such as transparency and radiation hardness. However, the environmental tolerance of RRAM is material-dependent, and therefore the materials used must be chosen carefully in order to avoid instabilities and performance degradation caused by the detrimental effects arising from environmental gases and ionizing radiation. In this work, we demonstrate that AlN-based RRAM displays excellent performance and environmental stability, with no significant degradation to the resistance ratio over a 100-cycle endurance test. Moreover, transparent RRAM (TRRAM) based on AlN also performs reliably under four different harsh environmental conditions and 2 MeV proton irradiation fluences, ranging from 1011 to 1015 cm-2. These findings not only provide a guideline for TRRAM design, but also demonstrate the promising applicability of AlN TRRAM for future transparent harsh electronics.

  8. Forecasting volatility of crude oil markets

    International Nuclear Information System (INIS)

    Kang, Sang Hoon; Kang, Sang-Mok; Yoon, Seong-Min

    2009-01-01

    This article investigates the efficacy of a volatility model for three crude oil markets - Brent, Dubai, and West Texas Intermediate (WTI) - with regard to its ability to forecast and identify volatility stylized facts, in particular volatility persistence or long memory. In this context, we assess persistence in the volatility of the three crude oil prices using conditional volatility models. The CGARCH and FIGARCH models are better equipped to capture persistence than are the GARCH and IGARCH models. The CGARCH and FIGARCH models also provide superior performance in out-of-sample volatility forecasts. We conclude that the CGARCH and FIGARCH models are useful for modeling and forecasting persistence in the volatility of crude oil prices. (author)

  9. Forecasting volatility of crude oil markets

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Sang Hoon [Department of Business Administration, Gyeongsang National University, Jinju, 660-701 (Korea); Kang, Sang-Mok; Yoon, Seong-Min [Department of Economics, Pusan National University, Busan, 609-735 (Korea)

    2009-01-15

    This article investigates the efficacy of a volatility model for three crude oil markets - Brent, Dubai, and West Texas Intermediate (WTI) - with regard to its ability to forecast and identify volatility stylized facts, in particular volatility persistence or long memory. In this context, we assess persistence in the volatility of the three crude oil prices using conditional volatility models. The CGARCH and FIGARCH models are better equipped to capture persistence than are the GARCH and IGARCH models. The CGARCH and FIGARCH models also provide superior performance in out-of-sample volatility forecasts. We conclude that the CGARCH and FIGARCH models are useful for modeling and forecasting persistence in the volatility of crude oil prices. (author)

  10. Nonvolatile Memory Technology for Space Applications

    Science.gov (United States)

    Oldham, Timothy R.; Irom, Farokh; Friendlich, Mark; Nguyen, Duc; Kim, Hak; Berg, Melanie; LaBel, Kenneth A.

    2010-01-01

    This slide presentation reviews several forms of nonvolatile memory for use in space applications. The intent is to: (1) Determine inherent radiation tolerance and sensitivities, (2) Identify challenges for future radiation hardening efforts, (3) Investigate new failure modes and effects, and technology modeling programs. Testing includes total dose, single event (proton, laser, heavy ion), and proton damage (where appropriate). Test vehicles are expected to be a variety of non-volatile memory devices as available including Flash (NAND and NOR), Charge Trap, Nanocrystal Flash, Magnetic Memory (MRAM), Phase Change--Chalcogenide, (CRAM), Ferroelectric (FRAM), CNT, and Resistive RAM.

  11. Working memory for sequences of temporal durations reveals a volatile single-item store

    Directory of Open Access Journals (Sweden)

    Sanjay G Manohar

    2016-10-01

    remembered better when more items were expected, but worse when irrelevant features were present. This suggests that the privileged state of one item in memory is particularly volatile and susceptible to interference.

  12. Organic ferroelectric/semiconducting nanowire hybrid layer for memory storage

    NARCIS (Netherlands)

    Cai, R.; Kassa, H.G.; Haouari, R.; Marrani, A.; Geerts, Y.H.; Ruzié, C.; Breemen, A.J.J.M. van; Gelinck, G.H.; Nysten, B.; Hu, Z.; Jonas, A.M.

    2016-01-01

    Ferroelectric materials are important components of sensors, actuators and non-volatile memories. However, possible device configurations are limited due to the need to provide screening charges to ferroelectric interfaces to avoid depolarization. Here we show that, by alternating ferroelectric and

  13. Long memory of abnormal investor attention and the cross-correlations between abnormal investor attention and trading volume, volatility respectively

    Science.gov (United States)

    Fan, Xiaoqian; Yuan, Ying; Zhuang, Xintian; Jin, Xiu

    2017-03-01

    Taking Baidu Index as a proxy for abnormal investor attention (AIA), the long memory property in the AIA of Shanghai Stock Exchange (SSE) 50 Index component stocks was empirically investigated using detrended fluctuation analysis (DFA) method. The results show that abnormal investor attention is power-law correlated with Hurst exponents between 0.64 and 0.98. Furthermore, the cross-correlations between abnormal investor attention and trading volume, volatility respectively are studied using detrended cross-correlation analysis (DCCA) and the DCCA cross-correlation coefficient (ρDCCA). The results suggest that there are positive correlations between AIA and trading volume, volatility respectively. In addition, the correlations for trading volume are in general higher than the ones for volatility. By carrying on rescaled range analysis (R/S) and rolling windows analysis, we find that the results mentioned above are effective and significant.

  14. Recent trends in hardware security exploiting hybrid CMOS-resistive memory circuits

    Science.gov (United States)

    Sahay, Shubham; Suri, Manan

    2017-12-01

    This paper provides a comprehensive review and insight of recent trends in the field of random number generator (RNG) and physically unclonable function (PUF) circuits implemented using different types of emerging resistive non-volatile (NVM) memory devices. We present a detailed review of hybrid RNG/PUF implementations based on the use of (i) Spin-Transfer Torque (STT-MRAM), and (ii) metal-oxide based (OxRAM), NVM devices. Various approaches on Hybrid CMOS-NVM RNG/PUF circuits are considered, followed by a discussion on different nanoscale device phenomena. Certain nanoscale device phenomena (variability/stochasticity etc), which are otherwise undesirable for reliable memory and storage applications, form the basis for low power and highly scalable RNG/PUF circuits. Detailed qualitative comparison and benchmarking of all implementations is performed.

  15. Differential effects of non-REM and REM sleep on memory consolidation?

    Science.gov (United States)

    Ackermann, Sandra; Rasch, Björn

    2014-02-01

    Sleep benefits memory consolidation. Previous theoretical accounts have proposed a differential role of slow-wave sleep (SWS), rapid-eye-movement (REM) sleep, and stage N2 sleep for different types of memories. For example the dual process hypothesis proposes that SWS is beneficial for declarative memories, whereas REM sleep is important for consolidation of non-declarative, procedural and emotional memories. In fact, numerous recent studies do provide further support for the crucial role of SWS (or non-REM sleep) in declarative memory consolidation. However, recent evidence for the benefit of REM sleep for non-declarative memories is rather scarce. In contrast, several recent studies have related consolidation of procedural memories (and some also emotional memories) to SWS (or non-REM sleep)-dependent consolidation processes. We will review this recent evidence, and propose future research questions to advance our understanding of the role of different sleep stages for memory consolidation.

  16. My Experience with Ti-Ni-Based and Ti-Based Shape Memory Alloys

    Science.gov (United States)

    Miyazaki, Shuichi

    2017-12-01

    The present author has been studying shape memory alloys including Cu-Al-Ni, Ti-Ni-based, and Ni-free Ti-based alloys since 1979. This paper reviews the present author's research results for the latter two materials since 1981. The topics on the Ti-Ni-based alloys include the achievement of superelasticity in Ti-Ni alloys through understanding of the role of microstructures consisting of dislocations and precipitates, followed by the contribution to the development of application market of shape memory effect and superelasticity, characterization of the R-phase and monoclinic martensitic transformations, clarification of the basic characteristics of fatigue properties, development of sputter-deposited shape memory thin films and fabrication of prototypes of microactuators utilizing thin films, development of high temperature shape memory alloys, and so on. The topics of Ni-free Ti-based shape memory alloys include the characterization of the orthorhombic phase martensitic transformation and related shape memory effect and superelasticity, the effects of texture, omega phase and adding elements on the martensitic transformation and shape memory properties, clarification of the unique effects of oxygen addition to induce non-linear large elasticity, Invar effect and heating-induced martensitic transformation, and so on.

  17. Long memory volatility of gold price returns: How strong is the evidence from distinct economic cycles?

    Science.gov (United States)

    Bentes, Sonia R.

    2016-02-01

    This paper examines the long memory behavior in the volatility of gold returns using daily data for the period 1985-2009. We divided the whole sample into eight sub-samples in order to analyze the robustness and consistency of our results during different crisis periods. This constitutes our main contribution. We cover four major world crises, namely, (i) the US stock market crash of 1987; (ii) the Asian financial crisis of 1997; (iii) the World Trade Center terrorist attack of 2001 and finally, (iv) the sub-prime crisis of 2007, in order to investigate how the fractional integrated parameter of the FIGARCH(1, d,1) model evolves over time. Our findings are twofold: (i) there is evidence of long memory in the conditional variance over the whole sample period; (ii) when we consider the sub-sample analysis, the results show mixed evidence. Thus, for the 1985-2003 period the long memory parameter is positive and statistically significant in the pre-crisis sub-samples, and there is no evidence of long memory in the crisis sub-sample periods; however the reverse pattern occurs for the 2005-2009 period. This highlights the unique characteristics of the 2007 sub-prime crisis.

  18. Model-based Estimation of High Frequency Jump Diffusions with Microstructure Noise and Stochastic Volatility

    NARCIS (Netherlands)

    Bos, Charles S.

    2008-01-01

    When analysing the volatility related to high frequency financial data, mostly non-parametric approaches based on realised or bipower variation are applied. This article instead starts from a continuous time diffusion model and derives a parametric analog at high frequency for it, allowing

  19. Identification and Quantification of Oxidoselina-1,3,7(11)-Trien-8-One and Cyanidin-3-Glucoside as One of the Major Volatile and Non-Volatile Low-Molecular-Weight Constituents in Pitanga Pulp.

    Science.gov (United States)

    Josino Soares, Denise; Pignitter, Marc; Ehrnhöfer-Ressler, Miriam Margit; Walker, Jessica; Montenegro Brasil, Isabella; Somoza, Veronika

    2015-01-01

    The pulp of pitanga (Eugenia uniflora L.) is used to prepare pitanga juice. However, there are no reports on the identification and quantification of the main constituents in pitanga pulp. The aim of this study was to identify and quantify the major volatile and non-volatile low-molecular-weight constituents of the pulp. Isolation of volatile compounds was performed by solvent-assisted flavor evaporation technique. Characterization of the main volatile and non-volatile constituents was performed by GC-MS, LC-MS and NMR spectroscopy. For quantitative measurements, the main volatile compound needed to be isolated from pitanga pulp to obtain a commercially not available reference standard. Cyanidin-3-glucoside was determined as one of the most abundant non-volatile pulp compound yielding 53.8% of the sum of the intensities of all ions detected by LC-MS. Quantification of cyanidin-3-glucoside in pitanga pulp resulted in a concentration of 344 ± 66.4 μg/mL corresponding to 688 ± 133 μg/g dried pulp and 530 ± 102 μg/g fruit. For the volatile fraction, oxidoselina-1,3,7(11)-trien-8-one was identified as the main volatile pulp constituent (27.7% of the sum of the intensities of all ions detected by GC-MS), reaching a concentration of 89.0 ± 16.9 μg/mL corresponding to 1.34 ± 0.25 μg/g fresh pulp and 1.03 ± 0.19 μg/g fruit. The results provide quantitative evidence for the occurrence of an anthocyanin and an oxygenated sesquiterpene as one of the major volatile and non-volatile low-molecular-weight compounds in pitanga pulp.

  20. Nanocrystals manufacturing by ultra-low-energy ion-beam-synthesis for non-volatile memory applications

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Kapetanakis, E.; Dimitrakis, P.; Skarlatos, D.; Beltsios, K.; Tsoukalas, D.; Bonafos, C.; Ben Assayag, G.; Cherkashin, N.; Claverie, A.; Berg, J.A. van den; Soncini, V.; Agarwal, A.; Ameen, M.; Perego, M.; Fanciulli, M

    2004-02-01

    An overview of recent developments regarding the fabrication and structure of thin silicon dioxide films with embedded nanocrystals through ultra-low-energy ion-beam-synthesis (ULE-IBS) is presented. Advances in fabrication, increased understanding of structure formation processes and ways to control them allow for the fabrication of reproducible and attractive silicon-nanocrystal memory devices for a wide-range of memory applications as herein demonstrated in the case of low-voltage EEPROM-like applications.

  1. Nanocrystals manufacturing by ultra-low-energy ion-beam-synthesis for non-volatile memory applications

    International Nuclear Information System (INIS)

    Normand, P.; Kapetanakis, E.; Dimitrakis, P.; Skarlatos, D.; Beltsios, K.; Tsoukalas, D.; Bonafos, C.; Ben Assayag, G.; Cherkashin, N.; Claverie, A.; Berg, J.A. van den; Soncini, V.; Agarwal, A.; Ameen, M.; Perego, M.; Fanciulli, M.

    2004-01-01

    An overview of recent developments regarding the fabrication and structure of thin silicon dioxide films with embedded nanocrystals through ultra-low-energy ion-beam-synthesis (ULE-IBS) is presented. Advances in fabrication, increased understanding of structure formation processes and ways to control them allow for the fabrication of reproducible and attractive silicon-nanocrystal memory devices for a wide-range of memory applications as herein demonstrated in the case of low-voltage EEPROM-like applications

  2. Lower Bounds in the Asymmetric External Memory Model

    DEFF Research Database (Denmark)

    Jacob, Riko; Sitchinava, Nodari

    2017-01-01

    Motivated by the asymmetric read and write costs of emerging non-volatile memory technologies, we study lower bounds for the problems of sorting, permuting and multiplying a sparse matrix by a dense vector in the asymmetric external memory model (AEM). Given an AEM with internal (symmetric) memory...... of size M, transfers between symmetric and asymmetric memory in blocks of size B and the ratio ω between write and read costs, we show Ω(min (N, ωN/B logω M/B N/B) lower bound for the cost of permuting N input elements. This lower bound also applies to the problem of sorting N elements. This proves...

  3. Identification and Quantification of Oxidoselina-1,3,7(11-Trien-8-One and Cyanidin-3-Glucoside as One of the Major Volatile and Non-Volatile Low-Molecular-Weight Constituents in Pitanga Pulp.

    Directory of Open Access Journals (Sweden)

    Denise Josino Soares

    Full Text Available The pulp of pitanga (Eugenia uniflora L. is used to prepare pitanga juice. However, there are no reports on the identification and quantification of the main constituents in pitanga pulp. The aim of this study was to identify and quantify the major volatile and non-volatile low-molecular-weight constituents of the pulp. Isolation of volatile compounds was performed by solvent-assisted flavor evaporation technique. Characterization of the main volatile and non-volatile constituents was performed by GC-MS, LC-MS and NMR spectroscopy. For quantitative measurements, the main volatile compound needed to be isolated from pitanga pulp to obtain a commercially not available reference standard. Cyanidin-3-glucoside was determined as one of the most abundant non-volatile pulp compound yielding 53.8% of the sum of the intensities of all ions detected by LC-MS. Quantification of cyanidin-3-glucoside in pitanga pulp resulted in a concentration of 344 ± 66.4 μg/mL corresponding to 688 ± 133 μg/g dried pulp and 530 ± 102 μg/g fruit. For the volatile fraction, oxidoselina-1,3,7(11-trien-8-one was identified as the main volatile pulp constituent (27.7% of the sum of the intensities of all ions detected by GC-MS, reaching a concentration of 89.0 ± 16.9 μg/mL corresponding to 1.34 ± 0.25 μg/g fresh pulp and 1.03 ± 0.19 μg/g fruit. The results provide quantitative evidence for the occurrence of an anthocyanin and an oxygenated sesquiterpene as one of the major volatile and non-volatile low-molecular-weight compounds in pitanga pulp.

  4. Analysis of Non-Volatile Chemical Constituents of Menthae Haplocalycis Herba by Ultra-High Performance Liquid Chromatography-High Resolution Mass Spectrometry

    Directory of Open Access Journals (Sweden)

    Lu-Lu Xu

    2017-10-01

    Full Text Available Menthae Haplocalycis herba, one kind of Chinese edible herbs, has been widely utilized for the clinical use in China for thousands of years. Over the last decades, studies on chemical constituents of Menthae Haplocalycis herba have been widely performed. However, less attention has been paid to non-volatile components which are also responsible for its medical efficacy than the volatile constituents. Therefore, a rapid and sensitive method was developed for the comprehensive identification of the non-volatile constituents in Menthae Haplocalycis herba using ultra-high performance liquid chromatography coupled with linear ion trap-Orbitrap mass spectrometry (UHPLC-LTQ-Orbitrap. Separation was performed with Acquity UPLC® BEH C18 column (2.1 mm × 100 mm, 1.7 μm with 0.2% formic acid aqueous solution and acetonitrile as the mobile phase under gradient conditions. Based on the accurate mass measurement (<5 ppm, MS/MS fragmentation patterns and different chromatographic behaviors, a total of 64 compounds were unambiguously or tentatively characterized, including 30 flavonoids, 20 phenolic acids, 12 terpenoids and two phenylpropanoids. Finally, target isolation of three compounds named Acacetin, Rosmarinic acid and Clemastanin A (first isolated from Menthae Haplocalycis herba were performed based on the obtained results, which further confirmed the deduction of fragmentation patterns and identified the compounds profile in Menthae Haplocalycis herba. Our research firstly systematically elucidated the non-volatile components of Menthae Haplocalycis herba, which laid the foundation for further pharmacological and metabolic studies. Meanwhile, our established method was useful and efficient to screen and identify targeted constituents from traditional Chinese medicine extracts.

  5. Non-Saccharomyces Yeasts Nitrogen Source Preferences: Impact on Sequential Fermentation and Wine Volatile Compounds Profile

    Directory of Open Access Journals (Sweden)

    Antoine Gobert

    2017-11-01

    Full Text Available Nitrogen sources in the must are important for yeast metabolism, growth, and performance, and wine volatile compounds profile. Yeast assimilable nitrogen (YAN deficiencies in grape must are one of the main causes of stuck and sluggish fermentation. The nitrogen requirement of Saccharomyces cerevisiae metabolism has been described in detail. However, the YAN preferences of non-Saccharomyces yeasts remain unknown despite their increasingly widespread use in winemaking. Furthermore, the impact of nitrogen consumption by non-Saccharomyces yeasts on YAN availability, alcoholic performance and volatile compounds production by S. cerevisiae in sequential fermentation has been little studied. With a view to improving the use of non-Saccharomyces yeasts in winemaking, we studied the use of amino acids and ammonium by three strains of non-Saccharomyces yeasts (Starmerella bacillaris, Metschnikowia pulcherrima, and Pichia membranifaciens in grape juice. We first determined which nitrogen sources were preferentially used by these yeasts in pure cultures at 28 and 20°C (because few data are available. We then carried out sequential fermentations at 20°C with S. cerevisiae, to assess the impact of the non-Saccharomyces yeasts on the availability of assimilable nitrogen for S. cerevisiae. Finally, 22 volatile compounds were quantified in sequential fermentation and their levels compared with those in pure cultures of S. cerevisiae. We report here, for the first time, that non-Saccharomyces yeasts have specific amino-acid consumption profiles. Histidine, methionine, threonine, and tyrosine were not consumed by S. bacillaris, aspartic acid was assimilated very slowly by M. pulcherrima, and glutamine was not assimilated by P. membranifaciens. By contrast, cysteine appeared to be a preferred nitrogen source for all non-Saccharomyces yeasts. In sequential fermentation, these specific profiles of amino-acid consumption by non-Saccharomyces yeasts may account for

  6. Non-Saccharomyces Yeasts Nitrogen Source Preferences: Impact on Sequential Fermentation and Wine Volatile Compounds Profile

    Science.gov (United States)

    Gobert, Antoine; Tourdot-Maréchal, Raphaëlle; Morge, Christophe; Sparrow, Céline; Liu, Youzhong; Quintanilla-Casas, Beatriz; Vichi, Stefania; Alexandre, Hervé

    2017-01-01

    Nitrogen sources in the must are important for yeast metabolism, growth, and performance, and wine volatile compounds profile. Yeast assimilable nitrogen (YAN) deficiencies in grape must are one of the main causes of stuck and sluggish fermentation. The nitrogen requirement of Saccharomyces cerevisiae metabolism has been described in detail. However, the YAN preferences of non-Saccharomyces yeasts remain unknown despite their increasingly widespread use in winemaking. Furthermore, the impact of nitrogen consumption by non-Saccharomyces yeasts on YAN availability, alcoholic performance and volatile compounds production by S. cerevisiae in sequential fermentation has been little studied. With a view to improving the use of non-Saccharomyces yeasts in winemaking, we studied the use of amino acids and ammonium by three strains of non-Saccharomyces yeasts (Starmerella bacillaris, Metschnikowia pulcherrima, and Pichia membranifaciens) in grape juice. We first determined which nitrogen sources were preferentially used by these yeasts in pure cultures at 28 and 20°C (because few data are available). We then carried out sequential fermentations at 20°C with S. cerevisiae, to assess the impact of the non-Saccharomyces yeasts on the availability of assimilable nitrogen for S. cerevisiae. Finally, 22 volatile compounds were quantified in sequential fermentation and their levels compared with those in pure cultures of S. cerevisiae. We report here, for the first time, that non-Saccharomyces yeasts have specific amino-acid consumption profiles. Histidine, methionine, threonine, and tyrosine were not consumed by S. bacillaris, aspartic acid was assimilated very slowly by M. pulcherrima, and glutamine was not assimilated by P. membranifaciens. By contrast, cysteine appeared to be a preferred nitrogen source for all non-Saccharomyces yeasts. In sequential fermentation, these specific profiles of amino-acid consumption by non-Saccharomyces yeasts may account for some of the

  7. Determination of non-volatile radiolytic compounds in ethylene co-vinyl alcohol

    International Nuclear Information System (INIS)

    Kothapalli, A.; Sadler, G.

    2003-01-01

    The use of ionizing radiation on food contact polymers is increasing due to the critical role of the package in holding or containing the irradiated foods [Food Add. Contam. 18(6) (2001) 475]. Irradiation benefits the food if properly applied and the food is pre-packaged prior to irradiation to protect it from subsequent recontamination. The United States Food and Drug Administration (USFDA) has approved the use of ionizing radiation within the dosage range of 0-60 kGy on limited films since the 1960s [USFDA 21CFR 179.45]. The obstacle in the way of approval of additional polymers is that FDA fears that these materials may undergo changes during irradiation producing toxic radiolytic fragments. Ethylene co-vinyl alcohol (EVOH), which is often used in food applications, is not approved by the FDA for pre-packaged irradiated foods. The present work examines the non-volatile radiolytic compounds, which may be formed due to exposure to gamma irradiation at the dosage levels of 3 and 10 kGy versus a non-radiated control. Irradiated EVOH is subjected to extraction with 95:5 ethanol and water (by volume) as the food simulating solvent (FSS) for a period of 10 days at 40 deg. C, which models the amount of radiolytic compound a food would extract in 1 year [USFDA Chemistry Requirement for Food Contact Notification]. The FSS is then analyzed for the presence of non-volatile compounds using advanced liquid chromatographic techniques. The chromatograms obtained from different dosages show that non-volatile radiolytic compounds are not formed in EVOH and it would, therefore be in compliance with safety demands of USFDA [Available at: http://www.cfsan.fda.gov/~dms/opa-guid.htmlref and http://www.access.gpo.gov/nara/cfr/cfr-table-search.htmlpage1

  8. Determination of non-volatile radiolytic compounds in ethylene co-vinyl alcohol

    Science.gov (United States)

    Kothapalli, A.; Sadler, G.

    2003-08-01

    The use of ionizing radiation on food contact polymers is increasing due to the critical role of the package in holding or containing the irradiated foods [Food Add. Contam. 18(6) (2001) 475]. Irradiation benefits the food if properly applied and the food is pre-packaged prior to irradiation to protect it from subsequent recontamination. The United States Food and Drug Administration (USFDA) has approved the use of ionizing radiation within the dosage range of 0-60 kGy on limited films since the 1960s [USFDA 21CFR 179.45]. The obstacle in the way of approval of additional polymers is that FDA fears that these materials may undergo changes during irradiation producing toxic radiolytic fragments. Ethylene co-vinyl alcohol (EVOH), which is often used in food applications, is not approved by the FDA for pre-packaged irradiated foods. The present work examines the non-volatile radiolytic compounds, which may be formed due to exposure to gamma irradiation at the dosage levels of 3 and 10 kGy versus a non-radiated control. Irradiated EVOH is subjected to extraction with 95:5 ethanol and water (by volume) as the food simulating solvent (FSS) for a period of 10 days at 40 °C, which models the amount of radiolytic compound a food would extract in 1 year [USFDA Chemistry Requirement for Food Contact Notification]. The FSS is then analyzed for the presence of non-volatile compounds using advanced liquid chromatographic techniques. The chromatograms obtained from different dosages show that non-volatile radiolytic compounds are not formed in EVOH and it would, therefore be in compliance with safety demands of USFDA [Available at: http://www.cfsan.fda.gov/~dms/opa-guid.html#ref and http://www.access.gpo.gov/nara/cfr/cfr-table-search.html#page1].

  9. Return-Volatility Relationship: Insights from Linear and Non-Linear Quantile Regression

    NARCIS (Netherlands)

    D.E. Allen (David); A.K. Singh (Abhay); R.J. Powell (Robert); M.J. McAleer (Michael); J. Taylor (James); L. Thomas (Lyn)

    2013-01-01

    textabstractThe purpose of this paper is to examine the asymmetric relationship between price and implied volatility and the associated extreme quantile dependence using linear and non linear quantile regression approach. Our goal in this paper is to demonstrate that the relationship between the

  10. Polymer ferroelectric field-effect memory device with SnO channel layer exhibits record hole mobility

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso; Khan, M. A.; Alshareef, Husam N.

    2014-01-01

    Here we report for the first time a hybrid p-channel polymer ferroelectric field-effect transistor memory device with record mobility. The memory device, fabricated at 200C on both plastic polyimide and glass substrates, uses ferroelectric polymer P(VDF-TrFE) as the gate dielectric and transparent p-type oxide (SnO) as the active channel layer. A record mobility of 3.3 cm 2V-1s-1, large memory window (~16 V), low read voltages (~-1 V), and excellent retention characteristics up to 5000 sec have been achieved. The mobility achieved in our devices is over 10 times higher than previously reported polymer ferroelectric field-effect transistor memory with p-type channel. This demonstration opens the door for the development of non-volatile memory devices based on dual channel for emerging transparent and flexible electronic devices.

  11. Polymer ferroelectric field-effect memory device with SnO channel layer exhibits record hole mobility

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2014-06-10

    Here we report for the first time a hybrid p-channel polymer ferroelectric field-effect transistor memory device with record mobility. The memory device, fabricated at 200C on both plastic polyimide and glass substrates, uses ferroelectric polymer P(VDF-TrFE) as the gate dielectric and transparent p-type oxide (SnO) as the active channel layer. A record mobility of 3.3 cm 2V-1s-1, large memory window (~16 V), low read voltages (~-1 V), and excellent retention characteristics up to 5000 sec have been achieved. The mobility achieved in our devices is over 10 times higher than previously reported polymer ferroelectric field-effect transistor memory with p-type channel. This demonstration opens the door for the development of non-volatile memory devices based on dual channel for emerging transparent and flexible electronic devices.

  12. Volatilities, Traded Volumes, and Price Increments in Derivative Securities

    Science.gov (United States)

    Kim, Kyungsik; Lim, Gyuchang; Kim, Soo Yong; Scalas, Enrico

    2007-03-01

    We apply the detrended fluctuation analysis (DFA) to the statistics of the Korean treasury bond (KTB) futures from which the logarithmic increments, volatilities, and traded volumes are estimated over a specific time lag. For our case, the logarithmic increment of futures prices has no long-memory property, while the volatility and the traded volume exhibit the existence of long-memory property. To analyze and calculate whether the volatility clustering is due to the inherent higher-order correlation not detected by applying directly the DFA to logarithmic increments of the KTB futures, it is of importance to shuffle the original tick data of futures prices and to generate the geometric Brownian random walk with the same mean and standard deviation. It is really shown from comparing the three tick data that the higher-order correlation inherent in logarithmic increments makes the volatility clustering. Particularly, the result of the DFA on volatilities and traded volumes may be supported the hypothesis of price changes.

  13. Optimisasi Portofolio Mean-VaR di bawah CAPM Transformasi Koyck dengan Volatilitas Tak Konstan dan Efek Long Memory

    Directory of Open Access Journals (Sweden)

    Sukono Sukono

    2010-01-01

    Full Text Available In this paper we formulated mean-VaR portfolio optimization through CAPM Koyck transformation. We assumed that lagged of risk premium which have highly influence on stock returns is infinite, while model parameters decrease geometrically. We also assumed that rate of return in risk premium market index is not constant, in other word has a non-constant volatility rate, and also has a long memory effect. The later was analyzed using ARFIMA. Non constant volatility rate was modeled via GARCH model. The portfolio optimization was constructed using Langrangian multiplier and the Kuhn-Tucker theorem was employed to obtain the solution by the least square method. Finally, we provide a numerical example of the optimization model based on several stocks traded in Indonesian capital market.

  14. The fractional volatility model: An agent-based interpretation

    Science.gov (United States)

    Vilela Mendes, R.

    2008-06-01

    Based on the criteria of mathematical simplicity and consistency with empirical market data, a model with volatility driven by fractional noise has been constructed which provides a fairly accurate mathematical parametrization of the data. Here, some features of the model are reviewed and extended to account for leverage effects. Using agent-based models, one tries to find which agent strategies and (or) properties of the financial institutions might be responsible for the features of the fractional volatility model.

  15. HS-SPME-GC-MS ANALYSIS OF VOLATILE AND SEMI-VOLATILE COMPOUNDS FROM DRIED LEAVES OF Mikania glomerata Sprengel

    Directory of Open Access Journals (Sweden)

    Esmeraldo A. Cappelaro

    2015-03-01

    Full Text Available This paper reports on the identification of volatile and semi-volatile compounds and a comparison of the chromatographic profiles obtained by Headspace Solid-Phase Microextraction/Gas Chromatography with Mass Spectrometry detection (HS-SPME-GC-MS of dried leaves of Mikania glomerata Sprengel (Asteraceae, also known as 'guaco.' Three different types of commercial SPME fibers were tested: polydimethylsiloxane (PDMS, polydimethylsiloxane/divinylbenzene (PDMS/DVB and polyacrylate (PA. Fifty-nine compounds were fully identified by HS-SPME-HRGC-MS, including coumarin, a marker for the quality control of guaco-based phytomedicines; most of the other identified compounds were mono- and sesquiterpenes. PA fibers performed better in the analysis of coumarin, while PDMS-DVB proved to be the best choice for a general and non-selective analysis of volatile and semi-volatile guaco-based compounds. The SPME method is faster and requires a smaller sample than conventional hydrodistillation of essential oils, providing a general overview of the volatile and semi-volatile compounds of M. glomerata.

  16. A Range-Based Multivariate Model for Exchange Rate Volatility

    OpenAIRE

    Tims, Ben; Mahieu, Ronald

    2003-01-01

    textabstractIn this paper we present a parsimonious multivariate model for exchange rate volatilities based on logarithmic high-low ranges of daily exchange rates. The multivariate stochastic volatility model divides the log range of each exchange rate into two independent latent factors, which are interpreted as the underlying currency specific components. Due to the normality of logarithmic volatilities the model can be estimated conveniently with standard Kalman filter techniques. Our resu...

  17. A Complementary Resistive Switch-based Crossbar Array Adder

    OpenAIRE

    Siemon, A.; Menzel, S.; Waser, R.; Linn, E.

    2014-01-01

    Redox-based resistive switching devices (ReRAM) are an emerging class of non-volatile storage elements suited for nanoscale memory applications. In terms of logic operations, ReRAM devices were suggested to be used as programmable interconnects, large-scale look-up tables or for sequential logic operations. However, without additional selector devices these approaches are not suited for use in large scale nanocrossbar memory arrays, which is the preferred architecture for ReRAM devices due to...

  18. Effect of AlN layer on the bipolar resistive switching behavior in TiN thin film based ReRAM device for non-volatile memory application

    Science.gov (United States)

    Prakash, Ravi; Kaur, Davinder

    2018-05-01

    The effect of an additional AlN layer in the Cu/TiN/AlN/Pt stack configuration deposited using sputtering has been investigated. The Cu/TiN/AlN/Pt device shows a tristate resistive switching. Multilevel switching is facilitated by ionic and metallic filament formation, and the nature of the filaments formed is confirmed by performing a resistance vs. temperature measurement. Ohmic behaviour and trap controlled space charge limited current (SCLC) conduction mechanisms are confirmed as dominant conduction mechanism at low resistance state (LRS) and high resistance state (HRS). High resistance ratio (102) corresponding to HRS and LRS, good write/erase endurance (105) and non-volatile long retention (105s) are also observed. Higher thermal conductivity of the AlN layer is the main reasons for the enhancement of resistive switching performance in Cu/TiN/AlN/Pt cell. The above result suggests the feasibility of Cu/TiN/AlN/Pt devices for multilevel nonvolatile ReRAM application.

  19. Three-terminal resistive switching memory in a transparent vertical-configuration device

    International Nuclear Information System (INIS)

    Ungureanu, Mariana; Llopis, Roger; Casanova, Fèlix; Hueso, Luis E.

    2014-01-01

    The resistive switching phenomenon has attracted much attention recently for memory applications. It describes the reversible change in the resistance of a dielectric between two non-volatile states by the application of electrical pulses. Typical resistive switching memories are two-terminal devices formed by an oxide layer placed between two metal electrodes. Here, we report on the fabrication and operation of a three-terminal resistive switching memory that works as a reconfigurable logic component and offers an increased logic density on chip. The three-terminal memory device we present is transparent and could be further incorporated in transparent computing electronic technologies

  20. Integration of ammonia-plasma-functionalized graphene nanodiscs as charge trapping centers for nonvolatile memory applications

    KAUST Repository

    Wang, Jer-Chyi

    2016-11-23

    Graphene nanodiscs (GNDs), functionalized using NH3 plasma, as charge trapping sites (CTSs) for non-volatile memory applications have been investigated in this study. The fabrication process relies on the patterning of Au nanoparticles (Au-NPs), whose thicknesses are tuned to adjust the GND density and size upon etching. A GND density as high as 8 × 1011 cm−2 and a diameter of approximately 20 nm are achieved. The functionalization of GNDs by NH3 plasma creates Nsingle bondH+ functional groups that act as CTSs, as observed by Raman and Fourier transform infrared spectroscopy. This inherently enhances the density of CTSs in the GNDs, as a result, the memory window becomes more than 2.4 V and remains stable after 104 operating cycles. The charge loss is less than 10% for a 10-year data retention testing, making this low-temperature process suitable for low-cost non-volatile memory applications on flexible substrates.

  1. Field-induced strain memory with non-180 .deg. domain-reorientation control

    International Nuclear Information System (INIS)

    Kadota, Yoichi; Hosaka, Hiroshi; Morita, Takeshi

    2010-01-01

    Using non-180 .deg. domain-reorientation control, we propose the strain memory effect in ferroelectric ceramics. Electric fields with asymmetric amplitudes were applied to soft-type lead zirconate titanate (PZT) ceramics, and the strain hysteresis and the polarization loop were measured. The butterfly curve became asymmetric under an electric field with a particular asymmetric amplitude. The asymmetric butterfly curve had two stable strain states at zero electric field. Thus, the strain memory effect was realized as the difference between the two stable strain states. An XRD analysis was carried out to verify the contribution of the non-180 .deg. domain reorientation to the strain memory effect. The non-180 .deg. domain reorientation was determined as the intensity ratio of the (002) to the (200) peak. The strain memory determined from macroscopic strain measurements had a linear relationship to the non-180 .deg. domain volume fraction. This result indicated the origin of the strain memory to be the non-180 .deg. domain reorientation.

  2. Biotransformation of volatile fatty acids by oleaginous and non-oleaginous yeast species

    Czech Academy of Sciences Publication Activity Database

    Kolouchová, I.; Schreiberová, O.; Sigler, Karel; Masák, J.; Řezanka, Tomáš

    2015-01-01

    Roč. 15, č. 7 (2015) ISSN 1567-1356 R&D Projects: GA ČR GA14-00227S Institutional support: RVO:61388971 Keywords : oleaginous yeasts * non-oleaginous yeasts * volatile fatty acids Subject RIV: EE - Microbiology, Virology Impact factor: 2.479, year: 2015

  3. The multivariate supOU stochastic volatility model

    DEFF Research Database (Denmark)

    Barndorff-Nielsen, Ole; Stelzer, Robert

    Using positive semidefinite supOU (superposition of Ornstein-Uhlenbeck type) processes to describe the volatility, we introduce a multivariate stochastic volatility model for financial data which is capable of modelling long range dependence effects. The finiteness of moments and the second order...... structure of the volatility, the log returns, as well as their "squares" are discussed in detail. Moreover, we give several examples in which long memory effects occur and study how the model as well as the simple Ornstein-Uhlenbeck type stochastic volatility model behave under linear transformations....... In particular, the models are shown to be preserved under invertible linear transformations. Finally, we discuss how (sup)OU stochastic volatility models can be combined with a factor modelling approach....

  4. Bootstrap inference for pre-averaged realized volatility based on non-overlapping returns

    DEFF Research Database (Denmark)

    Gonçalves, Sílvia; Hounyo, Ulrich; Meddahi, Nour

    The main contribution of this paper is to propose bootstrap methods for realized volatility-like estimators defined on pre-averaged returns. In particular, we focus on the pre-averaged realized volatility estimator proposed by Podolskij and Vetter (2009). This statistic can be written (up to a bias......-overlapping nature of the pre-averaged returns implies that these are asymptotically independent, but possibly heteroskedastic. This motivates the application of the wild bootstrap in this context. We provide a proof of the first order asymptotic validity of this method for percentile and percentile-t intervals. Our...... Monte Carlo simulations show that the wild bootstrap can improve the finite sample properties of the existing first order asymptotic theory provided we choose the external random variable appropriately. We use empirical work to illustrate its use in practice....

  5. A Range-Based Multivariate Model for Exchange Rate Volatility

    NARCIS (Netherlands)

    B. Tims (Ben); R.J. Mahieu (Ronald)

    2003-01-01

    textabstractIn this paper we present a parsimonious multivariate model for exchange rate volatilities based on logarithmic high-low ranges of daily exchange rates. The multivariate stochastic volatility model divides the log range of each exchange rate into two independent latent factors, which are

  6. Soluble dendrimers europium(III) β-diketonate complex for organic memory devices

    International Nuclear Information System (INIS)

    Wang Binbin; Fang Junfeng; Li Bin; You Han; Ma Dongge; Hong Ziruo; Li Wenlian; Su Zhongmin

    2008-01-01

    We report the synthesis of a soluble dendrimers europium(III) complex, tris(dibenzoylmethanato)(1,3,5-tris[2-(2'-pyridyl) benzimidazoly]methylbenzene)-europium(III), and its application in organic electrical bistable memory device. Excellent stability that ensured more than 10 6 write-read-erase-reread cycles has been performed in ambient conditions without current-induced degradation. High-density, low-cost memory, good film-firming property, fascinating thermal and morphological stability allow the application of the dendrimers europium(III) complex as an active medium in non-volatile memory devices

  7. A supply and demand based volatility model for energy prices

    International Nuclear Information System (INIS)

    Kanamura, Takashi

    2009-01-01

    This paper proposes a new volatility model for energy prices using the supply-demand relationship, which we call a supply and demand based volatility model. We show that the supply curve shape in the model determines the characteristics of the volatility in energy prices. It is found that the inverse Box-Cox transformation supply curve reflecting energy markets causes the inverse leverage effect, i.e., positive correlation between energy prices and volatility. The model is also used to show that an existing (G)ARCH-M model has the foundations on the supply-demand relationship. Additionally, we conduct the empirical studies analyzing the volatility in the U.S. natural gas prices. (author)

  8. A supply and demand based volatility model for energy prices

    Energy Technology Data Exchange (ETDEWEB)

    Kanamura, Takashi [J-POWER, 15-1, Ginza 6-Chome, Chuo-ku, Tokyo 104-8165 (Japan)

    2009-09-15

    This paper proposes a new volatility model for energy prices using the supply-demand relationship, which we call a supply and demand based volatility model. We show that the supply curve shape in the model determines the characteristics of the volatility in energy prices. It is found that the inverse Box-Cox transformation supply curve reflecting energy markets causes the inverse leverage effect, i.e., positive correlation between energy prices and volatility. The model is also used to show that an existing (G)ARCH-M model has the foundations on the supply-demand relationship. Additionally, we conduct the empirical studies analyzing the volatility in the U.S. natural gas prices. (author)

  9. Current status of fluoride volatility method development

    Energy Technology Data Exchange (ETDEWEB)

    Uhlir, J.; Marecek, M.; Skarohlid, J. [UJV - Nuclear Research Institute, Research Centre Rez, CZ-250 68 Husinec - Rez 130 (Czech Republic)

    2013-07-01

    The Fluoride Volatility Method is based on a separation process, which comes out from the specific property of uranium, neptunium and plutonium to form volatile hexafluorides whereas most of fission products (mainly lanthanides) and higher transplutonium elements (americium, curium) present in irradiated fuel form nonvolatile tri-fluorides. Fluoride Volatility Method itself is based on direct fluorination of the spent fuel, but before the fluorination step, the removal of cladding material and subsequent transformation of the fuel into a powdered form with a suitable grain size have to be done. The fluorination is made with fluorine gas in a flame fluorination reactor, where the volatile fluorides (mostly UF{sub 6}) are separated from the non-volatile ones (trivalent minor actinides and majority of fission products). The subsequent operations necessary for partitioning of volatile fluorides are the condensation and evaporation of volatile fluorides, the thermal decomposition of PuF{sub 6} and the finally distillation and sorption used for the purification of uranium product. The Fluoride Volatility Method is considered to be a promising advanced pyrochemical reprocessing technology, which can mainly be used for the reprocessing of oxide spent fuels coming from future GEN IV fast reactors.

  10. Volatility persistence in crude oil markets

    International Nuclear Information System (INIS)

    Charles, Amélie; Darné, Olivier

    2014-01-01

    Financial market participants and policy-makers can benefit from a better understanding of how shocks can affect volatility over time. This study assesses the impact of structural changes and outliers on volatility persistence of three crude oil markets – Brent, West Texas Intermediate (WTI) and Organization of Petroleum Exporting Countries (OPEC) – between January 2, 1985 and June 17, 2011. We identify outliers using a new semi-parametric test based on conditional heteroscedasticity models. These large shocks can be associated with particular event patterns, such as the invasion of Kuwait by Iraq, the Operation Desert Storm, the Operation Desert Fox, and the Global Financial Crisis as well as OPEC announcements on production reduction or US announcements on crude inventories. We show that outliers can bias (i) the estimates of the parameters of the equation governing volatility dynamics; (ii) the regularity and non-negativity conditions of GARCH-type models (GARCH, IGARCH, FIGARCH and HYGARCH); and (iii) the detection of structural breaks in volatility, and thus the estimation of the persistence of the volatility. Therefore, taking into account the outliers on the volatility modelling process may improve the understanding of volatility in crude oil markets. - Highlights: • We study the impact of outliers on volatility persistence of crude oil markets. • We identify outliers and patches of outliers due to specific events. • We show that outliers can bias (i) the estimates of the parameters of GARCH models, (ii) the regularity and non-negativity conditions of GARCH-type models, (iii) the detection of structural breaks in volatility of crude oil markets

  11. Fluctuation behaviors of financial return volatility duration

    Science.gov (United States)

    Niu, Hongli; Wang, Jun; Lu, Yunfan

    2016-04-01

    It is of significantly crucial to understand the return volatility of financial markets because it helps to quantify the investment risk, optimize the portfolio, and provide a key input of option pricing models. The characteristics of isolated high volatility events above certain threshold in price fluctuations and the distributions of return intervals between these events arouse great interest in financial research. In the present work, we introduce a new concept of daily return volatility duration, which is defined as the shortest passage time when the future volatility intensity is above or below the current volatility intensity (without predefining a threshold). The statistical properties of the daily return volatility durations for seven representative stock indices from the world financial markets are investigated. Some useful and interesting empirical results of these volatility duration series about the probability distributions, memory effects and multifractal properties are obtained. These results also show that the proposed stock volatility series analysis is a meaningful and beneficial trial.

  12. Synthesis of ZnO nanorods and observation of resistive switching memory in ZnO based polymer nanocomposites

    Science.gov (United States)

    Nair, Manjula G.; Malakar, Meenakshi; Mohapatra, Saumya R.; Chowdhury, Avijit

    2018-05-01

    This research reports the observation of bipolar resistive switching memory in ZnO nanorod based polymer nanocomposites. We synthesized ZnO nanorods by wet-chemical method and characterized them using XRD, UV-VIS spectroscopy and SEM. The synthesized materials have hexagonal ZnO phase with grain size of 24 nm and having strong orientation along (101) direction as observed from XRD. The SEM micrograph confirms the formation of ZnO nanorods with diameter in the range of 10 to 20 nm and length of the order of 1 µm. From optical absorption spectra the band gap is estimated to be 2.42 eV. ZnO nanorods were dispersed in PVDF-HFP polymer matrix to prepare the nanocomposite. This nanocomposite was used as active layer in the devices having sandwich structure of ITO/PVDF-HFP+ZnO nanorods/Al. Bipolar non-volatile memory was observed with ON-OFF resistance ratio of the order of 103 and with a wide voltage window of 2.3V. The switching mechanism could be due to the trapping and de-trapping of electrons by the ZnO nanorods in the nanocomposite during ON and OFF states respectively.

  13. Dynamic reconfiguration of van der Waals gaps within GeTe-Sb2Te3 based superlattices

    NARCIS (Netherlands)

    Momand, Jamo; Wang, Ruining; Boschker, Jos E.; Verheijen, Marcel A.; Calarco, Raffaella; Kooi, Bart J.

    2017-01-01

    Phase-change materials based on GeSbTe show unique switchable optoelectronic properties and are an important contender for next-generation non-volatile memories. Moreover, they recently received considerable scientific interest, because it is found that a vacancy ordering process is responsible for

  14. Dynamic reconfiguration of van der Waals gaps within GeTe-Sb2-Te3 based superlattices

    NARCIS (Netherlands)

    Momand, J.; Wang, R.; Boschker, J.E.; Verheijen, M.A.; Calarco, R.; Kooi, B.J.

    2017-01-01

    Phase-change materials based on GeSbTe show unique switchable optoelectronic properties and are an important contender for next-generation non-volatile memories. Moreover, they recently received considerable scientific interest, because it is found that a vacancy ordering process is responsible for

  15. Semiconductor-based, large-area, flexible, electronic devices

    Science.gov (United States)

    Goyal, Amit [Knoxville, TN

    2011-03-15

    Novel articles and methods to fabricate the same resulting in flexible, large-area, triaxially textured, single-crystal or single-crystal-like, semiconductor-based, electronic devices are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  16. Declarative and Non-declarative Memory Consolidation in Children with Sleep Disorder.

    Science.gov (United States)

    Csábi, Eszter; Benedek, Pálma; Janacsek, Karolina; Zavecz, Zsófia; Katona, Gábor; Nemeth, Dezso

    2015-01-01

    Healthy sleep is essential in children's cognitive, behavioral, and emotional development. However, remarkably little is known about the influence of sleep disorders on different memory processes in childhood. Such data could give us a deeper insight into the effect of sleep on the developing brain and memory functions and how the relationship between sleep and memory changes from childhood to adulthood. In the present study we examined the effect of sleep disorder on declarative and non-declarative memory consolidation by testing children with sleep-disordered breathing (SDB) which is characterized by disrupted sleep structure. We used a story recall task to measure declarative memory and Alternating Serial Reaction time (ASRT) task to assess non-declarative memory. This task enables us to measure two aspects of non-declarative memory, namely general motor skill learning and sequence-specific learning. There were two sessions: a learning phase and a testing phase, separated by a 12 h offline period with sleep. Our data showed that children with SDB exhibited a generally lower declarative memory performance both in the learning and testing phase; however, both the SDB and control groups exhibited retention of the previously recalled items after the offline period. Here we showed intact non-declarative consolidation in SDB group in both sequence-specific and general motor skill. These findings suggest that sleep disorders in childhood have a differential effect on different memory processes (online vs. offline) and give us insight into how sleep disturbances affects developing brain.

  17. Non-Calorimetric Determination of the Adsorption Heat of Volatile Organic Compounds under Dynamic Conditions

    Directory of Open Access Journals (Sweden)

    Abdelhamid Korrir

    2015-04-01

    Full Text Available Avoiding strong chemical bonding, as indicated by lower heat of adsorption value, is among the selection criteria for Volatile Organic Compounds adsorbents. In this work, we highlight a non-calorimetric approach to estimating the energy of adsorption and desorption based on measurement of involved amounts, under dynamic conditions, with gaseous Fourier Transform Infrared spectroscopy. The collected data were used for obtaining adsorption heat values through the application of three different methods, namely, isosteric, temperature programmed desorption (TPD, and temperature-programmed adsorption equilibrium (TPAE. The resulting values were compared and discussed with the scope of turning determination of the heat of adsorption with non-calorimetric methods into a relevant decision making tool for designing cost-effective and safe operating of adsorption facilities.

  18. Hammerstein system represention of financial volatility processes

    Science.gov (United States)

    Capobianco, E.

    2002-05-01

    We show new modeling aspects of stock return volatility processes, by first representing them through Hammerstein Systems, and by then approximating the observed and transformed dynamics with wavelet-based atomic dictionaries. We thus propose an hybrid statistical methodology for volatility approximation and non-parametric estimation, and aim to use the information embedded in a bank of volatility sources obtained by decomposing the observed signal with multiresolution techniques. Scale dependent information refers both to market activity inherent to different temporally aggregated trading horizons, and to a variable degree of sparsity in representing the signal. A decomposition of the expansion coefficients in least dependent coordinates is then implemented through Independent Component Analysis. Based on the described steps, the features of volatility can be more effectively detected through global and greedy algorithms.

  19. Long memory and the relation between implied and realized volatility

    OpenAIRE

    Federico Bandi; Benoit Perron

    2003-01-01

    We argue that the conventional predictive regression between implied volatility (regressor) and realized volatility over the remaining life of the option (regressand) is likely to be a fractional cointegrating relation. Since cointegration is associated with long-run comovements, this finding modifies the usual interpretation of such regression as a study towards assessing option market efficiency (given a certain option pricing model) and/or short-term unbiasedness of implied volatility as a...

  20. Reconfigurable Electronics and Non-Volatile Memory Research

    Science.gov (United States)

    2011-10-14

    October 2009. The films were etched off wafer pieces using a blend of sulfuric, nitric and hydrofluoric acids and diluted for analysis. Table 5...interactions. A weak peak is also seen around g = 1.98 which intensifies under light illumination. This peak can be assigned to the charge defects of base...evidence of amorphous/crystalline GST. It is not clear why significantly oxidized devices were capable of switching. Dr. Miotti theorized that

  1. Atomic and molecular physics of plasma-based environmental technologies for abatement of volatile organic compounds

    International Nuclear Information System (INIS)

    Penetrante, B. M.; Hsiao, M. C.; Bardsley, J. N.; Merritt, B. T.; Vogtin, G. E.; Kuthi, A.; Burkhart, C. P.; Bayless, J. R.

    1997-01-01

    Non-thermal plasma techniques represent a new generation of air emission control technology that potentially could treat large-volume emissions containing dilute concentrations of volatile organic compounds. In order to apply non-thermal plasmas in an industrial scale, it is important to establish the electrical power requirements and byproducts of the process.There is a need for reliable data concerning the primary decomposition mechanisms and subsequent chemical kinetics associated with non- thermal plasma processing of volatile organic compounds. There are many basic atomic and molecular physics issues that are essential in evaluating the economic performance of non-thermal plasma reactors. These studies are important in understanding how the input electrical power is dissipated in the plasma and how efficiently it is converted to the production of the plasma species (radicals, ions or electrons) responsible for the decomposition of the volatile organic compounds. This paper will present results from basic experimental and theoretical studies aimed at identifying the reaction mechanisms responsible for the primary decomposition of various types of volatile organic compounds. (authors)

  2. Expression of MEP Pathway Genes and Non-volatile Sequestration Are Associated with Circadian Rhythm of Dominant Terpenoids Emission in Osmanthus fragrans Lour. Flowers

    Directory of Open Access Journals (Sweden)

    Riru Zheng

    2017-10-01

    Full Text Available Osmanthus fragrans Lour. is one of the top 10 traditional ornamental flowers in China famous for its unique fragrance. Preliminary study proved that the terpenoids including ionone, linalool, and ocimene and their derivatives are the dominant aroma-active compounds that contribute greatly to the scent bouquet. Pollination observation implies the emission of aromatic terpenoids may follow a circadian rhythm. In this study, we investigated the variation of volatile terpenoids and its potential regulators. The results showed that both volatile and non-volatile terpenoids presented circadian oscillation with high emission or accumulation during the day and low emission or accumulation during the night. The volatile terpenoids always increased to reach their maximum values at 12:00 h, while free and glycosylated compounds continued increasing throughout the day. The depletion of non-volatile pool might provide the substrates for volatile emission at 0:00–6:00, suggesting the sequestration of non-volatile compounds acted like a buffer regulating emission of terpenoids. Further detection of MEP pathway genes demonstrated that their expressions increased significantly in parallel with the evident increase of both volatile and non-volatile terpenoids during the day, indicating that the gene expressions were also closely associated with terpenoid formation. Thus, the expression of MEP pathway genes and internal sequestration both played crucial roles in modulating circadian rhythm of terpenoid emission in O. fragrans.

  3. Effect of neutron and gamma irradiation on magnetic bubble memories

    International Nuclear Information System (INIS)

    Cambou, B.

    1981-06-01

    Many years of research preceeded the introduction of magnetic bubble memories (M.B.M.) into the memory components market. They are used as bulk storage memories principally for their non volatile characteristics under irradiation. A physical and technological description of MBM is given in the first part of the text together with the results of work on their vulnerability when subjected to irradiation. Permanent damage caused by neutrons and gamma radiation on thin magnetic layers is then studied. A theoretical analysis on the stability of bubbles based on the results of pulsed laser experiments is given. The stability of the information stored in a commercially available MBM subjected to neutron and gamma irradiation (MBM - TIB 203 of 92 kBits, Texas) is described in the last part of the text. The vulnerability thresholds determined for the MBM are too high for them to be used in a radioactive environment with an improved electronic control system [fr

  4. Nitrogen Trifluoride-Based Fluoride- Volatility Separations Process: Initial Studies

    Energy Technology Data Exchange (ETDEWEB)

    McNamara, Bruce K.; Scheele, Randall D.; Casella, Andrew M.; Kozelisky, Anne E.

    2011-09-28

    This document describes the results of our investigations on the potential use of nitrogen trifluoride as the fluorinating and oxidizing agent in fluoride volatility-based used nuclear fuel reprocessing. The conceptual process uses differences in reaction temperatures between nitrogen trifluoride and fuel constituents that produce volatile fluorides to achieve separations and recover valuable constituents. We provide results from our thermodynamic evaluations, thermo-analytical experiments, kinetic models, and provide a preliminary process flowsheet. The evaluations found that nitrogen trifluoride can effectively produce volatile fluorides at different temperatures dependent on the fuel constituent.

  5. Resistive switching effect in the planar structure of all-printed, flexible and rewritable memory device based on advanced 2D nanocomposite of graphene quantum dots and white graphene flakes

    International Nuclear Information System (INIS)

    Rehman, Muhammad Muqeet; Siddiqui, Ghayas Uddin; Kim, Sowon; Choi, Kyung Hyun

    2017-01-01

    Pursuit of the most appropriate materials and fabrication methods is essential for developing a reliable, rewritable and flexible memory device. In this study, we have proposed an advanced 2D nanocomposite of white graphene (hBN) flakes embedded with graphene quantum dots (GQDs) as the functional layer of a flexible memory device owing to their unique electrical, chemical and mechanical properties. Unlike the typical sandwich type structure of a memory device, we developed a cost effective planar structure, to simplify device fabrication and prevent sneak current. The entire device fabrication was carried out using printing technology followed by encapsulation in an atomically thin layer of aluminum oxide (Al 2 O 3 ) for protection against environmental humidity. The proposed memory device exhibited attractive bipolar switching characteristics of high switching ratio, large electrical endurance and enhanced lifetime, without any crosstalk between adjacent memory cells. The as-fabricated device showed excellent durability for several bending cycles at various bending diameters without any degradation in bistable resistive states. The memory mechanism was deduced to be conductive filamentary; this was validated by illustrating the temperature dependence of bistable resistive states. Our obtained results pave the way for the execution of promising 2D material based next generation flexible and non-volatile memory (NVM) applications. (paper)

  6. Declarative and non-declarative memory consolidation in children with sleep disorder

    Directory of Open Access Journals (Sweden)

    Eszter eCsabi

    2016-01-01

    Full Text Available Healthy sleep is essential in children’s cognitive, behavioral, and emotional development. However, remarkably little is known about the influence of sleep disorders on different memory processes in childhood. Such data could give us a deeper insight into the effect of sleep on the developing brain and memory functions and how the relationship between sleep and memory changes from childhood to adulthood. In the present study we examined the effect of sleep disorder on declarative and non-declarative memory consolidation by testing children with sleep-disordered breathing (SDB which is characterized by disrupted sleep structure. We used a story recall task to measure declarative memory and Alternating Serial Reaction Time (ASRT task to assess non-declarative memory. This task enables us to measure two aspects of non-declarative memory, namely general motor skill learning and sequence-specific learning. There were two sessions: a learning phase and a testing phase, separated by a 12-hour offline period with sleep. Our data showed that children with SDB exhibited a generally lower declarative memory performance both in the learning and testing phase; however, both the SDB and control groups exhibited retention of the previously recalled items after the offline period. Here we showed intact non-declarative consolidation in SDB group in both sequence-specific and general motor skill. These findings suggest that sleep disorders in childhood have a differential effect on different memory processes (online vs. offline and give us insight into how sleep disturbances affects developing brain.

  7. Memory hierarchy using row-based compression

    Science.gov (United States)

    Loh, Gabriel H.; O'Connor, James M.

    2016-10-25

    A system includes a first memory and a device coupleable to the first memory. The device includes a second memory to cache data from the first memory. The second memory includes a plurality of rows, each row including a corresponding set of compressed data blocks of non-uniform sizes and a corresponding set of tag blocks. Each tag block represents a corresponding compressed data block of the row. The device further includes decompression logic to decompress data blocks accessed from the second memory. The device further includes compression logic to compress data blocks to be stored in the second memory.

  8. Identifying Non-Volatile Data Storage Areas: Unique Notebook Identification Information as Digital Evidence

    Directory of Open Access Journals (Sweden)

    Nikica Budimir

    2007-03-01

    Full Text Available The research reported in this paper introduces new techniques to aid in the identification of recovered notebook computers so they may be returned to the rightful owner. We identify non-volatile data storage areas as a means of facilitating the safe storing of computer identification information. A forensic proof of concept tool has been designed to test the feasibility of several storage locations identified within this work to hold the data needed to uniquely identify a computer. The tool was used to perform the creation and extraction of created information in order to allow the analysis of the non-volatile storage locations as valid storage areas capable of holding and preserving the data created within them.  While the format of the information used to identify the machine itself is important, this research only discusses the insertion, storage and ability to retain such information.

  9. Comparison of volatile and non-volatile metabolites in rice wine fermented by Koji inoculated with Saccharomycopsis fibuligera and Aspergillus oryzae.

    Science.gov (United States)

    Son, Eun Yeong; Lee, Sang Mi; Kim, Minjoo; Seo, Jeong-Ah; Kim, Young-Suk

    2018-07-01

    This study investigated volatile and nonvolatile metabolite profiles of makgeolli (a traditional rice wine in Korea) fermented by koji inoculated with Saccharomycopsis fibuligera and/or Aspergillus oryzae. The enzyme activities in koji were also examined to determine their effects on the formation of metabolites. The contents of all 18 amino acids detected were the highest in makgeolli fermented by S. fibuligera CN2601-09, and increased after combining with A. oryzae CN1102-08, unlike the contents of most fatty acids. On the other hand, major volatile metabolites were fusel alcohols, acetate esters, and ethyl esters. The contents of most fusel alcohols and acetate esters were the highest in makgeolli fermented by S. fibuligera CN2601-09, for which the protease activity was the highest, leading to the largest amounts of amino acods. The makgeolli samples fermented only by koji inoculated with S. fibuligera could be discriminated on PCA plots from the makgeolli samples fermented in combination with A. oryzae. In the case of nonvolatile metabolites, all amino acids and some metabolites such as xylose, 2-methylbenzoic acid, and oxalic acid contributed mainly to the characteristics of makgeolli fermented by koji inoculated with S. fibuligera and A. oryzae. These results showed that the formations of volatile and nonvolatile metabolites in makgeolli can be significantly affected by microbial strains with different enzyme activities in koji. To our knowledge, this study is the first report on the effects of S. fibuligera strains on the formation of volatile and non-volatile metabolites in rice wine, facilitating their use in brewing rice wine. Copyright © 2018. Published by Elsevier Ltd.

  10. Overgeneral autobiographical memory bias in clinical and non-clinical voice hearers.

    Science.gov (United States)

    Jacobsen, Pamela; Peters, Emmanuelle; Ward, Thomas; Garety, Philippa A; Jackson, Mike; Chadwick, Paul

    2018-03-14

    Hearing voices can be a distressing and disabling experience for some, whilst it is a valued experience for others, so-called 'healthy voice-hearers'. Cognitive models of psychosis highlight the role of memory, appraisal and cognitive biases in determining emotional and behavioural responses to voices. A memory bias potentially associated with distressing voices is the overgeneral memory bias (OGM), namely the tendency to recall a summary of events rather than specific occasions. It may limit access to autobiographical information that could be helpful in re-appraising distressing experiences, including voices. We investigated the possible links between OGM and distressing voices in psychosis by comparing three groups: (1) clinical voice-hearers (N = 39), (2) non-clinical voice-hearers (N = 35) and (3) controls without voices (N = 77) on a standard version of the autobiographical memory test (AMT). Clinical and non-clinical voice-hearers also completed a newly adapted version of the task, designed to assess voices-related memories (vAMT). As hypothesised, the clinical group displayed an OGM bias by retrieving fewer specific autobiographical memories on the AMT compared with both the non-clinical and control groups, who did not differ from each other. The clinical group also showed an OGM bias in recall of voice-related memories on the vAMT, compared with the non-clinical group. Clinical voice-hearers display an OGM bias when compared with non-clinical voice-hearers on both general and voices-specific recall tasks. These findings have implications for the refinement and targeting of psychological interventions for psychosis.

  11. Threshold-voltage modulated phase change heterojunction for application of high density memory

    International Nuclear Information System (INIS)

    Yan, Baihan; Tong, Hao; Qian, Hang; Miao, Xiangshui

    2015-01-01

    Phase change random access memory is one of the most important candidates for the next generation non-volatile memory technology. However, the ability to reduce its memory size is compromised by the fundamental limitations inherent in the CMOS technology. While 0T1R configuration without any additional access transistor shows great advantages in improving the storage density, the leakage current and small operation window limit its application in large-scale arrays. In this work, phase change heterojunction based on GeTe and n-Si is fabricated to address those problems. The relationship between threshold voltage and doping concentration is investigated, and energy band diagrams and X-ray photoelectron spectroscopy measurements are provided to explain the results. The threshold voltage is modulated to provide a large operational window based on this relationship. The switching performance of the heterojunction is also tested, showing a good reverse characteristic, which could effectively decrease the leakage current. Furthermore, a reliable read-write-erase function is achieved during the tests. Phase change heterojunction is proposed for high-density memory, showing some notable advantages, such as modulated threshold voltage, large operational window, and low leakage current

  12. Threshold-voltage modulated phase change heterojunction for application of high density memory

    Science.gov (United States)

    Yan, Baihan; Tong, Hao; Qian, Hang; Miao, Xiangshui

    2015-09-01

    Phase change random access memory is one of the most important candidates for the next generation non-volatile memory technology. However, the ability to reduce its memory size is compromised by the fundamental limitations inherent in the CMOS technology. While 0T1R configuration without any additional access transistor shows great advantages in improving the storage density, the leakage current and small operation window limit its application in large-scale arrays. In this work, phase change heterojunction based on GeTe and n-Si is fabricated to address those problems. The relationship between threshold voltage and doping concentration is investigated, and energy band diagrams and X-ray photoelectron spectroscopy measurements are provided to explain the results. The threshold voltage is modulated to provide a large operational window based on this relationship. The switching performance of the heterojunction is also tested, showing a good reverse characteristic, which could effectively decrease the leakage current. Furthermore, a reliable read-write-erase function is achieved during the tests. Phase change heterojunction is proposed for high-density memory, showing some notable advantages, such as modulated threshold voltage, large operational window, and low leakage current.

  13. Memory for non-native language: the role of lexical processing in the retention of surface form.

    Science.gov (United States)

    Sampaio, Cristina; Konopka, Agnieszka E

    2013-01-01

    Research on memory for native language (L1) has consistently shown that retention of surface form is inferior to that of gist (e.g., Sachs, 1967). This paper investigates whether the same pattern is found in memory for non-native language (L2). We apply a model of bilingual word processing to more complex linguistic structures and predict that memory for L2 sentences ought to contain more surface information than L1 sentences. Native and non-native speakers of English were tested on a set of sentence pairs with different surface forms but the same meaning (e.g., "The bullet hit/struck the bull's eye"). Memory for these sentences was assessed with a cued recall procedure. Responses showed that native and non-native speakers did not differ in the accuracy of gist-based recall but that non-native speakers outperformed native speakers in the retention of surface form. The results suggest that L2 processing involves more intensive encoding of lexical level information than L1 processing.

  14. Semiconductor-based, large-area, flexible, electronic devices on {110} oriented substrates

    Science.gov (United States)

    Goyal, Amit

    2014-08-05

    Novel articles and methods to fabricate the same resulting in flexible, oriented, semiconductor-based, electronic devices on {110} textured substrates are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  15. [100] or [110] aligned, semiconductor-based, large-area, flexible, electronic devices

    Science.gov (United States)

    Goyal, Amit

    2015-03-24

    Novel articles and methods to fabricate the same resulting in flexible, large-area, [100] or [110] textured, semiconductor-based, electronic devices are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  16. Dual-functional Memory and Threshold Resistive Switching Based on the Push-Pull Mechanism of Oxygen Ions

    KAUST Repository

    Huang, Yi-Jen

    2016-04-07

    The combination of nonvolatile memory switching and volatile threshold switching functions of transition metal oxides in crossbar memory arrays is of great potential for replacing charge-based flash memory in very-large-scale integration. Here, we show that the resistive switching material structure, (amorphous TiOx)/(Ag nanoparticles)/(polycrystalline TiOx), fabricated on the textured-FTO substrate with ITO as the top electrode exhibits both the memory switching and threshold switching functions. When the device is used for resistive switching, it is forming-free for resistive memory applications with low operation voltage (<±1 V) and self-compliance to current up to 50 μA. When it is used for threshold switching, the low threshold current is beneficial for improving the device selectivity. The variation of oxygen distribution measured by energy dispersive X-ray spectroscopy and scanning transmission electron microscopy indicates the formation or rupture of conducting filaments in the device at different resistance states. It is therefore suggested that the push and pull actions of oxygen ions in the amorphous TiOx and polycrystalline TiOx films during the voltage sweep account for the memory switching and threshold switching properties in the device.

  17. Testing for co-integration in vector autoregressions with non-stationary volatility

    DEFF Research Database (Denmark)

    Cavaliere, Giuseppe; Rahbek, Anders Christian; Taylor, Robert M.

    2010-01-01

    cases. We show that the conventional rank statistics computed as in (Johansen, 1988) and (Johansen, 1991) are potentially unreliable. In particular, their large sample distributions depend on the integrated covariation of the underlying multivariate volatility process which impacts on both the size...... and power of the associated co-integration tests, as we demonstrate numerically. A solution to the identified inference problem is provided by considering wild bootstrap-based implementations of the rank tests. These do not require the practitioner to specify a parametric model for volatility, or to assume...

  18. In-chip optical CD measurements for non-volatile memory devices

    Science.gov (United States)

    Vasconi, Mauro; Kremer, Stephanie; Polli, M.; Severgnini, Ermes; Trovati, Silvia S.

    2006-03-01

    A potential limitation to a wider usage of the scatterometry technique for CD evaluation comes from its requirement of dedicated regular measurement gratings, located in wafer scribe lanes. In fact, the simplification of the original chip layout that is often requested to design these gratings may impact on their printed dimension and shape. Etched gratings might also suffer from micro-loading effects other than in the circuit. For all these reasons, measurements collected therein may not represent the real behavior of the device. On the other hand, memory devices come with large sectors that usually possess the characteristics required for a proper scatterometry evaluation. In particular, for a leading edge flash process this approach is in principle feasible for the most critical process steps. The impact of potential drawbacks, mainly lack of pattern regularity within the tool probe area, is investigated. More, a very large sampling plan on features with equal nominal CD and density spread over the same exposure shot becomes feasible, thus yielding a deeper insight of the overall lithographic process window and a quantitative method to evaluate process equipment performance along time by comparison to acceptance data and/or last preventive maintenance. All the results gathered in the device main array are compared to those collected in standard scatterometry targets, tailored to the characteristics of the considered layers in terms of designed CD, pitch, stack and orientation.

  19. Volatilities, traded volumes, and the hypothesis of price increments in derivative securities

    Science.gov (United States)

    Lim, Gyuchang; Kim, SooYong; Scalas, Enrico; Kim, Kyungsik

    2007-08-01

    A detrended fluctuation analysis (DFA) is applied to the statistics of Korean treasury bond (KTB) futures from which the logarithmic increments, volatilities, and traded volumes are estimated over a specific time lag. In this study, the logarithmic increment of futures prices has no long-memory property, while the volatility and the traded volume exhibit the existence of the long-memory property. To analyze and calculate whether the volatility clustering is due to a inherent higher-order correlation not detected by with the direct application of the DFA to logarithmic increments of KTB futures, it is of importance to shuffle the original tick data of future prices and to generate a geometric Brownian random walk with the same mean and standard deviation. It was found from a comparison of the three tick data that the higher-order correlation inherent in logarithmic increments leads to volatility clustering. Particularly, the result of the DFA on volatilities and traded volumes can be supported by the hypothesis of price changes.

  20. Reducing the influence of STI on SONOS memory through optimizing added boron implantation technology

    International Nuclear Information System (INIS)

    Xu Yue; Yan Feng; Li Zhiguo; Yang Fan; Wang Yonggang; Chang Jianguang

    2010-01-01

    The influence of shallow trench isolation (STI) on a 90 nm polysilicon-oxide-nitride-oxide-silicon structure non-volatile memory has been studied based on experiments. It has been found that the performance of edge memory cells adjacent to STI deteriorates remarkably. The compressive stress and boron segregation induced by STI are thought to be the main causes of this problem. In order to mitigate the STI impact, an added boron implantation in the STI region is developed as a new solution. Four kinds of boron implantation experiments have been implemented to evaluate the impact of STI on edge cells, respectively. The experimental results show that the performance of edge cells can be greatly improved through optimizing added boron implantation technology. (semiconductor devices)

  1. Non-invasive brain stimulation targeting the right fusiform gyrus selectively increases working memory for faces.

    Science.gov (United States)

    Brunyé, Tad T; Moran, Joseph M; Holmes, Amanda; Mahoney, Caroline R; Taylor, Holly A

    2017-04-01

    The human extrastriate cortex contains a region critically involved in face detection and memory, the right fusiform gyrus. The present study evaluated whether transcranial direct current stimulation (tDCS) targeting this anatomical region would selectively influence memory for faces versus non-face objects (houses). Anodal tDCS targeted the right fusiform gyrus (Brodmann's Area 37), with the anode at electrode site PO10, and cathode at FP2. Two stimulation conditions were compared in a repeated-measures design: 0.5mA versus 1.5mA intensity; a separate control group received no stimulation. Participants completed a working memory task for face and house stimuli, varying in memory load from 1 to 4 items. Individual differences measures assessed trait-based differences in facial recognition skills. Results showed 1.5mA intensity stimulation (versus 0.5mA and control) increased performance at high memory loads, but only with faces. Lower overall working memory capacity predicted a positive impact of tDCS. Results provide support for the notion of functional specialization of the right fusiform regions for maintaining face (but not non-face object) stimuli in working memory, and further suggest that low intensity electrical stimulation of this region may enhance demanding face working memory performance particularly in those with relatively poor baseline working memory skills. Published by Elsevier Inc.

  2. Lewis-Acid/Base Effects on Gallium Volatility in Molten Chlorides

    International Nuclear Information System (INIS)

    Williams, D.F.

    2001-01-01

    It has been proposed that GaCl 3 can be removed by direct volatilization from a Pu-Ga alloy that is dissolved in a molten chloride salt. Although pure GaCl 3 is quite volatile (boiling point, 201 C), the behavior of GaCl 3 dissolved in chloride salts is different due to solution effects and is critically dependent on the composition of the solvent salt (i.e., its Lewis-acid/base character). In this report, the behavior of gallium in prototypical Lewis-acid and Lewis-base salts is compared. It was found that gallium volatility is suppressed in basic melts and enhanced in acidic melts. The implications of these results on the potential for simple gallium removal in molten salt systems are significant

  3. On fractality and chaos in Moroccan family business stock returns and volatility

    Science.gov (United States)

    Lahmiri, Salim

    2017-05-01

    The purpose of this study is to examine existence of fractality and chaos in returns and volatilities of family business companies listed on the Casablanca Stock Exchange (CSE) in Morocco, and also in returns and volatility of the CSE market index. Detrended fluctuation analysis based Hurst exponent and fractionally integrated generalized autoregressive conditional heteroskedasticity (FIGARCH) model are used to quantify fractality in returns and volatility time series respectively. Besides, the largest Lyapunov exponent is employed to quantify chaos in both time series. The empirical results from sixteen family business companies follow. For return series, fractality analysis show that most of family business returns listed on CSE exhibit anti-persistent dynamics, whilst market returns have persistent dynamics. Besides, chaos tests show that business family stock returns are not chaotic while market returns exhibit evidence of chaotic behaviour. For volatility series, fractality analysis shows that most of family business stocks and market index exhibit long memory in volatility. Furthermore, results from chaos tests show that volatility of family business returns is not chaotic, whilst volatility of market index is chaotic. These results may help understanding irregularities patterns in Moroccan family business stock returns and volatility, and how they are different from market dynamics.

  4. Hydrodistillation-adsorption method for the isolation of water-soluble, non-soluble and high volatile compounds from plant materials.

    Science.gov (United States)

    Mastelić, J; Jerković, I; Blazević, I; Radonić, A; Krstulović, L

    2008-08-15

    Proposed method of hydrodistillation-adsorption (HDA) on activated carbon and hydrodistillation (HD) with solvent trap were compared for the isolation of water-soluble, non-soluble and high volatile compounds, such as acids, monoterpenes, isothiocyanates and others from carob (Certonia siliqua L.), rosemary (Rosmarinus officinalis L.) and rocket (Eruca sativa L.). Isolated volatiles were analyzed by GC and GC/MS. The main advantages of HDA method over ubiquitous HD method were higher yields of volatile compounds and their simultaneous separation in three fractions that enabled more detail analyses. This method is particularly suitable for the isolation and analysis of the plant volatiles with high amounts of water-soluble compounds. In distinction from previously published adsorption of remaining volatile compounds from distillation water on activated carbon, this method offers simultaneous hydrodistillation and adsorption in the same apparatus.

  5. Aerosol volatility in a boreal forest environment

    Science.gov (United States)

    Häkkinen, S. A. K.; ńijälä, M.; Lehtipalo, K.; Junninen, H.; Virkkula, A.; Worsnop, D. R.; Kulmala, M.; Petäjä, T.; Riipinen, I.

    2012-04-01

    Climate and health effects of atmospheric aerosols are determined by their properties such as their chemical composition. Aerosol chemical composition can be studied indirectly by measuring volatility of aerosol particles. The volatility of submicron aerosol particles (20-500 nm) was studied in a boreal forest site at SMEAR II (Station for Measuring Ecosystem-Atmosphere Relations II) station (Vesala et al., 1998) in Hyytiälä, Finland, during 01/2008-05/2010. The instrument used for the measurements was VDMPS (Volatility Differential Mobility Particle Sizer), which consists of two separate instruments: DMPS (Differential Mobility Particle Sizer, Aalto et al., 2001) and TD (Thermodenuder, Wehner et al., 2002). Aerosol evaporation was examined by heating the aerosol and comparing the total aerosol mass before and after heating. In the VDMPS system ambient aerosol sample was heated up to temperatures ranging from 80 °C to 280 °C. The higher the heating temperature was the more aerosol material was evaporated. There was a non-volatile residual present in aerosol particles when heated up to 280 °C. This residual explained (20±8)% of the total aerosol mass. Aerosol non-volatile mass fraction was highest during winter and smallest during summer months. The role of black carbon in the observed non-volatile residual was determined. Black carbon explained 40 to 90% of the non-volatile mass. Especially during colder seasons noticeable amount of non-volatile material, something else than black carbon, was observed. According to Kalberer et al. (2004) some atmospheric organic species can form polymers that have high evaporation temperatures. Also low-volatile organic salts may contribute to the non-volatile aerosol (Smith et al., 2010). Aerosol mass composition measured directly with AMS (Aerosol Mass Spectrometer, Jayne et al., 2000) was analyzed in order to examine the properties of the non-volatile material (other than black carbon). The AMS measurements were performed

  6. Persistent non-verbal memory impairment in remitted major depression - caused by encoding deficits?

    Science.gov (United States)

    Behnken, Andreas; Schöning, Sonja; Gerss, Joachim; Konrad, Carsten; de Jong-Meyer, Renate; Zwanzger, Peter; Arolt, Volker

    2010-04-01

    While neuropsychological impairments are well described in acute phases of major depressive disorders (MDD), little is known about the neuropsychological profile in remission. There is evidence for episodic memory impairments in both acute depressed and remitted patients with MDD. Learning and memory depend on individuals' ability to organize information during learning. This study investigates non-verbal memory functions in remitted MDD and whether nonverbal memory performance is mediated by organizational strategies whilst learning. 30 well-characterized fully remitted individuals with unipolar MDD and 30 healthy controls matching in age, sex and education were investigated. Non-verbal learning and memory were measured by the Rey-Osterrieth-Complex-Figure-Test (RCFT). The RCFT provides measures of planning, organizational skills, perceptual and non-verbal memory functions. For assessing the mediating effects of organizational strategies, we used the Savage Organizational Score. Compared to healthy controls, participants with remitted MDD showed more deficits in their non-verbal memory function. Moreover, participants with remitted MDD demonstrated difficulties in organizing non-verbal information appropriately during learning. In contrast, no impairments regarding visual-spatial functions in remitted MDD were observed. Except for one patient, all the others were taking psychopharmacological medication. The neuropsychological function was solely investigated in the remitted phase of MDD. Individuals with MDD in remission showed persistent non-verbal memory impairments, modulated by a deficient use of organizational strategies during encoding. Therefore, our results strongly argue for additional therapeutic interventions in order to improve these remaining deficits in cognitive function. Copyright 2009 Elsevier B.V. All rights reserved.

  7. {100} or 45.degree.-rotated {100}, semiconductor-based, large-area, flexible, electronic devices

    Science.gov (United States)

    Goyal, Amit [Knoxville, TN

    2012-05-15

    Novel articles and methods to fabricate the same resulting in flexible, {100} or 45.degree.-rotated {100} oriented, semiconductor-based, electronic devices are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  8. A fast, high-endurance and scalable non-volatile memory device made from asymmetric Ta2O5-x/TaO2-x bilayer structures

    Science.gov (United States)

    Lee, Myoung-Jae; Lee, Chang Bum; Lee, Dongsoo; Lee, Seung Ryul; Chang, Man; Hur, Ji Hyun; Kim, Young-Bae; Kim, Chang-Jung; Seo, David H.; Seo, Sunae; Chung, U.-In; Yoo, In-Kyeong; Kim, Kinam

    2011-08-01

    Numerous candidates attempting to replace Si-based flash memory have failed for a variety of reasons over the years. Oxide-based resistance memory and the related memristor have succeeded in surpassing the specifications for a number of device requirements. However, a material or device structure that satisfies high-density, switching-speed, endurance, retention and most importantly power-consumption criteria has yet to be announced. In this work we demonstrate a TaOx-based asymmetric passive switching device with which we were able to localize resistance switching and satisfy all aforementioned requirements. In particular, the reduction of switching current drastically reduces power consumption and results in extreme cycling endurances of over 1012. Along with the 10 ns switching times, this allows for possible applications to the working-memory space as well. Furthermore, by combining two such devices each with an intrinsic Schottky barrier we eliminate any need for a discrete transistor or diode in solving issues of stray leakage current paths in high-density crossbar arrays.

  9. Modeling and Forecasting the Implied Volatility of the WIG20 Index

    OpenAIRE

    Buszkowska-Khemissi, Eliza; Płuciennik, Piotr

    2007-01-01

    The implied volatility is one of the most important notions in the financial market. It informs about the volatility forecasted by the participans of the market. In this paper we calculate the daily implied volatility from options on the WIG20 index. First we test the long memory property of the time series obtained in such a way, and then we model and forcast it as ARFIMA process

  10. Biogenic volatile emissions from the soil.

    Science.gov (United States)

    Peñuelas, J; Asensio, D; Tholl, D; Wenke, K; Rosenkranz, M; Piechulla, B; Schnitzler, J P

    2014-08-01

    Volatile compounds are usually associated with an appearance/presence in the atmosphere. Recent advances, however, indicated that the soil is a huge reservoir and source of biogenic volatile organic compounds (bVOCs), which are formed from decomposing litter and dead organic material or are synthesized by underground living organism or organs and tissues of plants. This review summarizes the scarce available data on the exchange of VOCs between soil and atmosphere and the features of the soil and particle structure allowing diffusion of volatiles in the soil, which is the prerequisite for biological VOC-based interactions. In fact, soil may function either as a sink or as a source of bVOCs. Soil VOC emissions to the atmosphere are often 1-2 (0-3) orders of magnitude lower than those from aboveground vegetation. Microorganisms and the plant root system are the major sources for bVOCs. The current methodology to detect belowground volatiles is described as well as the metabolic capabilities resulting in the wealth of microbial and root VOC emissions. Furthermore, VOC profiles are discussed as non-destructive fingerprints for the detection of organisms. In the last chapter, belowground volatile-based bi- and multi-trophic interactions between microorganisms, plants and invertebrates in the soil are discussed. © 2014 John Wiley & Sons Ltd.

  11. Revising psychoanalytic interpretations of the past. An examination of declarative and non-declarative memory processes.

    Science.gov (United States)

    Davis, J T

    2001-06-01

    The author reviews a contemporary cognitive psychology perspective on memory that views memory as being composed of multiple separate systems. Most researchers draw a fundamental distinction between declarative/explicit and non-declarative/implicit forms of memory. Declarative memory is responsible for the conscious recollection of facts and events--what is typically meant by the everyday and the common psychoanalytic use of the word 'memory'. Non-declarative forms of memory, in contrast, are specialised processes that influence experience and behaviour without representing the past in terms of any consciously accessible content. They operate outside of an individual's awareness, but are not repressed or otherwise dynamically unconscious. Using this theoretical framework, the question of how childhood relationship experiences are carried forward from the past to influence the present is examined. It is argued that incorporating a conceptualisation of non-declarative memory processing into psychoanalytic theory is essential. Non-declarative memory processes are capable of forming complex and sophisticated representations of the interpersonal world. These non-declarative memory processes exert a major impact on interpersonal experience and behaviour that needs to be analysed on its own terms and not mistakenly viewed as a form of resistance.

  12. Simultaneous Microwave Extraction and Separation of Volatile and Non-Volatile Organic Compounds of Boldo Leaves. From Lab to Industrial Scale

    Directory of Open Access Journals (Sweden)

    Loïc Petigny

    2014-04-01

    Full Text Available Microwave extraction and separation has been used to increase the concentration of the extract compared to the conventional method with the same solid/liquid ratio, reducing extraction time and separate at the same time Volatile Organic Compounds (VOC from non-Volatile Organic Compounds (NVOC of boldo leaves. As preliminary study, a response surface method has been used to optimize the extraction of soluble material and the separation of VOC from the plant in laboratory scale. The results from the statistical analysis revealed that the optimized conditions were: microwave power 200 W, extraction time 56 min and solid liquid ratio of 7.5% of plants in water. Lab scale optimized microwave method is compared to conventional distillation, and requires a power/mass ratio of 0.4 W/g of water engaged. This power/mass ratio is kept in order to upscale from lab to pilot plant.

  13. Quantitative estimates of the volatility of ambient organic aerosol

    Science.gov (United States)

    Cappa, C. D.; Jimenez, J. L.

    2010-06-01

    Measurements of the sensitivity of organic aerosol (OA, and its components) mass to changes in temperature were recently reported by Huffman et al.~(2009) using a tandem thermodenuder-aerosol mass spectrometer (TD-AMS) system in Mexico City and the Los Angeles area. Here, we use these measurements to derive quantitative estimates of aerosol volatility within the framework of absorptive partitioning theory using a kinetic model of aerosol evaporation in the TD. OA volatility distributions (or "basis-sets") are determined using several assumptions as to the enthalpy of vaporization (ΔHvap). We present two definitions of "non-volatile OA," one being a global and one a local definition. Based on these definitions, our analysis indicates that a substantial fraction of the organic aerosol is comprised of non-volatile components that will not evaporate under any atmospheric conditions; on the order of 50-80% when the most realistic ΔHvap assumptions are considered. The sensitivity of the total OA mass to dilution and ambient changes in temperature has been assessed for the various ΔHvap assumptions. The temperature sensitivity is relatively independent of the particular ΔHvap assumptions whereas dilution sensitivity is found to be greatest for the low (ΔHvap = 50 kJ/mol) and lowest for the high (ΔHvap = 150 kJ/mol) assumptions. This difference arises from the high ΔHvap assumptions yielding volatility distributions with a greater fraction of non-volatile material than the low ΔHvap assumptions. If the observations are fit using a 1 or 2-component model the sensitivity of the OA to dilution is unrealistically high. An empirical method introduced by Faulhaber et al. (2009) has also been used to independently estimate a volatility distribution for the ambient OA and is found to give results consistent with the high and variable ΔHvap assumptions. Our results also show that the amount of semivolatile gas-phase organics in equilibrium with the OA could range from ~20

  14. Testing for long memory in potentially nonstationary perturbed fractional processes

    DEFF Research Database (Denmark)

    Nielsen, Frank; Frederiksen, Per S.

    ¤er simulation results that show good size properties of the tests, with power against spurious long memory. An empirical study of daily log-squared returns series of exchange rates and DJIA30 stocks shows that indeed there is long memory in exchange rate volatility and stock return volatility....

  15. Analysis of drugs of forensic interest with capillary zone electrophoresis/time-of-flight mass spectrometry based on the use of non-volatile buffers

    Czech Academy of Sciences Publication Activity Database

    Gottardo, R.; Mikšík, Ivan; Aturki, Z.; Sorio, D.; Seri, C.; Fanali, S.; Tagliaro, F.

    2012-01-01

    Roč. 33, č. 4 (2012), s. 599-606 ISSN 0173-0835 R&D Projects: GA ČR(CZ) GA203/08/1428 Institutional research plan: CEZ:AV0Z50110509 Keywords : capillary electrophoresis * drugs of abuse * non-volatile buffer * CE-MS Subject RIV: CB - Analytical Chemistry, Separation Impact factor: 3.261, year: 2012

  16. Methods of Si based ceramic components volatilization control in a gas turbine engine

    Science.gov (United States)

    Garcia-Crespo, Andres Jose; Delvaux, John; Dion Ouellet, Noemie

    2016-09-06

    A method of controlling volatilization of silicon based components in a gas turbine engine includes measuring, estimating and/or predicting a variable related to operation of the gas turbine engine; correlating the variable to determine an amount of silicon to control volatilization of the silicon based components in the gas turbine engine; and injecting silicon into the gas turbine engine to control volatilization of the silicon based components. A gas turbine with a compressor, combustion system, turbine section and silicon injection system may be controlled by a controller that implements the control method.

  17. Nonvolatile ferroelectric memory based on PbTiO3 gated single-layer MoS2 field-effect transistor

    Science.gov (United States)

    Shin, Hyun Wook; Son, Jong Yeog

    2018-01-01

    We fabricated ferroelectric non-volatile random access memory (FeRAM) based on a field effect transistor (FET) consisting of a monolayer MoS2 channel and a ferroelectric PbTiO3 (PTO) thin film of gate insulator. An epitaxial PTO thin film was deposited on a Nb-doped SrTiO3 (Nb:STO) substrate via pulsed laser deposition. A monolayer MoS2 sheet was exfoliated from a bulk crystal and transferred to the surface of the PTO/Nb:STO. Structural and surface properties of the PTO thin film were characterized by X-ray diffraction and atomic force microscopy, respectively. Raman spectroscopy analysis was performed to identify the single-layer MoS2 sheet on the PTO/Nb:STO. We obtained mobility value (327 cm2/V·s) of the MoS2 channel at room temperature. The MoS2-PTO FeRAM FET showed a wide memory window with 17 kΩ of resistance variation which was attributed to high remnant polarization of the epitaxially grown PTO thin film. According to the fatigue resistance test for the FeRAM FET, however, the resistance states gradually varied during the switching cycles of 109. [Figure not available: see fulltext.

  18. Novel Shape-Memory Polymer with Two Transition Temperature Based on Two Different Memory Mechanism

    Institute of Scientific and Technical Information of China (English)

    Liu Guoqin; Ding Xiaobing; Cao Yiping; Zheng Zhaohui; Peng Yuxing

    2004-01-01

    As an important kind of intelligent materials, shape-memory materials have been received increasing attention on account of their interesting properties and potential applications in recent years. Particularly, the rise of shape-memory polymers by far surpasses well-known metallic shape-memory alloys in their shape-memory properties. The advantages of polymers compared to other materials are their easier availability and their wide range of mechanical and physical properties. The polymers designed to exhibit a shape-memory effect require two components on the molecular level: crosslinks to determine the permanent shape and switching segments with Ttrans to fix the temporary shape. Up to now almost all papers on shape-memory polymers introduce switching segments with the covalent linking method. On the other hand, only several cases concern non-covalent interaction. However, the research works mentioned above is based on a single Ttrans (i.e., Tm or Tg).Following our previous work, here, we first report a novel kind of polymer consisted of PMMA-PEG semi-interpenetrating polymer networks (semi-IPN), which exhibiting independently two shape memory effects based on Tm and Tg, respectively. This result can also extend the shape memory polymer categories from one Ttrans to two Ttrans, and the combination of Tm and Tg give rise to an extremely excellent shape-memory effect.Two different shape memory behaviors of this material based on two transition temperatures were evaluated by bending test as follows: a straight strip of the specimen was folded at a temperature above Ttrans and kept in this shape. The so-deformed sample was cooled down to a temperature Tlow< Ttrans and the deforming stress were released. When the sample was heated up to the measuring temperature Thigh > Ttrans, it recovered its initial shape. The deformation angle θ f varied as a function of time and the ratio of the recovery was defined as θ f /180. The PMMA-PEG polymer behaved as a hard plastic

  19. The memory effect of a pentacene field-effect transistor with a polarizable gate dielectric

    Science.gov (United States)

    Unni, K. N. N.; de Bettignies, Remi; Dabos-Seignon, Sylvie; Nunzi, Jean-Michel

    2004-06-01

    The nonvolatile transistor memory element is an interesting topic in organic electronics. In this case a memory cell consists of only one device where the stored information is written as a gate insulator polarization by a gate voltage pulse and read by the channel conductance control with channel voltage pulse without destruction of the stored information. Therefore such transistor could be the base of non-volatile non-destructively readable computer memory of extremely high density. Also devices with polarizable gate dielectrics can function more effectively in certain circuits. The effective threshold voltage Vt can be brought very close to zero, for applications where the available gate voltage is limited. Resonant and adaptive circuits can be tuned insitu by polarizing the gates. Poly(vinylidene fluoride), PVDF and its copolymer with trifluoroethylene P(VDF-TrFE) are among the best known and most widely used ferroelectric polymers. In this manuscript, we report new results of an organic FET, fabricated with pentacene as the active material and P(VDF-TrFE) as the gate insulator. Application of a writing voltage of -50 V for short duration results in significant change in the threshold voltage and remarkable increase in the drain current. The memory effect is retained over a period of 20 hours.

  20. Non-thermal plasma at atmospheric pressure for ozone generation and volatile organic compounds decomposition

    International Nuclear Information System (INIS)

    Pekarek, S.; Khun, J.

    2006-01-01

    The non-thermal plasma technologies based on electrical discharges play an important role in ecological applications. The classical corona discharge is however relatively low power discharge. With the aim to extend its current-voltage range we studied hollow needle-to-plate DC corona discharge enhanced by the flow of a gas through the needle electrode. With this type of the discharge we performed an extensive study of ozone generation and volatile organic compounds decomposition. We found that supply of air through the needle substantially increases current-voltage range of the discharge in comparison with classical pin-to-plate corona discharge. Consequently the ozone generation as well as toluene decomposition efficiency was increased (Authors)

  1. TiO$_2$-based Memristors and ReRAM: Materials, Mechanisms and Models (a Review)

    OpenAIRE

    Gale, Ella

    2016-01-01

    The memristor is the fundamental non-linear circuit element, with uses in computing and computer memory. ReRAM (Resistive Random Access Memory) is a resistive switching memory proposed as a non-volatile memory. In this review we shall summarise the state of the art for these closely-related fields, concentrating on titanium dioxide, the well-utilised and archetypal material for both. We shall cover material properties, switching mechanisms and models to demonstrate what ReRAM and memristor sc...

  2. Nonvolatile, semivolatile, or volatile: redefining volatile for volatile organic compounds.

    Science.gov (United States)

    Võ, Uyên-Uyén T; Morris, Michael P

    2014-06-01

    Although widely used in air quality regulatory frameworks, the term "volatile organic compound" (VOC) is poorly defined. Numerous standardized tests are currently used in regulations to determine VOC content (and thus volatility), but in many cases the tests do not agree with each other, nor do they always accurately represent actual evaporation rates under ambient conditions. The parameters (time, temperature, reference material, column polarity, etc.) used in the definitions and the associated test methods were created without a significant evaluation of volatilization characteristics in real world settings. Not only do these differences lead to varying VOC content results, but occasionally they conflict with one another. An ambient evaporation study of selected compounds and a few formulated products was conducted and the results were compared to several current VOC test methodologies: SCAQMD Method 313 (M313), ASTM Standard Test Method E 1868-10 (E1868), and US. EPA Reference Method 24 (M24). The ambient evaporation study showed a definite distinction between nonvolatile, semivolatile, and volatile compounds. Some low vapor pressure (LVP) solvents, currently considered exempt as VOCs by some methods, volatilize at ambient conditions nearly as rapidly as the traditional high-volatility solvents they are meant to replace. Conversely, bio-based and heavy hydrocarbons did not readily volatilize, though they often are calculated as VOCs in some traditional test methods. The study suggests that regulatory standards should be reevaluated to more accurately reflect real-world emission from the use of VOC containing products. The definition of VOC in current test methods may lead to regulations that exclude otherwise viable alternatives or allow substitutions of chemicals that may limit the environmental benefits sought in the regulation. A study was conducted to examine volatility of several compounds and a few formulated products under several current VOC test

  3. Blurring of emotional and non-emotional memories by taxing working memory during recall.

    Science.gov (United States)

    van den Hout, Marcel A; Eidhof, Marloes B; Verboom, Jesse; Littel, Marianne; Engelhard, Iris M

    2014-01-01

    Memories that are recalled while working memory (WM) is taxed, e.g., by making eye movements (EM), become blurred during the recall + EM and later recall, without EM. This may help to explain the effects of Eye Movement and Desensitisation and Reprocessing (EMDR) in the treatment of post-traumatic stress disorder (PTSD) in which patients make EM during trauma recall. Earlier experimental studies on recall + EM have focused on emotional memories. WM theory suggests that recall + EM is superior to recall only but is silent about effects of memory emotionality. Based on the emotion and memory literature, we examined whether recall + EM has superior effects in blurring emotional memories relative to neutral memories. Healthy volunteers recalled negative or neutral memories, matched for vividness, while visually tracking a dot that moved horizontally ("recall + EM") or remained stationary ("recall only"). Compared to a pre-test, a post-test (without concentrating on the dot) replicated earlier findings: negative memories are rated as less vivid after "recall + EM" but not after "recall only". This was not found for neutral memories. Emotional memories are more taxing than neutral memories, which may explain the findings. Alternatively, transient arousal induced by recall of aversive memories may promote reconsolidation of the blurred memory image that is provoked by EM.

  4. Genetic dissection of memory for associative and non-associative learning in Caenorhabditis elegans.

    Science.gov (United States)

    Lau, H L; Timbers, T A; Mahmoud, R; Rankin, C H

    2013-03-01

    The distinction between non-associative and associative forms of learning has historically been based on the behavioral training paradigm. Through discovering the molecular mechanisms that mediate learning, we can develop a deeper understanding of the relationships between different forms of learning. Here, we genetically dissect short- and long-term memory for a non-associative form of learning, habituation and an associative form of learning, context conditioning for habituation, in the nematode Caenorhabditis elegans. In short-term chemosensory context conditioning for habituation, worms trained and tested in the presence of either a taste (sodium acetate) or smell (diacetyl) context cue show greater retention of habituation to tap stimuli when compared with animals trained and tested without a salient cue. Long-term memory for olfactory context conditioning was observed 24 h after a training procedure that does not normally induce 24 h memory. Like long-term habituation, this long-term memory was dependent on the transcription factor cyclic AMP-response element-binding protein. Worms with mutations in glr-1 [a non-N-methyl-d-aspartate (NMDA)-type glutamate receptor subunit] showed short-term but not long-term habituation or short- or long-term context conditioning. Worms with mutations in nmr-1 (an NMDA-receptor subunit) showed normal short- and long-term memory for habituation but did not show either short- or long-term context conditioning. Rescue of nmr-1 in the RIM interneurons rescued short- and long-term olfactory context conditioning leading to the hypothesis that these interneurons function to integrate information from chemosensory and mechanosensory systems for associative learning. © 2012 The Authors. Genes, Brain and Behavior © 2012 Blackwell Publishing Ltd and International Behavioural and Neural Genetics Society.

  5. Location-based prospective memory.

    Science.gov (United States)

    O'Rear, Andrea E; Radvansky, Gabriel A

    2018-02-01

    This study explores location-based prospective memory. People often have to remember to do things when in a particular location, such as buying tissues the next time they are in the supermarket. For event cognition theory, location is important for structuring events. However, because event cognition has not been used to examine prospective memory, the question remains of how multiple events will influence prospective memory performance. In our experiments, people delivered messages from store to store in a virtual shopping mall as an ongoing task. The prospective tasks were to do certain activities in certain stores. For Experiment 1, each trial involved one prospective memory task to be done in a single location at one of three delays. The virtual environment and location cues were effective for prospective memory, and performance was unaffected by delay. For Experiment 2, each trial involved two prospective memory tasks, given in either one or two instruction locations, and to be done in either one or two store locations. There was improved performance when people received instructions from two locations and did both tasks in one location relative to other combinations. This demonstrates that location-based event structure influences how well people perform on prospective memory tasks.

  6. Memory-Based Shallow Parsing

    OpenAIRE

    Sang, Erik F. Tjong Kim

    2002-01-01

    We present memory-based learning approaches to shallow parsing and apply these to five tasks: base noun phrase identification, arbitrary base phrase recognition, clause detection, noun phrase parsing and full parsing. We use feature selection techniques and system combination methods for improving the performance of the memory-based learner. Our approach is evaluated on standard data sets and the results are compared with that of other systems. This reveals that our approach works well for ba...

  7. Materials and Physics Challenges for Spin Transfer Torque Magnetic Random Access Memories

    Energy Technology Data Exchange (ETDEWEB)

    Heinonen, O.

    2014-10-05

    Magnetic random access memories utilizing the spin transfer torque effect for writing information are a strong contender for non-volatile memories scalable to the 20 nm node, and perhaps beyond. I will here examine how these devices behave as the device size is scaled down from 70 nm size to 20 nm. As device sizes go below ~50 nm, the size becomes comparable to intrinsic magnetic length scales and the device behavior does not simply scale with size. This has implications for the device design and puts additional constraints on the materials in the device.

  8. Memory window engineering of Ta2O5-x oxide-based resistive switches via incorporation of various insulating frames

    Science.gov (United States)

    Lee, Ah Rahm; Baek, Gwang Ho; Kim, Tae Yoon; Ko, Won Bae; Yang, Seung Mo; Kim, Jongmin; Im, Hyun Sik; Hong, Jin Pyo

    2016-07-01

    Three-dimensional (3D) stackable memory frames, including nano-scaled crossbar arrays, are one of the most reliable building blocks to meet the demand of high-density non-volatile memory electronics. However, their utilization has the disadvantage of introducing issues related to sneak paths, which can negatively impact device performance. We address the enhancement of complementary resistive switching (CRS) features via the incorporation of insulating frames as a generic approach to extend their use; here, a Pt/Ta2O5-x/Ta/Ta2O5-x/Pt frame is chosen as the basic CRS cell. The incorporation of Ta/Ta2O5-x/Ta or Pt/amorphous TaN/Pt insulting frames into the basic CRS cell ensures the appreciably advanced memory features of CRS cells including higher on/off ratios, improved read margins, and increased selectivity without reliability degradation. Experimental observations identified that a suitable insulating frame is crucial for adjusting the abrupt reset events of the switching element, thereby facilitating the enhanced electrical characteristics of CRS cells that are suitable for practical applications.

  9. Estimating Stochastic Volatility Models using Prediction-based Estimating Functions

    DEFF Research Database (Denmark)

    Lunde, Asger; Brix, Anne Floor

    to the performance of the GMM estimator based on conditional moments of integrated volatility from Bollerslev and Zhou (2002). The case where the observed log-price process is contaminated by i.i.d. market microstructure (MMS) noise is also investigated. First, the impact of MMS noise on the parameter estimates from......In this paper prediction-based estimating functions (PBEFs), introduced in Sørensen (2000), are reviewed and PBEFs for the Heston (1993) stochastic volatility model are derived. The finite sample performance of the PBEF based estimator is investigated in a Monte Carlo study, and compared...... to correctly account for the noise are investigated. Our Monte Carlo study shows that the estimator based on PBEFs outperforms the GMM estimator, both in the setting with and without MMS noise. Finally, an empirical application investigates the possible challenges and general performance of applying the PBEF...

  10. Pricing Volatility of Stock Returns with Volatile and Persistent Components

    DEFF Research Database (Denmark)

    Zhu, Jie

    In this paper a two-component volatility model based on the component's first moment is introduced to describe the dynamic of speculative return volatility. The two components capture the volatile and persistent part of volatility respectively. Then the model is applied to 10 Asia-Pacific stock m......, a positive or risk-premium effect exists between return and the volatile component, yet the persistent component is not significantly priced for return dynamic process....... markets. Their in-mean effects on return are also tested. The empirical results show that the persistent component accounts much more for volatility dynamic process than the volatile component. However the volatile component is found to be a significant pricing factor of asset returns for most markets...

  11. A new DRAM-type memory devices based on polymethacrylate containing pendant 2-methylbenzothiazole

    International Nuclear Information System (INIS)

    Wang Dong; Li Hua; Li Najun; Zhao Ying; Zhou Qianhao; Xu Qingfeng; Lu Jianmei; Wang Lihua

    2012-01-01

    Graphical abstract: The devices fabricated with 75 nm and 45 nm thick pBVMA films were both found to exhibit DRAM type memory behaviors, which may indicate that the Al nanoparticles had no penetration into the thin film during the vacuum-deposition process. Highlights: ► The side-functional moieties of pBVMA regularly arranged in film state. ► The device exhibits volatile memory behavior with an ON/OFF current ratio up to 10 5 . ► The film thickness has nothing to do with the device's memory behavior. ► Physical theoretical models and molecular simulation supported the memory mechanism. - Abstract: A polymethacrylate containing pendant 2-methylbenzothiazole (pBVMA) with good thermal stability was synthesized by free radical polymerization. The devices based on pBVMA possess a sandwich structure comprising bottom indium-tin oxide (ITO) electrode and top Al electrode. The as-fabricated device exhibits the dynamic random access memory (DRAM) behavior with an ON/OFF current ratio up to 10 5 and can endure 10 8 read cycles under −1 V pulse voltage. The effect of the film thickness on the device performance was investigated and the devices fabricated with 75 nm and 45 nm thick pBVMA films were both found to exhibit DRAM type memory behaviors, which may indicate that the Al nanoparticles had no penetration into the thin film during the vacuum-deposition process. The molecular simulation and physical theoretical models were analyzed and the mechanism of the DRAM performance may be attributed to the weak electron withdrawing ability of the molecule.

  12. Scaling Non-Regular Shared-Memory Codes by Reusing Custom Loop Schedules

    Directory of Open Access Journals (Sweden)

    Dimitrios S. Nikolopoulos

    2003-01-01

    Full Text Available In this paper we explore the idea of customizing and reusing loop schedules to improve the scalability of non-regular numerical codes in shared-memory architectures with non-uniform memory access latency. The main objective is to implicitly setup affinity links between threads and data, by devising loop schedules that achieve balanced work distribution within irregular data spaces and reusing them as much as possible along the execution of the program for better memory access locality. This transformation provides a great deal of flexibility in optimizing locality, without compromising the simplicity of the shared-memory programming paradigm. In particular, the programmer does not need to explicitly distribute data between processors. The paper presents practical examples from real applications and experiments showing the efficiency of the approach.

  13. Olfactory receptor neuron responses of a longhorned beetle, Tetropium fuscum (Fabr.) (Coleoptera: Cerambycidae), to pheromone, host, and non-host volatiles.

    Science.gov (United States)

    MacKay, Colin A; Sweeney, Jon D; Hillier, N Kirk

    2015-12-01

    Longhorn wood-boring beetles (Coleoptera: Cerambycidae) use olfactory cues to find mates and hosts for oviposition. Tetropium fuscum (Fabr.) is an invasive longhorned wood-boring beetle originating from Europe that has been established in Nova Scotia, Canada, since at least 1990. This study used single sensillum recordings (SSR) to determine the response of olfactory receptor neurons (ORNs) in the antennal sensilla of male and female T. fuscum to different kinds of olfactory cues, namely host volatiles, non-host volatiles, the aggregation pheromone of T. fuscum (fuscumol), and an aggregation pheromone emitted by other species of longhorn beetles (3-hydroxyhexan-2-one). Each compound had been previously shown to elicit antennal activity in T. fuscum using electroantennography or had been shown to elicit behavioral activity in T. fuscum or other cerambycids. There have been very few SSR studies done on cerambycids, and ours is the first to compare response profiles of pheromone components as well as host and non-host volatiles. Based on SSR studies with other insects, we predicted we would find ORNs that responded to the pheromone alone (pheromone-specialists), as well as ORNs that responded only to host or non-host volatiles, i.e., separation of olfactory cue perception at the ORN level. Also, because male T. fuscum emerge earlier than females and are the pheromone-emitting sex, we predicted that the number of pheromone-sensitive ORNs would be greater in females than males. We found 140 ORNs housed within 97 sensilla that responded to at least one of the 13 compounds. Fuscumol-specific ORNs made up 15% (21/140) of all recordings, but contrary to our prediction, an additional 22 ORNs (16%) responded to fuscumol plus at least one other compound; in total, fuscumol elicited a response from 43/140 (31%) of ORNs with fuscumol-specific ORNs accounting for half of these. Thus, our prediction that pheromone reception would be segregated on specialist ORNs was only partially

  14. Characterization of exchange rate regimes based on scaling and correlation properties of volatility for ASEAN-5 countries

    Science.gov (United States)

    Muniandy, Sithi V.; Uning, Rosemary

    2006-11-01

    Foreign currency exchange rate policies of ASEAN member countries have undergone tremendous changes following the 1997 Asian financial crisis. In this paper, we study the fractal and long-memory characteristics in the volatility of five ASEAN founding members’ exchange rates with respect to US dollar. The impact of exchange rate policies implemented by the ASEAN-5 countries on the currency fluctuations during pre-, mid- and post-crisis are briefly discussed. The time series considered are daily price returns, absolute returns and aggregated absolute returns, each partitioned into three segments based on the crisis regimes. These time series are then modeled using fractional Gaussian noise, fractionally integrated ARFIMA (0,d,0) and generalized Cauchy process. The first two stationary models provide the description of long-range dependence through Hurst and fractional differencing parameter, respectively. Meanwhile, the generalized Cauchy process offers independent estimation of fractal dimension and long memory exponent. In comparison, among the three models we found that the generalized Cauchy process showed greater sensitivity to transition of exchange rate regimes that were implemented by ASEAN-5 countries.

  15. Magnetization Dynamics in Two Novel Current-Driven Spintronic Memory Cell Structures

    KAUST Repository

    Velazquez-Rizo, Martin

    2017-07-01

    In this work, two new spintronic memory cell structures are proposed. The first cell uses the diffusion of polarized spins into ferromagnets with perpendicular anisotropy to tilt their magnetization followed by their dipolar coupling to a fixed magnet (Bhowmik et al., 2014). The possibility of setting the magnetization to both stable magnetization states in a controlled manner using a similar concept remains unknown, but the proposed structure poses to be a solution to this difficulty. The second cell proposed takes advantage of the multiple stable magnetic states that exist in ferromagnets with configurational anisotropy and also uses spin torques to manipulate its magnetization. It utilizes a square-shaped ferromagnet whose stable magnetization has preferred directions along the diagonals of the square, giving four stable magnetic states allowing to use the structure as a multi-bit memory cell. Both devices use spin currents generated in heavy metals by the Spin Hall effect present in these materials. Among the advantages of the structures proposed are their inherent non-volatility and the fact that there is no need for applying external magnetic fields during their operation, which drastically improves the energy efficiency of the devices. Computational simulations using the Object Oriented Micromagnetic Framework (OOMMF) software package were performed to study the dynamics of the magnetization process in both structures and predict their behavior. Besides, we fabricated a 4-terminal memory cell with configurational anisotropy similar to the device proposed, and found four stable resistive states on the structure, proving the feasibility of this technology for implementation of high-density, non-volatile memory cells.

  16. Detection of Volatile Compounds Emitted from Nasal Secretions and Serum: Towards Non-Invasive Identification of Diseased Cattle Biomarkers

    Directory of Open Access Journals (Sweden)

    Devin L. Maurer

    2018-03-01

    Full Text Available Non-invasive diagnostics and finding biomarkers of disease in humans have been a very active research area. Some of the analytical technologies used for finding biomarkers of human disease are finding their use in livestock. Non-invasive sample collection from diseased cattle using breath and headspace of fecal samples have been reported. In this work, we explore the use of volatile organic compounds (VOCs emitted from bovine nasal secretions and serum for finding biomarkers for bovine respiratory disease (BRD. One hundred nasal swabs and 100 serum samples (n = 50 for both ‘sick’ and ‘healthy’ were collected at the time of treatment for suspected BRD. Solid-phase microextraction (SPME was used to collect headspace samples that were analyzed using gas chromatography-mass spectrometry (GC-MS. It was possible to separate sick cattle using non-invasive analyses of nasal swabs and also serum samples by analyzing and comparing volatiles emitted from each group of samples. Four volatile compounds were found to be statistically significantly different between ‘sick’ and ‘normal’ cattle nasal swabs samples. Five volatile compounds were found to be significantly different between ‘sick’ and ‘normal’ cattle serum samples, with phenol being the common marker. Future studies are warranted to improve the extraction efficiency targeting VOCs preliminarily identified in this study. These findings bring us closer to the long-term goal of real-time, animal-side detection and separation of sick cattle.

  17. Dynamic Memory Model for Non-Stationary Optimization

    DEFF Research Database (Denmark)

    Bendtsen, Claus Nørgaard; Krink, Thiemo

    2002-01-01

    Real-world problems are often nonstationary and can cause cyclic, repetitive patterns in the search landscape. For this class of problems, we introduce a new GA with dynamic explicit memory, which showed superior performance compared to a classic GA and a previously introduced memory-based GA for...

  18. Pricing Volatility of Stock Returns with Volatile and Persistent Components

    DEFF Research Database (Denmark)

    Zhu, Jie

    2009-01-01

    This paper introduces a two-component volatility model based on first moments of both components to describe the dynamics of speculative return volatility. The two components capture the volatile and the persistent part of volatility, respectively. The model is applied to 10 Asia-Pacific stock ma...... markets. A positive or risk-premium effect exists between the return and the volatile component, yet the persistent component is not significantly priced for the return dynamic process....... markets. Their in-mean effects on returns are tested. The empirical results show that the persistent component is much more important for the volatility dynamic process than is the volatile component. However, the volatile component is found to be a significant pricing factor of asset returns for most...

  19. Synaptic plasticity and memory functions achieved in a WO3−x-based nanoionics device by using the principle of atomic switch operation

    International Nuclear Information System (INIS)

    Yang, Rui; Terabe, Kazuya; Yao, Yiping; Tsuruoka, Tohru; Hasegawa, Tsuyoshi; Gimzewski, James K; Aono, Masakazu

    2013-01-01

    A compact neuromorphic nanodevice with inherent learning and memory properties emulating those of biological synapses is the key to developing artificial neural networks rivaling their biological counterparts. Experimental results showed that memorization with a wide time scale from volatile to permanent can be achieved in a WO 3−x -based nanoionics device and can be precisely and cumulatively controlled by adjusting the device’s resistance state and input pulse parameters such as the amplitude, interval, and number. This control is analogous to biological synaptic plasticity including short-term plasticity, long-term potentiation, transition from short-term memory to long-term memory, forgetting processes for short- and long-term memory, learning speed, and learning history. A compact WO 3−x -based nanoionics device with a simple stacked layer structure should thus be a promising candidate for use as an inorganic synapse in artificial neural networks due to its striking resemblance to the biological synapse. (paper)

  20. Non-declarative memory in the rehabilitation of amnesia.

    Science.gov (United States)

    Cavaco, S; Malec, J F; Bergquist, T

    2005-09-01

    The ability of amnesic patients to learn and retain non-declarative information has been consistently demonstrated in the literature. This knowledge provided by basic cognitive neuroscience studies has been widely neglected in neuropsychological rehabilitation of memory impaired patients. This study reports the case of a 43 year old man with severe amnesia following an anterior communicating artery (ACoA) aneurysm rupture. The patient integrated a comprehensive (holistic) day treatment programme for rehabilitation of brain injury. The programme explored the advantages of using preserved non-declarative memory capacities, in the context of commonly used rehabilitation approaches (i.e. compensation for lost function and domain-specific learning). The patient's ability to learn and retain new cognitive and perceptual-motor skills was found to be critical for the patient's improved independence and successful return to work.

  1. Ferroelectric tunneling element and memory applications which utilize the tunneling element

    Science.gov (United States)

    Kalinin, Sergei V [Knoxville, TN; Christen, Hans M [Knoxville, TN; Baddorf, Arthur P [Knoxville, TN; Meunier, Vincent [Knoxville, TN; Lee, Ho Nyung [Oak Ridge, TN

    2010-07-20

    A tunneling element includes a thin film layer of ferroelectric material and a pair of dissimilar electrically-conductive layers disposed on opposite sides of the ferroelectric layer. Because of the dissimilarity in composition or construction between the electrically-conductive layers, the electron transport behavior of the electrically-conductive layers is polarization dependent when the tunneling element is below the Curie temperature of the layer of ferroelectric material. The element can be used as a basis of compact 1R type non-volatile random access memory (RAM). The advantages include extremely simple architecture, ultimate scalability and fast access times generic for all ferroelectric memories.

  2. Long memory persistence in the factor of Implied volatility dynamics

    OpenAIRE

    Härdle, Wolfgang Karl; Mungo, Julius

    2007-01-01

    The volatility implied by observed market prices as a function of the strike and time to maturity form an Implied Volatility Surface (IV S). Practical applications require reducing the dimension and characterize its dynamics through a small number of factors. Such dimension reduction is summarized by a Dynamic Semiparametric Factor Model (DSFM) that characterizes the IV S itself and their movements across time by a multivariate time series of factor loadings. This paper focuses on investigati...

  3. Fatigue and retention properties of shape memory piezoelectric actuator with non-180° domain switching

    International Nuclear Information System (INIS)

    Kadota, Y; Morita, T

    2012-01-01

    A shape memory piezoelectric actuator can maintain a piezoelectric displacement without an operating voltage. It has two stable strain states at zero voltage: a poled state and a depoled state. The driving principle of the shape memory piezoelectric actuator is based on reorientation of the non-180° domains in the ferroelectric materials. In this study, a unimorph shape memory piezoelectric actuator with a soft lead zirconate titanate was fabricated. The fatigue and retention properties of this shape memory piezoelectric actuator were investigated. The fatigue behavior of the actuator in the early stages is considered to be closely related to the domain stabilization process. Continuous cycle fatigue tests revealed that the shape memory piezoelectric actuator continues to operate even after 10 6 cycles. Retention measurements revealed that the depoled state of the actuator was more stable than the poled state. The drift in the actuator displacement over one year was estimated to be less than 10% of the initial shape memory displacement. (paper)

  4. WORKSHOP REPORT - CONSIDERATIONS FOR DEVELOPING LEACHING TEST METHODS FOR SEMI- AND NON-VOLATILE ORGANIC COMPOUNDS

    Science.gov (United States)

    The report provides a summary of the information exchange at a workshop on the potential for release of semi- or non-volatile organic constituents at contaminated sites where sub-surface treatment has been used to control migration, and from waste that is disposed or re-used. The...

  5. Influence of mineral salts upon activity of Trichoderma harzianum non-volatile metabolites on Armillaria spp. rhizomorphs

    Directory of Open Access Journals (Sweden)

    Krystyna Przybył

    2011-01-01

    Full Text Available Effect of non-volatile metabolites of Trichoderma harzianum together with certain salts containing Mg++, Fe+++, Mn++, Cu++, Al+++, Ca++, K++, Na+, PO4--- and SO3--- on the production and length of rhizomorphs of Armillaria borealis, A. gallica and A. ostoyae was studied. In pure medium, T. harzianum exhibited stimulating effect on rhizomorphs of A. borealis (both number and length and A. ostoyae (only initiation. Cu++ salt totaly inhibited the initiation of rhizomorphs of Armillaria borealis, A. gallica and A. ostoyae. Effect of other compounds on the activity of T. harzianum depended on Armillaria species. The majority of chemical compounds tested supressed the activity of non-volatile metabolites of T. harzianum. Evident stimulating effect was observed under influence of sulphate salts consisting Al++ and Fe+++ on the rhizomorph number of A. borealis and A. gallica, respectively.

  6. Resistive content addressable memory based in-memory computation architecture

    KAUST Repository

    Salama, Khaled N.; Zidan, Mohammed A.; Kurdahi, Fadi; Eltawil, Ahmed M.

    2016-01-01

    Various examples are provided examples related to resistive content addressable memory (RCAM) based in-memory computation architectures. In one example, a system includes a content addressable memory (CAM) including an array of cells having a memristor based crossbar and an interconnection switch matrix having a gateless memristor array, which is coupled to an output of the CAM. In another example, a method, includes comparing activated bit values stored a key register with corresponding bit values in a row of a CAM, setting a tag bit value to indicate that the activated bit values match the corresponding bit values, and writing masked key bit values to corresponding bit locations in the row of the CAM based on the tag bit value.

  7. Resistive content addressable memory based in-memory computation architecture

    KAUST Repository

    Salama, Khaled N.

    2016-12-08

    Various examples are provided examples related to resistive content addressable memory (RCAM) based in-memory computation architectures. In one example, a system includes a content addressable memory (CAM) including an array of cells having a memristor based crossbar and an interconnection switch matrix having a gateless memristor array, which is coupled to an output of the CAM. In another example, a method, includes comparing activated bit values stored a key register with corresponding bit values in a row of a CAM, setting a tag bit value to indicate that the activated bit values match the corresponding bit values, and writing masked key bit values to corresponding bit locations in the row of the CAM based on the tag bit value.

  8. Carbon nanotube network-silicon oxide non-volatile switches.

    Science.gov (United States)

    Liao, Albert D; Araujo, Paulo T; Xu, Runjie; Dresselhaus, Mildred S

    2014-12-08

    The integration of carbon nanotubes with silicon is important for their incorporation into next-generation nano-electronics. Here we demonstrate a non-volatile switch that utilizes carbon nanotube networks to electrically contact a conductive nanocrystal silicon filament in silicon dioxide. We form this device by biasing a nanotube network until it physically breaks in vacuum, creating the conductive silicon filament connected across a small nano-gap. From Raman spectroscopy, we observe coalescence of nanotubes during breakdown, which stabilizes the system to form very small gaps in the network~15 nm. We report that carbon nanotubes themselves are involved in switching the device to a high resistive state. Calculations reveal that this switching event occurs at ~600 °C, the temperature associated with the oxidation of nanotubes. Therefore, we propose that, in switching to a resistive state, the nanotube oxidizes by extracting oxygen from the substrate.

  9. Atmospheric fate of non volatile and ionizable compounds

    DEFF Research Database (Denmark)

    Franco, Antonio; Hauschild, Michael Zwicky; Jolliet, Olivier

    2011-01-01

    , and the parameters describing air–water partitioning (KAW and temperature) and ionization (pKa and pH) are the key parameters determining the potential for long range transport. Wet deposition is an important removal process, but its efficiency is limited, primarily by the duration of the dry period between...... simulations describing the uncertainty of substance and environmental input properties were run to evaluate the impact of atmospheric parameters, ionization and air–water (or air–ice) interface enrichment. The rate of degradation and the concentration of OH radicals, the duration of dry and wet periods...... precipitation events. Given the underlying model assumptions, the presence of clouds contributes to the higher persistence in the troposphere because of the capacity of cloud water to accumulate and transport non-volatile (e.g.2,4-D) and surface-active chemicals (e.g. PFOA). This limits the efficiency of wet...

  10. Effects of annealing temperature in a metal alloy nano-dot memory

    International Nuclear Information System (INIS)

    Lee, Jung Min; Lee, Gae Hun; Song, Yun Heub; Bea, Ji Cheol; Tanaka, Tetsu

    2011-01-01

    The annealing temperature dependence of the capacitance-voltage (C-V) characteristic has been studied in a metal-oxide semiconductor structure containing FePt nano-dots. Several in-situ annealing temperatures from 400 to ∼700 .deg. C in a high vacuum ambience (under 1 x 10 -5 Pa) were evaluated in view of the cell's characteristics and its reliability. Here, we demonstrate that the annealing temperature is significant for memory performance in an alloy metal nano-dot structure. A higher in-situ temperature provides better retention and a more reliable memory window. In the sample with an in-situ annealing condition of 700 .deg. C for 30 min, a memory window of 9.2 V at the initial stage was obtained, and a memory window of 6.2 V after 10 years was estimated, which is reliable for a non-volatile memory. From these results, the annealing condition for an alloy metal nano-dot memory is one of the critical parameters for the memory characteristics, and should be optimized for better memory performance.

  11. Transparent resistive switching memory using aluminum oxide on a flexible substrate

    International Nuclear Information System (INIS)

    Yeom, Seung-Won; Kim, Tan-Young; Ha, Hyeon Jun; Ju, Byeong-Kwon; Shin, Sang-Chul; Shim, Jae Won; Lee, Yun-Hi

    2016-01-01

    Resistive switching memory (ReRAM) has attracted much attention in recent times owing to its fast switching, simple structure, and non-volatility. Flexible and transparent electronic devices have also attracted considerable attention. We therefore fabricated an Al 2 O 3 -based ReRAM with transparent indium-zinc-oxide (IZO) electrodes on a flexible substrate. The device transmittance was found to be higher than 80% in the visible region (400–800 nm). Bended states (radius = 10 mm) of the device also did not affect the memory performance because of the flexibility of the two transparent IZO electrodes and the thin Al 2 O 3 layer. The conduction mechanism of the resistive switching of our device was explained by ohmic conduction and a Poole–Frenkel emission model. The conduction mechanism was proved by oxygen vacancies in the Al 2 O 3 layer, as analyzed by x-ray photoelectron spectroscopy analysis. These results encourage the application of ReRAM in flexible and transparent electronic devices. (letter)

  12. Transparent resistive switching memory using aluminum oxide on a flexible substrate

    Science.gov (United States)

    Yeom, Seung-Won; Shin, Sang-Chul; Kim, Tan-Young; Ha, Hyeon Jun; Lee, Yun-Hi; Shim, Jae Won; Ju, Byeong-Kwon

    2016-02-01

    Resistive switching memory (ReRAM) has attracted much attention in recent times owing to its fast switching, simple structure, and non-volatility. Flexible and transparent electronic devices have also attracted considerable attention. We therefore fabricated an Al2O3-based ReRAM with transparent indium-zinc-oxide (IZO) electrodes on a flexible substrate. The device transmittance was found to be higher than 80% in the visible region (400-800 nm). Bended states (radius = 10 mm) of the device also did not affect the memory performance because of the flexibility of the two transparent IZO electrodes and the thin Al2O3 layer. The conduction mechanism of the resistive switching of our device was explained by ohmic conduction and a Poole-Frenkel emission model. The conduction mechanism was proved by oxygen vacancies in the Al2O3 layer, as analyzed by x-ray photoelectron spectroscopy analysis. These results encourage the application of ReRAM in flexible and transparent electronic devices.

  13. Volatile and Nonvolatile Characteristics of Asymmetric Dual-Gate Thyristor RAM with Vertical Structure.

    Science.gov (United States)

    Kim, Hyun-Min; Kwon, Dae Woong; Kim, Sihyun; Lee, Kitae; Lee, Junil; Park, Euyhwan; Lee, Ryoongbin; Kim, Hyungjin; Kim, Sangwan; Park, Byung-Gook

    2018-09-01

    In this paper, the volatile and nonvolatile characteristics of asymmetric dual-gate thyristor random access memory (TRAM) are investigated using the technology of a computer-aided design (TCAD) simulation. Owing to the use of two independent gates having different gate dielectric layers, volatile and nonvolatile memory functions can be realized in a single device. The first gate with a silicon oxide layer controls the one-transistor dynamic random access memory (1T-DRAM) characteristics of the device. From the simulation results, a rapid write speed (107) can be achieved. The second gate, whose dielectric material is composed of oxide/nitride/oxide (O/N/O) layers, is used to implement the nonvolatile property by trapping charges in the nitride layer. In addition, this offers an advantage when processing the 3D-stack memory application, as the device has a vertical channel structure with polycrystalline silicon.

  14. Quantitative estimates of the volatility of ambient organic aerosol

    Directory of Open Access Journals (Sweden)

    C. D. Cappa

    2010-06-01

    Full Text Available Measurements of the sensitivity of organic aerosol (OA, and its components mass to changes in temperature were recently reported by Huffman et al.~(2009 using a tandem thermodenuder-aerosol mass spectrometer (TD-AMS system in Mexico City and the Los Angeles area. Here, we use these measurements to derive quantitative estimates of aerosol volatility within the framework of absorptive partitioning theory using a kinetic model of aerosol evaporation in the TD. OA volatility distributions (or "basis-sets" are determined using several assumptions as to the enthalpy of vaporization (ΔHvap. We present two definitions of "non-volatile OA," one being a global and one a local definition. Based on these definitions, our analysis indicates that a substantial fraction of the organic aerosol is comprised of non-volatile components that will not evaporate under any atmospheric conditions; on the order of 50–80% when the most realistic ΔHvap assumptions are considered. The sensitivity of the total OA mass to dilution and ambient changes in temperature has been assessed for the various ΔHvap assumptions. The temperature sensitivity is relatively independent of the particular ΔHvap assumptions whereas dilution sensitivity is found to be greatest for the low (ΔHvap = 50 kJ/mol and lowest for the high (ΔHvap = 150 kJ/mol assumptions. This difference arises from the high ΔHvap assumptions yielding volatility distributions with a greater fraction of non-volatile material than the low ΔHvap assumptions. If the observations are fit using a 1 or 2-component model the sensitivity of the OA to dilution is unrealistically high. An empirical method introduced by Faulhaber et al. (2009 has also been used to independently estimate a volatility distribution for the ambient OA and is found to give results consistent with the

  15. Voltage control of metal-insulator transition and non-volatile ferroelastic switching of resistance in VOx/PMN-PT heterostructures.

    Science.gov (United States)

    Nan, Tianxiang; Liu, Ming; Ren, Wei; Ye, Zuo-Guang; Sun, Nian X

    2014-08-04

    The central challenge in realizing electronics based on strongly correlated electronic states, or 'Mottronics', lies in finding an energy efficient way to switch between the distinct collective phases with a control voltage in a reversible and reproducible manner. In this work, we demonstrate that a voltage-impulse-induced ferroelastic domain switching in the (011)-oriented 0.71Pb(Mg1/3Nb2/3)O3-0.29PbTiO3 (PMN-PT) substrates allows a robust non-volatile tuning of the metal-insulator transition in the VOx films deposited onto them. In such a VOx/PMN-PT heterostructure, the unique two-step electric polarization switching covers up to 90% of the entire poled area and contributes to a homogeneous in-plane anisotropic biaxial strain, which, in turn, enables the lattice changes and results in the suppression of metal-insulator transition in the mechanically coupled VOx films by 6 K with a resistance change up to 40% over a broad range of temperature. These findings provide a framework for realizing in situ and non-volatile tuning of strain-sensitive order parameters in strongly correlated materials, and demonstrate great potentials in delivering reconfigurable, compactable, and energy-efficient electronic devices.

  16. The Emotional Response to Everyday Involuntary and Voluntary Memories in Dysphoria and Non-Dysphoria

    DEFF Research Database (Denmark)

    del Palacio Gonzalez, Adriana; Watson, Lynn; Berntsen, Dorthe

    Retrieving personal memories may cause emotional reactions and thus a need for emotion regulation. Past research indicates that involuntary memories have a greater effect on mood that the voluntary counterparts. However, different dimensions of the emotional response (i.e., intensity and regulation...... regulation strategies in response to both involuntary and voluntary memories. The between-group differences were not accounted for by the individuals’ mood preceding memory retrieval or the valence of the remembered events. The results suggest an important effect of retrieval mode in the emotion regulation......) upon retrieval of both involuntary and voluntary personal memories have not been thoroughly examined. We examined individuals’ emotional intensity and regulation of everyday involuntary and voluntary memories during dysphoria and non-depression. Twenty dysphoric individuals and 23 non...

  17. Stochastic volatility models and Kelvin waves

    Science.gov (United States)

    Lipton, Alex; Sepp, Artur

    2008-08-01

    We use stochastic volatility models to describe the evolution of an asset price, its instantaneous volatility and its realized volatility. In particular, we concentrate on the Stein and Stein model (SSM) (1991) for the stochastic asset volatility and the Heston model (HM) (1993) for the stochastic asset variance. By construction, the volatility is not sign definite in SSM and is non-negative in HM. It is well known that both models produce closed-form expressions for the prices of vanilla option via the Lewis-Lipton formula. However, the numerical pricing of exotic options by means of the finite difference and Monte Carlo methods is much more complex for HM than for SSM. Until now, this complexity was considered to be an acceptable price to pay for ensuring that the asset volatility is non-negative. We argue that having negative stochastic volatility is a psychological rather than financial or mathematical problem, and advocate using SSM rather than HM in most applications. We extend SSM by adding volatility jumps and obtain a closed-form expression for the density of the asset price and its realized volatility. We also show that the current method of choice for solving pricing problems with stochastic volatility (via the affine ansatz for the Fourier-transformed density function) can be traced back to the Kelvin method designed in the 19th century for studying wave motion problems arising in fluid dynamics.

  18. Stochastic volatility models and Kelvin waves

    International Nuclear Information System (INIS)

    Lipton, Alex; Sepp, Artur

    2008-01-01

    We use stochastic volatility models to describe the evolution of an asset price, its instantaneous volatility and its realized volatility. In particular, we concentrate on the Stein and Stein model (SSM) (1991) for the stochastic asset volatility and the Heston model (HM) (1993) for the stochastic asset variance. By construction, the volatility is not sign definite in SSM and is non-negative in HM. It is well known that both models produce closed-form expressions for the prices of vanilla option via the Lewis-Lipton formula. However, the numerical pricing of exotic options by means of the finite difference and Monte Carlo methods is much more complex for HM than for SSM. Until now, this complexity was considered to be an acceptable price to pay for ensuring that the asset volatility is non-negative. We argue that having negative stochastic volatility is a psychological rather than financial or mathematical problem, and advocate using SSM rather than HM in most applications. We extend SSM by adding volatility jumps and obtain a closed-form expression for the density of the asset price and its realized volatility. We also show that the current method of choice for solving pricing problems with stochastic volatility (via the affine ansatz for the Fourier-transformed density function) can be traced back to the Kelvin method designed in the 19th century for studying wave motion problems arising in fluid dynamics

  19. Stochastic volatility models and Kelvin waves

    Energy Technology Data Exchange (ETDEWEB)

    Lipton, Alex [Merrill Lynch, Mlfc Main, 2 King Edward Street, London EC1A 1HQ (United Kingdom); Sepp, Artur [Merrill Lynch, 4 World Financial Center, New York, NY 10080 (United States)], E-mail: Alex_Lipton@ml.com, E-mail: Artur_Sepp@ml.com

    2008-08-29

    We use stochastic volatility models to describe the evolution of an asset price, its instantaneous volatility and its realized volatility. In particular, we concentrate on the Stein and Stein model (SSM) (1991) for the stochastic asset volatility and the Heston model (HM) (1993) for the stochastic asset variance. By construction, the volatility is not sign definite in SSM and is non-negative in HM. It is well known that both models produce closed-form expressions for the prices of vanilla option via the Lewis-Lipton formula. However, the numerical pricing of exotic options by means of the finite difference and Monte Carlo methods is much more complex for HM than for SSM. Until now, this complexity was considered to be an acceptable price to pay for ensuring that the asset volatility is non-negative. We argue that having negative stochastic volatility is a psychological rather than financial or mathematical problem, and advocate using SSM rather than HM in most applications. We extend SSM by adding volatility jumps and obtain a closed-form expression for the density of the asset price and its realized volatility. We also show that the current method of choice for solving pricing problems with stochastic volatility (via the affine ansatz for the Fourier-transformed density function) can be traced back to the Kelvin method designed in the 19th century for studying wave motion problems arising in fluid dynamics.

  20. Asymptotic Theory for the QMLE in GARCH-X Models with Stationary and Non-Stationary Covariates

    DEFF Research Database (Denmark)

    Han, Heejoon; Kristensen, Dennis

    as captured by its long-memory parameter dx; in particular, we allow for both stationary and non-stationary covariates. We show that the QMLE'’s of the regression coefficients entering the volatility equation are consistent and normally distributed in large samples independently of the degree of persistence....... This implies that standard inferential tools, such as t-statistics, do not have to be adjusted to the level of persistence. On the other hand, the intercept in the volatility equation is not identifi…ed when the covariate is non-stationary which is akin to the results of Jensen and Rahbek (2004, Econometric...

  1. Differential effects of non-REM and REM sleep on memory consolidation?

    OpenAIRE

    Ackermann Sandra; Rasch  Bjoern

    2013-01-01

    Sleep benefitsmemory consolidation. Previous theoretical accounts have proposed a differential role of slowwave sleep (SWS) rapid eye movement (REM) sleep and stage N2 sleep for different types of memories. For example the dual process hypothesis proposes that SWS is beneficial for declarative memories whereas REMsleep is important for consolidation of non declarative procedural and emotional memories. In fact numerous recent studies do provide further support for the crucial role of SWS (or ...

  2. Origin of Volatiles in Earth: Indigenous Versus Exogenous Sources Based on Highly Siderophile, Volatile Siderophile, and Light Volatile Elements

    Science.gov (United States)

    Righter, K.; Danielson, L.; Pando, K. M.; Marin, N.; Nickodem, K.

    2015-01-01

    Origin of Earth's volatiles has traditionally been ascribed to late accretion of material after major differentiation events - chondrites, comets, ice or other exogenous sources. A competing theory is that the Earth accreted its volatiles as it was built, thus water and other building blocks were present early and during differentiation and core formation (indigenous). Here we discuss geochemical evidence from three groups of elements that suggests Earth's volatiles were acquired during accretion and did not require additional sources after differentiation.

  3. Pricing Volatility Referenced Assets

    Directory of Open Access Journals (Sweden)

    Alan De Genaro Dario

    2006-12-01

    Full Text Available Volatility swaps are contingent claims on future realized volatility. Variance swaps are similar instruments on future realized variance, the square of future realized volatility. Unlike a plain vanilla option, whose volatility exposure is contaminated by its asset price dependence, volatility and variance swaps provide a pure exposure to volatility alone. This article discusses the risk-neutral valuation of volatility and variance swaps based on the framework outlined in the Heston (1993 stochastic volatility model. Additionally, the Heston (1993 model is calibrated for foreign currency options traded at BMF and its parameters are used to price swaps on volatility and variance of the BRL / USD exchange rate.

  4. A Memory-based Robot Architecture based on Contextual Information

    OpenAIRE

    Pratama, Ferdian; Mastrogiovanni, Fulvio; Chong, Nak Young

    2014-01-01

    In this paper, we present a preliminary conceptual design for a robot long-term memory architecture based on the notion of context. Contextual information is used to organize the data flow between Working Memory (including Perceptual Memory) and Long-Term Memory components. We discuss the major influence of the notion of context within Episodic Memory on Semantic and Procedural Memory, respectively. We address how the occurrence of specific object-related events in time impacts on the semanti...

  5. History and the future perspective of the ferroelectric memory; Kyoyudentai memory no rekishiteki haikei to tenbo

    Energy Technology Data Exchange (ETDEWEB)

    Tarui, Y [Waseda University, Tokyo (Japan)

    1998-10-01

    Development work is in progress on ferroelectric memory. The memory is a most suitable non-volatile memory which can be incorporated into IC cards, with its higher speed, lower voltage operation, smaller power consumption, and greater number of rewriting times than EEPROM, DRAM and SRAM. Taking as an opportunity the announcement on an experiment as performed by the authors to control semiconductor charge by using electric depolarization of ferroelectric materials, reports have been made one after another on experiments on thin metal films on TGS or BaTiO3, and experiments on semiconductor films formed on ferroelectric crystals or ceramics substrates by using vacuum deposition. In order to solve problems in ferroelectric materials, thin films of PZT and PLZT have emerged, whose good hysteresis characteristics have also been reported. Thereafter, an announcement was made on a material with bismuth layer like perovskite structure. The material is characterized with having very little film fatigue degradation after rewriting of about 10 {sup 12} times. In scaling a ferroelectric memory, if voltage is decreased in proportion with the size, the operation can be reduced proportionately according to the voltage reduction. This paper introduces a method to constitute a ferroelectric memory. 22 refs., 11 figs., 2 tabs.

  6. A comparison of memory for homicide, non-homicidal violence, and positive life experiences.

    Science.gov (United States)

    Woodworth, Michael; Porter, Stephen; Ten Brinke, Leanne; Doucette, Naomi L; Peace, Kristine; Campbell, Mary Ann

    2009-01-01

    Defendants commonly claim amnesia for their criminal actions especially in cases involving extreme violence. While some claims are malingered or result from physiological factors, other cases may represent genuine partial or complete amnesia resulting from the psychological distress and/or extreme emotion associated with the perpetration of the crime. Fifty Canadian homicide offenders described their memories of their homicide, a non-homicide violent offense, and their most positive adulthood life experience. Self-reported and objective measures of memories for these events revealed that homicides were recalled with the greatest level of detail and sensory information. Although dissociative tendencies were associated with a self-reported memory loss, objective measures of memory quality did not reflect this perceived impairment, suggesting a failure of meta-memory. Recollections of positive life events were superior to those of non-homicidal violence, possibly due to greater impact and meaning attached to such experiences. Findings suggest that memory for homicide typically is enhanced by the powerful emotion associated with its perpetration.

  7. Declarative and Non-declarative Memory Consolidation in Children with Sleep Disorder

    OpenAIRE

    Cs?bi, Eszter; Benedek, P?lma; Janacsek, Karolina; Zavecz, Zs?fia; Katona, G?bor; Nemeth, Dezso

    2016-01-01

    Healthy sleep is essential in children’s cognitive, behavioral, and emotional development. However, remarkably little is known about the influence of sleep disorders on different memory processes in childhood. Such data could give us a deeper insight into the effect of sleep on the developing brain and memory functions and how the relationship between sleep and memory changes from childhood to adulthood. In the present study we examined the effect of sleep disorder on declarative and non-decl...

  8. User Preference-Based Dual-Memory Neural Model With Memory Consolidation Approach.

    Science.gov (United States)

    Nasir, Jauwairia; Yoo, Yong-Ho; Kim, Deok-Hwa; Kim, Jong-Hwan; Nasir, Jauwairia; Yong-Ho Yoo; Deok-Hwa Kim; Jong-Hwan Kim; Nasir, Jauwairia; Yoo, Yong-Ho; Kim, Deok-Hwa; Kim, Jong-Hwan

    2018-06-01

    Memory modeling has been a popular topic of research for improving the performance of autonomous agents in cognition related problems. Apart from learning distinct experiences correctly, significant or recurring experiences are expected to be learned better and be retrieved easier. In order to achieve this objective, this paper proposes a user preference-based dual-memory adaptive resonance theory network model, which makes use of a user preference to encode memories with various strengths and to learn and forget at various rates. Over a period of time, memories undergo a consolidation-like process at a rate proportional to the user preference at the time of encoding and the frequency of recall of a particular memory. Consolidated memories are easier to recall and are more stable. This dual-memory neural model generates distinct episodic memories and a flexible semantic-like memory component. This leads to an enhanced retrieval mechanism of experiences through two routes. The simulation results are presented to evaluate the proposed memory model based on various kinds of cues over a number of trials. The experimental results on Mybot are also presented. The results verify that not only are distinct experiences learned correctly but also that experiences associated with higher user preference and recall frequency are consolidated earlier. Thus, these experiences are recalled more easily relative to the unconsolidated experiences.

  9. Forced Ion Migration for Chalcogenide Phase Change Memory Device

    Science.gov (United States)

    Campbell, Kristy A (Inventor)

    2013-01-01

    Non-volatile memory devices with two stacked layers of chalcogenide materials comprising the active memory device have been investigated for their potential as phase-change memories. The devices tested included GeTe/SnTe, Ge2Se3/SnTe, and Ge2Se3/SnSe stacks. All devices exhibited resistance switching behavior. The polarity of the applied voltage with respect to the SnTe or SnSe layer was critical to the memory switching properties, due to the electric field induced movement of either Sn or Te into the Ge-chalcogenide layer. One embodiment of the invention is a device comprising a stack of chalcogenide-containing layers which exhibit phase-change switching only after a reverse polarity voltage potential is applied across the stack causing ion movement into an adjacent layer and thus "activating" the device to act as a phase-change random access memory device or a reconfigurable electronics device when the applied voltage potential is returned to the normal polarity. Another embodiment of the invention is a device that is capable of exhibiting more than two data states.

  10. Memory detection 2.0: the first web-based memory detection test.

    Science.gov (United States)

    Kleinberg, Bennett; Verschuere, Bruno

    2015-01-01

    There is accumulating evidence that reaction times (RTs) can be used to detect recognition of critical (e.g., crime) information. A limitation of this research base is its reliance upon small samples (average n = 24), and indications of publication bias. To advance RT-based memory detection, we report upon the development of the first web-based memory detection test. Participants in this research (Study1: n = 255; Study2: n = 262) tried to hide 2 high salient (birthday, country of origin) and 2 low salient (favourite colour, favourite animal) autobiographical details. RTs allowed to detect concealed autobiographical information, and this, as predicted, more successfully so than error rates, and for high salient than for low salient items. While much remains to be learned, memory detection 2.0 seems to offer an interesting new platform to efficiently and validly conduct RT-based memory detection research.

  11. Memory detection 2.0: the first web-based memory detection test.

    Directory of Open Access Journals (Sweden)

    Bennett Kleinberg

    Full Text Available There is accumulating evidence that reaction times (RTs can be used to detect recognition of critical (e.g., crime information. A limitation of this research base is its reliance upon small samples (average n = 24, and indications of publication bias. To advance RT-based memory detection, we report upon the development of the first web-based memory detection test. Participants in this research (Study1: n = 255; Study2: n = 262 tried to hide 2 high salient (birthday, country of origin and 2 low salient (favourite colour, favourite animal autobiographical details. RTs allowed to detect concealed autobiographical information, and this, as predicted, more successfully so than error rates, and for high salient than for low salient items. While much remains to be learned, memory detection 2.0 seems to offer an interesting new platform to efficiently and validly conduct RT-based memory detection research.

  12. Nanostructured Polypyrrole-Based Ammonia and Volatile Organic Compound Sensors

    Directory of Open Access Journals (Sweden)

    Milena Šetka

    2017-03-01

    Full Text Available The aim of this review is to summarize the recent progress in the fabrication of efficient nanostructured polymer-based sensors with special focus on polypyrrole. The correlation between physico-chemical parameters, mainly morphology of various polypyrrole nanostructures, and their sensitivity towards selected gas and volatile organic compounds (VOC is provided. The different approaches of polypyrrole modification with other functional materials are also discussed. With respect to possible sensors application in medicine, namely in the diagnosis of diseases via the detection of volatile biomarkers from human breath, the sensor interaction with humidity is described as well. The major attention is paid to analytes such as ammonia and various alcohols.

  13. The cross-sectional association between severity of non-cognitive disability and self-reported worsening memory.

    Science.gov (United States)

    Cannell, M Brad; Bouldin, Erin D; Teigen, Kari; Akhtar, Wajiha Z; Andresen, Elena M

    2016-04-01

    Research has demonstrated a clear association between cognitive decline and non-cognitive disability; however, all of these studies focus on disability as a correlate or result of some level of cognitive impairment or dysfunction. The relationship between disability and cognition is likely a complex one, that is currently incompletely described in the literature. Our objective was to estimate the prevalence of long-term, non-cognitive disability using a population-representative sample of adults aged 18 and older, and then estimate the association between long-term, non-cognitive disability and self-reported worsening memory. Using the 2009 Florida Behavioral Risk Factor Surveillance System (BRFSS), we measured the relationship between non-cognitive disability and worsening memory using multivariable logistic regression analysis weighted to account for the complex sampling design of the BRFSS. We also estimated the adjusted odds of worsening memory by disability severity, classified according to the types of assistance needed. Approximately 18% (95% confidence interval = (16%, 19%)) of Floridians were living with a long-term, non-cognitive disability in 2009. Among adults with no disability during or prior to the last year, only 5% reported worsening memory. The proportion of Floridians reporting worsening memory increases with increasing severity of disability-related limitations. In a multivariable logistic regression model, odds of worsening memory increased significantly with severity of disability-related limitations. These results highlight the association between non-cognitive disability and subsequent increased odds of worsening memory, independent of several other known risk factors, and a dose-response association with disability-related limitations. Copyright © 2016 Elsevier Inc. All rights reserved.

  14. Visual memory in musicians and non-musicians.

    Science.gov (United States)

    Rodrigues, Ana Carolina; Loureiro, Maurício; Caramelli, Paulo

    2014-01-01

    Many investigations have reported structural, functional, and cognitive changes in the brains of musicians, which occur as a result of many years of musical practice. We aimed to investigate if intensive, long-term musical practice is associated with improved visual memory ability. Musicians and non-musicians, who were comparable in age, gender, and education, were submitted to a visual memory test. The test consisted of the presentation of four sets of stimuli, each one containing eight figures to be memorized. Each set was followed by individual figures and the subject was required to indicate if each figure was or was not present in the memorized set, by pressing the corresponding keys. We divided the test in two parts, in which the stimuli had greater or reduced semantic coding. Overall, musicians showed better performance on reaction times, but not on accuracy. An additional analysis revealed no significant interaction between group and any part of the test in the prediction of the outcomes. When simple reaction time was included as covariate, no significant difference between groups was found on reaction times. In the group of musicians, we found some significant correlations between variables related to musical practice and performance in the visual memory test. In summary, our data provide no evidence of enhanced visual memory ability in musicians, since there was no difference in accuracy between groups. Our results suggest that performance of musicians in the visual memory test may be associated with better sensorimotor integration, since although they have presented shorter reaction times, such effect disappeared when taken in consideration the simple reaction time test. However, given existing evidence of associations between simple reaction time and cognitive function, their performance in the visual memory test could also be related to enhanced visual attention ability, as has been suggested by previous studies, but this hypothesis deserves more

  15. Developmental Abilities to Form Chunks in Immediate Memory and Its Non-Relationship to Span Development.

    Science.gov (United States)

    Mathy, Fabien; Fartoukh, Michael; Gauvrit, Nicolas; Guida, Alessandro

    2016-01-01

    Both adults and children -by the time they are 2-3 years old- have a general ability to recode information to increase memory efficiency. This paper aims to evaluate the ability of untrained children aged 6-10 years old to deploy such a recoding process in immediate memory. A large sample of 374 children were given a task of immediate serial report based on SIMON®, a classic memory game made of four colored buttons (red, green, yellow, blue) requiring players to reproduce a sequence of colors within which repetitions eventually occur. It was hypothesized that a primitive ability across all ages (since theoretically already available in toddlers) to detect redundancies allows the span to increase whenever information can be recoded on the fly. The chunkable condition prompted the formation of chunks based on the perceived structure of color repetition within to-be-recalled sequences of colors. Our result shows a similar linear improvement of memory span with age for both chunkable and non-chunkable conditions. The amount of information retained in immediate memory systematically increased for the groupable sequences across all age groups, independently of the average age-group span that was measured on sequences that contained fewer repetitions. This result shows that chunking gives young children an equal benefit as older children. We discuss the role of recoding in the expansion of capacity in immediate memory and the potential role of data compression in the formation of chunks in long-term memory.

  16. Preserved memory-based orienting of attention with impaired explicit memory in healthy ageing.

    Science.gov (United States)

    Salvato, Gerardo; Patai, Eva Z; Nobre, Anna C

    2016-01-01

    It is increasingly recognised that spatial contextual long-term memory (LTM) prepares neural activity for guiding visuo-spatial attention in a proactive manner. In the current study, we investigated whether the decline in explicit memory observed in healthy ageing would compromise this mechanism. We compared the behavioural performance of younger and older participants on learning new contextual memories, on orienting visual attention based on these learnt contextual associations, and on explicit recall of contextual memories. We found a striking dissociation between older versus younger participants in the relationship between the ability to retrieve contextual memories versus the ability to use these to guide attention to enhance performance on a target-detection task. Older participants showed significant deficits in the explicit retrieval task, but their behavioural benefits from memory-based orienting of attention were equivalent to those in young participants. Furthermore, memory-based orienting correlated significantly with explicit contextual LTM in younger adults but not in older adults. These results suggest that explicit memory deficits in ageing might not compromise initial perception and encoding of events. Importantly, the results also shed light on the mechanisms of memory-guided attention, suggesting that explicit contextual memories are not necessary. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  17. Music-based memory enhancement in Alzheimer's disease: promise and limitations.

    Science.gov (United States)

    Simmons-Stern, Nicholas R; Deason, Rebecca G; Brandler, Brian J; Frustace, Bruno S; O'Connor, Maureen K; Ally, Brandon A; Budson, Andrew E

    2012-12-01

    In a previous study (Simmons-Stern, Budson & Ally, 2010), we found that patients with Alzheimer's disease (AD) better recognized visually presented lyrics when the lyrics were also sung rather than spoken at encoding. The present study sought to further investigate the effects of music on memory in patients with AD by making the content of the song lyrics relevant for the daily life of an older adult and by examining how musical encoding alters several different aspects of episodic memory. Patients with AD and healthy older adults studied visually presented novel song lyrics related to instrumental activities of daily living (IADL) that were accompanied by either a sung or a spoken recording. Overall, participants performed better on a memory test of general lyric content for lyrics that were studied sung as compared to spoken. However, on a memory test of specific lyric content, participants performed equally well for sung and spoken lyrics. We interpret these results in terms of a dual-process model of recognition memory such that the general content questions represent a familiarity-based representation that is preferentially sensitive to enhancement via music, while the specific content questions represent a recollection-based representation unaided by musical encoding. Additionally, in a test of basic recognition memory for the audio stimuli, patients with AD demonstrated equal discrimination for sung and spoken stimuli. We propose that the perceptual distinctiveness of musical stimuli enhanced metamemorial awareness in AD patients via a non-selective distinctiveness heuristic, thereby reducing false recognition while at the same time reducing true recognition and eliminating the mnemonic benefit of music. These results are discussed in the context of potential music-based memory enhancement interventions for the care of patients with AD. Published by Elsevier Ltd.

  18. Ultra-Low Power Memory Design in Scaled Technology Nodes

    DEFF Research Database (Denmark)

    Zeinali, Behzad

    that the proposed SRAM reduces access time and leakage current by 40% and 20%, respectively, compared to the standard 8T-SRAM cell without any degradation in read and write margins. The second solution is an asymmetric Schottky barrier device, which can mitigate the read–write conflict of the 6T-SRAM cell in scaled...... technology nodes i.e. sub-50 nm. The 6T-SRAM designed based on the proposed device shows 18% leakage reduction and 54%, 6.6% and 3.1X improvement in read margin, write margin and write time, respectively, compared to the conventional 6T-SRAM cell. To address the standby power issue of SRAMs in scaled...... technology nodes, this thesis also investigates emerging non-volatile spintronics memories. In this respect, STT-MRAMs and SOT-MRAMs are studied and their design challenges are explored. To improve the read performance of STT-MRAMs, a novel non-destructive self-reference sensing scheme is proposed enabling...

  19. Stochastic volatility and multi-dimensional modeling in the European energy market

    Energy Technology Data Exchange (ETDEWEB)

    Vos, Linda

    2012-07-01

    In energy prices there is evidence for stochastic volatility. Stochastic volatility has effect on the price of path-dependent options and therefore has to be modeled properly. We introduced a multi-dimensional non-Gaussian stochastic volatility model with leverage which can be used in energy pricing. It captures special features of energy prices like price spikes, mean-reversion, stochastic volatility and inverse leverage. Moreover it allows modeling dependencies between different commodities.The derived forward price dynamics based on this multi-variate spot price model, provides a very flexible structure. It includes cotango, backwardation and hump shape forward curves.Alternatively energy prices could be modeled by a 2-factor model consisting of a non-Gaussian stable CARMA process and a non-stationary trend models by a Levy process. Also this model is able to capture special features like price spikes, mean reversion and the low frequency dynamics in the market. An robust L1-filter is introduced to filter out the states of the CARMA process. When applying to German electricity EEX exchange data an overall negative risk-premium is found. However close to delivery a positive risk-premium is observed.(Author)

  20. Unexpected uncertainty, volatility and decision-making

    Directory of Open Access Journals (Sweden)

    Amy Rachel Bland

    2012-06-01

    Full Text Available The study of uncertainty in decision making is receiving greater attention in the fields of cognitive and computational neuroscience. Several lines of evidence are beginning to elucidate different variants of uncertainty. Particularly, risk, ambiguity and expected and unexpected forms of uncertainty are well articulated in the literature. In this article we review both empirical and theoretical evidence arguing for the potential distinction between three forms of uncertainty; expected uncertainty, unexpected uncertainty and volatility. Particular attention will be devoted to exploring the distinction between unexpected uncertainty and volatility which has been less appreciated in the literature. This includes evidence from computational modelling, neuromodulation, neuroimaging and electrophysiological studies. We further address the possible differentiation of cognitive control mechanisms used to deal with these forms of uncertainty. Particularly we explore a role for conflict monitoring and the temporal integration of information into working memory. Finally, we explore whether the Dual Modes of Control theory provides a theoretical framework for understanding the distinction between unexpected uncertainty and volatility.

  1. Volcanic fluxes of volatiles. Preliminary estimates based on rare gas and major volatile calibration

    International Nuclear Information System (INIS)

    Marty, B.

    1992-01-01

    New estimates for volatile fluxes into the atmosphere and hydrosphere through volcanism have been computed using the measured fluxes of 3 He in oceans and SO 2 in the atmosphere, and the ratios between the volatiles in Mid-Ocean Ridge basalts and in high temperature volcanic gases. These estimates have been checked using independent estimates of the volcanic fluxes. This method provides a reliable means of tracing volatile fluxes, although its precision is restricted by the limited amount of data currently available. (author). 19 refs, 1 tab

  2. Detection of prospective memory deficits in mild cognitive impairment of suspected Alzheimer's disease etiology using a novel event-based prospective memory task.

    LENUS (Irish Health Repository)

    Blanco-Campal, Alberto

    2009-01-01

    We investigated the relative discriminatory efficacy of an event-based prospective memory (PM) task, in which specificity of the instructions and perceptual salience of the PM cue were manipulated, compared with two widely used retrospective memory (RM) tests (Rivermead Paragraph Recall Test and CERAD-Word List Test), when detecting mild cognitive impairment of suspected Alzheimer\\'s disease etiology (MCI-AD) (N = 19) from normal controls (NC) (N = 21). Statistical analyses showed high discriminatory capacity of the PM task for detecting MCI-AD. The Non-Specific-Non-Salient condition proved particularly useful in detecting MCI-AD, possibly reflecting the difficulty of the task, requiring more strategic attentional resources to monitor for the PM cue. With a cutoff score of <4\\/10, the Non-Specific-Non-Salient condition achieved a sensitivity = 84%, and a specificity = 95%, superior to the most discriminative RM test used (CERAD-Total Learning: sensitivity = 83%; specificity = 76%). Results suggest that PM is an early sign of memory failure in MCI-AD and may be a more pronounced deficit than retrospective failure, probably reflecting the greater self-initiated retrieval demands involved in the PM task used. Limitations include the relatively small sample size, and the use of a convenience sample (i.e. memory clinic attenders and healthy active volunteers), reducing the generalizability of the results, which should be regarded as preliminary. (JINS, 2009, 15, 154-159.).

  3. Memory and pressure studies in NaxCoO2 cobaltites

    International Nuclear Information System (INIS)

    Garbarino, G; Bouvier, P; Crichton, W A; Mezouar, M; Regueiro, M Nunez; Lejay, P; Armand, M; Foo, M L; Cava, R J

    2009-01-01

    We present a detailed study on the memory effect results in Na 0.5 paragraph 5CoO 2 single crystals. We analyze the temperature dependence of the nonvolatile current-pulse-induced resistance memory state. These results allow us to have more insight in the mobility of Na + ions induced by current and their effect on the memory effect. We also developed X-ray diffraction studies under pressure at ambient temperature in the N a0.5 CoO 2 powder compound. An orthorhombic to hexagonal phase transition was observed at 9GPa. This transition can be explained taking into account the Na ions displacement between two allowed positions. These structural results allow us to confirm that the non-volatile resistive commutation can be interpreted by the displacement of the Na ions induced by the current pulses.

  4. Volatile element trends in gas-rich meteorites

    Energy Technology Data Exchange (ETDEWEB)

    Bart, G; Lipschutz, M E [Purdue Univ., Lafayette, IN (USA). Dept. of Chemistry

    1979-09-01

    Study of 10 volatile elements (and non-volatile Co) in co-existing light and dark portions of 5 gas-rich chondrites indicates patterns of distinct but non-uniform enrichment of volatile elements. Only Cs is enriched in all samples; Bi and Tl enrichments covary. The observed enrichments are inconsistent with prior suggestions of admixture of C1 or C2 chondritic matter, whether pristine or partly devolatilized, but suggest that both light and dark portions of each chondrite represents a compositionally more extended sampling of parental nebular material than hitherto known.

  5. Dynamic Asset Allocation Strategies Based on Volatility, Unexpected Volatility and Financial Turbulence

    OpenAIRE

    Grimsrud, David Borkner

    2015-01-01

    Masteroppgave økonomi og administrasjon- Universitetet i Agder, 2015 This master thesis looks at unexpected volatility- and financial turbulence’s predictive ability, and exploit these measures of financial risk, together with volatility, to create three dynamic asset allocation strategies, and test if they can outperform a passive and naively diversified buy-and-hold strategy. The idea with the dynamic strategies is to increase the portfolio return by keeping the portfolio risk at a low a...

  6. Rethinking a Negative Event: The Affective Impact of Ruminative versus Imagery-Based Processing of Aversive Autobiographical Memories.

    Science.gov (United States)

    Slofstra, Christien; Eisma, Maarten C; Holmes, Emily A; Bockting, Claudi L H; Nauta, Maaike H

    2017-01-01

    Ruminative (abstract verbal) processing during recall of aversive autobiographical memories may serve to dampen their short-term affective impact. Experimental studies indeed demonstrate that verbal processing of non-autobiographical material and positive autobiographical memories evokes weaker affective responses than imagery-based processing. In the current study, we hypothesized that abstract verbal or concrete verbal processing of an aversive autobiographical memory would result in weaker affective responses than imagery-based processing. The affective impact of abstract verbal versus concrete verbal versus imagery-based processing during recall of an aversive autobiographical memory was investigated in a non-clinical sample ( n  = 99) using both an observational and an experimental design. Observationally, it was examined whether spontaneous use of processing modes (both state and trait measures) was associated with impact of aversive autobiographical memory recall on negative and positive affect. Experimentally, the causal relation between processing modes and affective impact was investigated by manipulating the processing mode during retrieval of the same aversive autobiographical memory. Main findings were that higher levels of trait (but not state) measures of both ruminative and imagery-based processing and depressive symptomatology were positively correlated with higher levels of negative affective impact in the observational part of the study. In the experimental part, no main effect of processing modes on affective impact of autobiographical memories was found. However, a significant moderating effect of depressive symptomatology was found. Only for individuals with low levels of depressive symptomatology, concrete verbal (but not abstract verbal) processing of the aversive autobiographical memory did result in weaker affective responses, compared to imagery-based processing. These results cast doubt on the hypothesis that ruminative processing of

  7. Global transformation and fate of SOA: Implications of Low Volatility SOA and Gas-Phase Fragmentation Reactions

    Energy Technology Data Exchange (ETDEWEB)

    Shrivastava, ManishKumar B.; Easter, Richard C.; Liu, Xiaohong; Zelenyuk, Alla; Singh, Balwinder; Zhang, Kai; Ma, Po-Lun; Chand, Duli; Ghan, Steven J.; Jiminez, J. L.; Zhang, Qibin; Fast, Jerome D.; Rasch, Philip J.; Tiitta, P.

    2015-05-16

    Secondary organic aerosols (SOA) are large contributors to fine particle loadings and radiative forcing, but are often represented crudely in global models. We have implemented three new detailed SOA treatments within the Community Atmosphere Model version 5 (CAM5) that allow us to compare the semi-volatile versus non-volatile SOA treatments (based on some of the latest experimental findings) and also investigate the effects of gas-phase fragmentation reactions. For semi-volatile SOA treatments, fragmentation reactions decrease simulated SOA burden from 7.5 Tg to 1.8 Tg. For the non-volatile SOA treatment with fragmentation, the burden is 3.1 Tg. Larger differences between non-volatile and semi-volatile SOA (upto a factor of 5) correspond to continental outflow over the oceans. Compared to a global dataset of surface Aerosol Mass Spectrometer measurements and the US IMPROVE network measurements, the non-volatile SOA with fragmentation treatment (FragNVSOA) agrees best at rural locations. Urban SOA is under-predicted but this may be due to the coarse model resolution. All our three revised treatments show much better agreement with aircraft measurements of organic aerosols (OA) over the N. American Arctic and sub-Arctic in spring and summer, compared to the standard CAM5 formulation. This is due to treating SOA precursor gases from biomass burning, and long-range transport of biomass burning OA at elevated levels. The revised model configuration that include fragmentation (both semi-volatile and non-volatile SOA) show much better agreement with MODIS AOD data over regions dominated by biomass burning during the summer, and predict biomass burning as the largest global source of OA followed by biogenic and anthropogenic sources. The non-volatile and semi-volatile configuration predict the direct radiative forcing of SOA as -0.5 W m-2 and -0.26 W m-2 respectively, at top of the atmosphere, which are higher than previously estimated by most models, but in reasonable

  8. Major Odorants Released as Urinary Volatiles by Urinary Incontinent Patients

    Directory of Open Access Journals (Sweden)

    In Young Sa

    2013-07-01

    Full Text Available In this study, volatile urinary components were collected using three different types of samples from patients suffering from urinary incontinence (UI: (1 urine (A; (2 urine + non-used pad (B; and (3 urine + used pad (C. In addition, urine + non-used pad (D samples from non-patients were also collected as a reference. The collection of urinary volatiles was conducted with the aid of a glass impinger-based mini-chamber method. Each of the four sample types (A through D was placed in a glass impinger and incubated for 4 hours at 37 °C. Ultra pure air was then passed through the chamber, and volatile urine gas components were collected into Tedlar bags at the other end. These bag samples were then analyzed for a wide range of VOCs and major offensive odorants (e.g., reduced sulfur compounds (RSCs, carbonyls, trimethylamine (TMA, ammonia, etc.. Among the various odorants, sulfur compounds (methanethiol and hydrogen sulfide and aldehydes (acetaldehyde, butylaldehyde, and isovaleraldehyde were detected above odor threshold and predicted to contribute most effectively to odor intensity of urine incontinence.

  9. Non-monotonic relationships between emotional arousal and memory for color and location.

    Science.gov (United States)

    Boywitt, C Dennis

    2015-01-01

    Recent research points to the decreased diagnostic value of subjective retrieval experience for memory accuracy for emotional stimuli. While for neutral stimuli rich recollective experiences are associated with better context memory than merely familiar memories this association appears questionable for emotional stimuli. The present research tested the implicit assumption that the effect of emotional arousal on memory is monotonic, that is, steadily increasing (or decreasing) with increasing arousal. In two experiments emotional arousal was manipulated in three steps using emotional pictures and subjective retrieval experience as well as context memory were assessed. The results show an inverted U-shape relationship between arousal and recognition memory but for context memory and retrieval experience the relationship was more complex. For frame colour, context memory decreased linearly while for spatial location it followed the inverted U-shape function. The complex, non-monotonic relationships between arousal and memory are discussed as possible explanations for earlier divergent findings.

  10. Providing a Foundation for Analysis of Volatile Data Stores

    Directory of Open Access Journals (Sweden)

    Timothy Vidas

    2007-09-01

    Full Text Available Current threats against typical computer systems demonstrate a need for forensic analysis of memory-resident data in addition to the conventional static analysis common today.  Certain attacks and types of malware exist solely in memory and leave little or no evidentiary information on nonvolatile stores such as a hard disk drive.  The desire to preserve system state at the time of response may even warrant memory acquisition independent of perceived threats and the ability to analyze the acquired duplicate. Tools capable of duplicating various types of volatile data stores are becoming widely available.  Once the data store has been duplicated, current forensic procedures have no method for extrapolating further useful information from the duplicate.  This paper is focused on providing the groundwork for performing forensic investigations on the data that is typically stored in a volatile data store, such as system RAM.It is intended that, when combined with good acquisition techniques, it will be shown that it is possible to obtain more post incident response information along with less impact to potential evidence when compared to typical incident response procedures. 

  11. Non-Volatile Ferroelectric Switching of Ferromagnetic Resonance in NiFe/PLZT Multiferroic Thin Film Heterostructures (Postprint)

    Science.gov (United States)

    2016-09-01

    deformation potentially leads to fatigue and fracture over time. Moreover, we show that by simply applying voltage pulses, a robust, non-volatile...polarization such as PZT , BiFeO3, or doped HfO2. Our results thus provide a pathway towards ferroelectric switching of magnetism that could be useful for

  12. Non-targeted volatile profiles for the classification of the botanical origin of Chinese honey by solid-phase microextraction and gas chromatography-mass spectrometry combined with chemometrics.

    Science.gov (United States)

    Chen, Hui; Jin, Linghe; Fan, Chunlin; Wang, Wenwen

    2017-11-01

    A potential method for the discrimination and prediction of honey samples of various botanical origins was developed based on the non-targeted volatile profiles obtained by solid-phase microextraction with gas chromatography and mass spectrometry combined with chemometrics. The blind analysis of non-targeted volatile profiles was carried out using solid-phase microextraction with gas chromatography and mass spectrometry for 87 authentic honey samples from four botanical origins (acacia, linden, vitex, and rape). The number of variables was reduced from 2734 to 70 by using a series of filters. Based on the optimized 70 variables, 79.12% of the variance was explained by the first four principal components. Partial least squares discriminant analysis, naïve Bayes analysis, and back-propagation artificial neural network were used to develop the classification and prediction models. The 100% accuracy revealed a perfect classification of the botanical origins. In addition, the reliability and practicability of the models were validated by an independent set of additional 20 authentic honey samples. All 20 samples were accurately classified. The confidence measures indicated that the performance of the naïve Bayes model was better than the other two models. Finally, the characteristic volatile compounds of linden honey were tentatively identified. The proposed method is reliable and accurate for the classification of honey of various botanical origins. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Modeling and forecasting petroleum futures volatility

    International Nuclear Information System (INIS)

    Sadorsky, Perry

    2006-01-01

    Forecasts of oil price volatility are important inputs into macroeconometric models, financial market risk assessment calculations like value at risk, and option pricing formulas for futures contracts. This paper uses several different univariate and multivariate statistical models to estimate forecasts of daily volatility in petroleum futures price returns. The out-of-sample forecasts are evaluated using forecast accuracy tests and market timing tests. The TGARCH model fits well for heating oil and natural gas volatility and the GARCH model fits well for crude oil and unleaded gasoline volatility. Simple moving average models seem to fit well in some cases provided the correct order is chosen. Despite the increased complexity, models like state space, vector autoregression and bivariate GARCH do not perform as well as the single equation GARCH model. Most models out perform a random walk and there is evidence of market timing. Parametric and non-parametric value at risk measures are calculated and compared. Non-parametric models outperform the parametric models in terms of number of exceedences in backtests. These results are useful for anyone needing forecasts of petroleum futures volatility. (author)

  14. Biomimicry of volatile-based microbial control for managing emerging fungal pathogens.

    Science.gov (United States)

    Gabriel, K T; Joseph Sexton, D; Cornelison, C T

    2018-05-01

    Volatile organic compounds (VOCs) are known to be produced by a wide range of micro-organisms and for a number of purposes. Volatile-based microbial inhibition in environments such as soil is well-founded, with numerous antimicrobial VOCs having been identified. Inhibitory VOCs are of interest as microbial control agents, as low concentrations of gaseous VOCs can elicit significant antimicrobial effects. Volatile organic compounds are organic chemicals typically characterized as having low molecular weight, low solubility in water, and high vapour pressure. Consequently, VOCs readily evaporate to the gaseous phase at standard temperature and pressure. This contact-independent antagonism presents unique advantages over traditional, contact-dependent microbial control methods, including increased surface exposure and reduced environmental persistence. This approach has been the focus of our recent research, with positive results suggesting it may be particularly promising for the management of emerging fungal pathogens, such as the causative agents of white-nose syndrome of bats and snake fungal disease, which are difficult or impossible to treat using traditional approaches. Here, we review the history of volatile-based microbial control, discuss recent progress in formulations that mimic naturally antagonistic VOCs, outline the development of a novel treatment device, and highlight areas where further work is needed to successfully deploy VOCs against existing and emerging fungal pathogens. © 2017 The Society for Applied Microbiology.

  15. Non-Hebbian learning implementation in light-controlled resistive memory devices.

    Science.gov (United States)

    Ungureanu, Mariana; Stoliar, Pablo; Llopis, Roger; Casanova, Fèlix; Hueso, Luis E

    2012-01-01

    Non-Hebbian learning is often encountered in different bio-organisms. In these processes, the strength of a synapse connecting two neurons is controlled not only by the signals exchanged between the neurons, but also by an additional factor external to the synaptic structure. Here we show the implementation of non-Hebbian learning in a single solid-state resistive memory device. The output of our device is controlled not only by the applied voltages, but also by the illumination conditions under which it operates. We demonstrate that our metal/oxide/semiconductor device learns more efficiently at higher applied voltages but also when light, an external parameter, is present during the information writing steps. Conversely, memory erasing is more efficiently at higher applied voltages and in the dark. Translating neuronal activity into simple solid-state devices could provide a deeper understanding of complex brain processes and give insight into non-binary computing possibilities.

  16. Transparent meta-analysis: does aging spare prospective memory with focal vs. non-focal cues?

    Directory of Open Access Journals (Sweden)

    Bob Uttl

    Full Text Available BACKGROUND: Prospective memory (ProM is the ability to become aware of a previously-formed plan at the right time and place. For over twenty years, researchers have been debating whether prospective memory declines with aging or whether it is spared by aging and, most recently, whether aging spares prospective memory with focal vs. non-focal cues. Two recent meta-analyses examining these claims did not include all relevant studies and ignored prevalent ceiling effects, age confounds, and did not distinguish between prospective memory subdomains (e.g., ProM proper, vigilance, habitual ProM (see Uttl, 2008, PLoS ONE. The present meta-analysis focuses on the following questions: Does prospective memory decline with aging? Does prospective memory with focal vs. non-focal cues decline with aging? Does the size of age-related declines with focal vs. non-focal cues vary across ProM subdomains? And are age-related declines in ProM smaller than age-related declines in retrospective memory? METHODS AND FINDINGS: A meta-analysis of event-cued ProM using data visualization and modeling, robust count methods, and conventional meta-analysis techniques revealed that first, the size of age-related declines in ProM with both focal and non-focal cues are large. Second, age-related declines in ProM with focal cues are larger in ProM proper and smaller in vigilance. Third, age-related declines in ProM proper with focal cues are as large as age-related declines in recall measures of retrospective memory. CONCLUSIONS: The results are consistent with Craik's (1983 proposal that age-related declines on ProM tasks are generally large, support the distinction between ProM proper vs. vigilance, and directly contradict widespread claims that ProM, with or without focal cues, is spared by aging.

  17. Feasibility study of molecular memory device based on DNA using methylation to store information

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Liming; Al-Dirini, Feras [Department of Electrical and Electronic Engineering, The University of Melbourne, Parkville 3010 (Australia); Center for Neural Engineering (CfNE), The University of Melbourne, Carlton 3053 (Australia); National ICT Australia, The University of Melbourne, Parkville 3010 (Australia); Qiu, Wanzhi; Skafidas, Efstratios, E-mail: sskaf@unimelb.edu.au [Department of Electrical and Electronic Engineering, The University of Melbourne, Parkville 3010 (Australia); Center for Neural Engineering (CfNE), The University of Melbourne, Carlton 3053 (Australia); Hossain, Faruque M. [Center for Neural Engineering (CfNE), The University of Melbourne, Carlton 3053 (Australia); Evans, Robin [Department of Electrical and Electronic Engineering, The University of Melbourne, Parkville 3010 (Australia)

    2016-07-14

    DNA, because of its robustness and dense information storage capability, has been proposed as a potential candidate for next-generation storage media. However, encoding information into the DNA sequence requires molecular synthesis technology, which to date is costly and prone to synthesis errors. Reading the DNA strand information is also complex. Ideally, DNA storage will provide methods for modifying stored information. Here, we conduct a feasibility study investigating the use of the DNA 5-methylcytosine (5mC) methylation state as a molecular memory to store information. We propose a new 1-bit memory device and study, based on the density functional theory and non-equilibrium Green's function method, the feasibility of electrically reading the information. Our results show that changes to methylation states lead to changes in the peak of negative differential resistance which can be used to interrogate memory state. Our work demonstrates a new memory concept based on methylation state which can be beneficial in the design of next generation DNA based molecular electronic memory devices.

  18. Feasibility study of molecular memory device based on DNA using methylation to store information

    International Nuclear Information System (INIS)

    Jiang, Liming; Al-Dirini, Feras; Qiu, Wanzhi; Skafidas, Efstratios; Hossain, Faruque M.; Evans, Robin

    2016-01-01

    DNA, because of its robustness and dense information storage capability, has been proposed as a potential candidate for next-generation storage media. However, encoding information into the DNA sequence requires molecular synthesis technology, which to date is costly and prone to synthesis errors. Reading the DNA strand information is also complex. Ideally, DNA storage will provide methods for modifying stored information. Here, we conduct a feasibility study investigating the use of the DNA 5-methylcytosine (5mC) methylation state as a molecular memory to store information. We propose a new 1-bit memory device and study, based on the density functional theory and non-equilibrium Green's function method, the feasibility of electrically reading the information. Our results show that changes to methylation states lead to changes in the peak of negative differential resistance which can be used to interrogate memory state. Our work demonstrates a new memory concept based on methylation state which can be beneficial in the design of next generation DNA based molecular electronic memory devices.

  19. Developmental Abilities to Form Chunks in Immediate Memory and its Non-Relationship to Span Development

    Directory of Open Access Journals (Sweden)

    Fabien eMathy

    2016-02-01

    Full Text Available Both adults and children --by the time they are two to three years old-- have a general ability to recode information to increase memory efficiency. This paper aims to evaluate the ability of untrained children aged six to ten years old to deploy such a recoding process in immediate memory. A large sample of 374 children were given a task of immediate serial report based on SIMON, a classic memory game made of four colored buttons (red, green, yellow, blue requiring players to reproduce a sequence of colors within which repetitions eventually occur. It was hypothesized that a primitive ability across all ages (since theoretically already available in toddlers to detect redundancies allows the span to increase whenever information can be recoded on the fly. The chunkable condition prompted the formation of chunks based on the perceived structure of color repetition within to-be-recalled sequences of colors. Our result shows a similar linear improvement of memory span with age for both chunkable and non-chunkable conditions. The amount of information retained in immediate memory systematically increased for the groupable sequences across all age groups, independently of the average age-group span that was measured on sequences that contained fewer repetitions. This result shows that chunking gives young children an equal benefit as older children. We discuss the role of recoding in the expansion of capacity in immediate memory and the potential role of data compression in the formation of chunks in long-term memory.

  20. Surface directed phase separation of semiconductor ferroelectric polymer blends and their use in non-volatile memories

    NARCIS (Netherlands)

    Breemen, A.J.J.M. van; Zaba, T.; Khikhlovskyi, V.; Michels, J.; Janssen, R.; Kemerink, M.; Gelinck, G.

    2015-01-01

    The polymer phase separation of P(VDF-TrFE):F8BT blends is studied in detail. Its morphology is key to the operation and performance of memory diodes. In this study, it is demonstrated that it is possible to direct the semiconducting domains of a phase-separating mixture of P(VDF-TrFE) and F8BT in a

  1. Facile fabrication of highly ordered poly(vinylidene fluoride-trifluoroethylene) nanodot arrays for organic ferroelectric memory

    International Nuclear Information System (INIS)

    Fang, Huajing; Yan, Qingfeng; Geng, Chong; Li, Qiang; Chan, Ngai Yui; Au, Kit; Ng, Sheung Mei; Leung, Chi Wah; Wa Chan, Helen Lai; Dai, Jiyan; Yao, Jianjun; Guo, Dong

    2016-01-01

    Nano-patterned ferroelectric materials have attracted significant attention as the presence of two or more thermodynamically equivalent switchable polarization states can be employed in many applications such as non-volatile memory. In this work, a simple and effective approach for fabrication of highly ordered poly(vinylidene fluoride–trifluoroethylene) P(VDF-TrFE) nanodot arrays is demonstrated. By using a soft polydimethylsiloxane mold, we successfully transferred the 2D array pattern from the initial monolayer of colloidal polystyrene nanospheres to the imprinted P(VDF-TrFE) films via nanoimprinting. The existence of a preferred orientation of the copolymer chain after nanoimprinting was confirmed by Fourier transform infrared spectra. Local polarization switching behavior was measured by piezoresponse force microscopy, and each nanodot showed well-formed hysteresis curve and butterfly loop with a coercive field of ∼62.5 MV/m. To illustrate the potential application of these ordered P(VDF-TrFE) nanodot arrays, the writing and reading process as non-volatile memory was demonstrated at a relatively low voltage. As such, our results offer a facile and promising route to produce arrays of ferroelectric polymer nanodots with improved piezoelectric functionality

  2. Facile fabrication of highly ordered poly(vinylidene fluoride-trifluoroethylene) nanodot arrays for organic ferroelectric memory

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Huajing [Department of Applied Physics, The Hong Kong Polytechnic University (PolyU) Hunghom, Kowloon (Hong Kong); Department of Chemistry, Tsinghua University, Beijing 100084 (China); Yan, Qingfeng, E-mail: yanqf@mail.tsinghua.edu.cn, E-mail: jiyan.dai@polyu.edu.hk; Geng, Chong; Li, Qiang [Department of Chemistry, Tsinghua University, Beijing 100084 (China); Chan, Ngai Yui; Au, Kit; Ng, Sheung Mei; Leung, Chi Wah; Wa Chan, Helen Lai; Dai, Jiyan, E-mail: yanqf@mail.tsinghua.edu.cn, E-mail: jiyan.dai@polyu.edu.hk [Department of Applied Physics, The Hong Kong Polytechnic University (PolyU) Hunghom, Kowloon (Hong Kong); Yao, Jianjun [Asylum Research, Oxford Instruments, Shanghai 200233 (China); Guo, Dong [Institute of Acoustics, Chinese Academy of Sciences, Beijing 100190 (China)

    2016-01-07

    Nano-patterned ferroelectric materials have attracted significant attention as the presence of two or more thermodynamically equivalent switchable polarization states can be employed in many applications such as non-volatile memory. In this work, a simple and effective approach for fabrication of highly ordered poly(vinylidene fluoride–trifluoroethylene) P(VDF-TrFE) nanodot arrays is demonstrated. By using a soft polydimethylsiloxane mold, we successfully transferred the 2D array pattern from the initial monolayer of colloidal polystyrene nanospheres to the imprinted P(VDF-TrFE) films via nanoimprinting. The existence of a preferred orientation of the copolymer chain after nanoimprinting was confirmed by Fourier transform infrared spectra. Local polarization switching behavior was measured by piezoresponse force microscopy, and each nanodot showed well-formed hysteresis curve and butterfly loop with a coercive field of ∼62.5 MV/m. To illustrate the potential application of these ordered P(VDF-TrFE) nanodot arrays, the writing and reading process as non-volatile memory was demonstrated at a relatively low voltage. As such, our results offer a facile and promising route to produce arrays of ferroelectric polymer nanodots with improved piezoelectric functionality.

  3. Facile fabrication of highly ordered poly(vinylidene fluoride-trifluoroethylene) nanodot arrays for organic ferroelectric memory

    Science.gov (United States)

    Fang, Huajing; Yan, Qingfeng; Geng, Chong; Chan, Ngai Yui; Au, Kit; Yao, Jianjun; Ng, Sheung Mei; Leung, Chi Wah; Li, Qiang; Guo, Dong; Wa Chan, Helen Lai; Dai, Jiyan

    2016-01-01

    Nano-patterned ferroelectric materials have attracted significant attention as the presence of two or more thermodynamically equivalent switchable polarization states can be employed in many applications such as non-volatile memory. In this work, a simple and effective approach for fabrication of highly ordered poly(vinylidene fluoride-trifluoroethylene) P(VDF-TrFE) nanodot arrays is demonstrated. By using a soft polydimethylsiloxane mold, we successfully transferred the 2D array pattern from the initial monolayer of colloidal polystyrene nanospheres to the imprinted P(VDF-TrFE) films via nanoimprinting. The existence of a preferred orientation of the copolymer chain after nanoimprinting was confirmed by Fourier transform infrared spectra. Local polarization switching behavior was measured by piezoresponse force microscopy, and each nanodot showed well-formed hysteresis curve and butterfly loop with a coercive field of ˜62.5 MV/m. To illustrate the potential application of these ordered P(VDF-TrFE) nanodot arrays, the writing and reading process as non-volatile memory was demonstrated at a relatively low voltage. As such, our results offer a facile and promising route to produce arrays of ferroelectric polymer nanodots with improved piezoelectric functionality.

  4. Financial sector development, economic volatility and shocks in sub-Saharan Africa

    Science.gov (United States)

    Ibrahim, Muazu; Alagidede, Paul

    2017-10-01

    The role of financial sector development in economic volatility has been extensively studied albeit without informative results largely on the failure of extant studies to decompose volatility into its various components. By disaggregating volatility using the spectral approach, this study examines the effect of financial development on volatility components as well as channels through which finance affects volatility in 23 sub-Saharan African countries over the period 1980-2014. Our findings based on the newly developed panel cointegration estimation strategy reveal that while financial development affects business cycle volatility in a non-linear fashion, its effect on long run fluctuation is imaginary. More specifically, well developed financial sectors dampen volatility. Further findings show that while monetary shocks have large magnifying effect on volatility, their effect in the short run is minuscule. The reverse, however, holds for real shocks. The channels of manifestation shows that financial development dampens (magnifies) the effect of real shocks (monetary shocks) on the components of volatility with the dampening effects consistently larger only in the short run. Strengthening financial sector supervision and cross-border oversight may be very crucial in examining the right levels of finance and price stability necessary to falter economic fluctuations.

  5. Odor volatiles associated with microflora in damp ventilated and non-ventilated bin-stored bulk wheat.

    Science.gov (United States)

    Tuma, D; Sinha, R N; Muir, W E; Abramson, D

    1989-05-01

    Western hard red spring wheat, stored at 20 and 25% moisture contents for 10 months during 1985-86, was monitored for biotic and abiotic variables in 10 unheated bins in Winnipeg, Manitoba. The major odor volatiles identified were 3-methyl-1-butanol, 3-octanone and 1-octen-3-ol. The production of these volatiles was associated and correlated with microfloral infection. Ventilation, used for cooling and drying of grain, disrupted microfloral growth patterns and production of volatiles. The highest levels of 3-methyl-1-butanol occurred in 25% moisture content wheat infected with bacteria, Penicillium spp. and Fusarium spp. In non-ventilated (control) bins with 20% moisture content wheat, 3-methyl-1-butanol was correlated with infection by members of the Aspergillus glaucus group and bacteria. In control bins, 1-octen-3-ol production was correlated with infection of wheat of both moisture contents by Penicillium spp. The fungal species, isolated from damp bin-stored wheat and tested for production of odor volatiles on wheat substrate, included Alternaria alternata (Fr.) Keissler, Aspergillus repens (Corda) Saccardo, A. flavus Link ex Fries, A. versicolor (Vuill.) Tiraboschi, Penicillium chrysogenum Thom, P. cyclopium Westling, Fusarium moniliforme Sheldon, F. semitectum (Cooke) Sacc. In the laboratory, fungus-inoculated wheat produced 3-methyl-1-butanol; 3-octanone and 1-octen-3-ol were also produced, but less frequently. Two unidentified bacterial species isolated from damp wheat and inoculated on agar produced 3-methyl-1-butanol.

  6. Silicon spintronics: Progress and challenges

    Energy Technology Data Exchange (ETDEWEB)

    Sverdlov, Viktor; Selberherr, Siegfried, E-mail: Selberherr@TUWien.ac.at

    2015-07-14

    Electron spin attracts much attention as an alternative to the electron charge degree of freedom for low-power reprogrammable logic and non-volatile memory applications. Silicon appears to be the perfect material for spin-driven applications. Recent progress and challenges regarding spin-based devices are reviewed. An order of magnitude enhancement of the electron spin lifetime in silicon thin films by shear strain is predicted and its impact on spin transport in SpinFETs is discussed. A relatively weak coupling between spin and effective electric field in silicon allows magnetoresistance modulation at room temperature, however, for long channel lengths. Due to tunneling magnetoresistance and spin transfer torque effects, a much stronger coupling between the spin (magnetization) orientation and charge current is achieved in magnetic tunnel junctions. Magnetic random access memory (MRAM) built on magnetic tunnel junctions is CMOS compatible and possesses all properties needed for future universal memory. Designs of spin-based non-volatile MRAM cells are presented. By means of micromagnetic simulations it is demonstrated that a substantial reduction of the switching time can be achieved. Finally, it is shown that any two arbitrary memory cells from an MRAM array can be used to perform a logic operation. Thus, an intrinsic non-volatile logic-in-memory architecture can be realized.

  7. Silicon spintronics: Progress and challenges

    International Nuclear Information System (INIS)

    Sverdlov, Viktor; Selberherr, Siegfried

    2015-01-01

    Electron spin attracts much attention as an alternative to the electron charge degree of freedom for low-power reprogrammable logic and non-volatile memory applications. Silicon appears to be the perfect material for spin-driven applications. Recent progress and challenges regarding spin-based devices are reviewed. An order of magnitude enhancement of the electron spin lifetime in silicon thin films by shear strain is predicted and its impact on spin transport in SpinFETs is discussed. A relatively weak coupling between spin and effective electric field in silicon allows magnetoresistance modulation at room temperature, however, for long channel lengths. Due to tunneling magnetoresistance and spin transfer torque effects, a much stronger coupling between the spin (magnetization) orientation and charge current is achieved in magnetic tunnel junctions. Magnetic random access memory (MRAM) built on magnetic tunnel junctions is CMOS compatible and possesses all properties needed for future universal memory. Designs of spin-based non-volatile MRAM cells are presented. By means of micromagnetic simulations it is demonstrated that a substantial reduction of the switching time can be achieved. Finally, it is shown that any two arbitrary memory cells from an MRAM array can be used to perform a logic operation. Thus, an intrinsic non-volatile logic-in-memory architecture can be realized

  8. Investigations concerning the exchange of iodine from non-volatile organic iodine compounds

    International Nuclear Information System (INIS)

    Psarros, N.; Duschner, H.; Molzahn, D.; Schmidt, L.; Heise, S.; Jungclas, H.; Brandt, R.; Patzelt, P.

    1990-10-01

    The iodine produced by nuclear fission is removed during the reprocessing of exhausted nuclear fuel elements by desorption achieving good decontamination factors. Nevertheless the further optimization of the process requires detailed information about the iodine speciation during fuel reprocessing, and about possible reactions. For the study of decomposition reactions of iodo-alcanes, which are built up during the fuel recycling process, we developed a method for the synthesis of labelled iodo-dodecane, which was used as tracer. In order to identify the iodo species in the organic phase of the reprocessing cycle we applied plasma desorption time-of-flight mass spectroscopy. The problem of the volatility of the iodo-compounds in the ultra vacuum of the mass spectrometer was overcome by derivatization of the iodo-alcanes with dithizon, which yielded non-volatile ionic alcyltetrazolium iodides. Beta-spectrometric analysis of the exhaust condensates collected from the organic phase of the WAK reprocessing cycle revealed beside iodine-129 the existence of a low-energetic beta emitter, which has yet to be identified. A literature survey on the topic was also performed. (orig.) With 42 refs., 9 figs [de

  9. Modeling and Forecasting S&P 500 Volatility: Long Memory, Structural Breaks and Nonlinearity

    NARCIS (Netherlands)

    M.P.E. Martens (Martin); D.J.C. van Dijk (Dick); M.D. de Pooter (Michiel)

    2004-01-01

    textabstractThe sum of squared intraday returns provides an unbiased and almost error-free measure of ex-post volatility. In this paper we develop a nonlinear Autoregressive Fractionally Integrated Moving Average (ARFIMA) model for realized volatility, which accommodates level shifts,

  10. Memory-Based Shallow Parsing

    NARCIS (Netherlands)

    Tjong Kim Sang, E.F.

    2002-01-01

    We present memory-based learning approaches to shallow parsing and apply these to five tasks: base noun phrase identification, arbitrary base phrase recognition, clause detection, noun phrase parsing and full parsing. We use feature selection techniques and system combination methods for improving

  11. Implementation of digital equality comparator circuit on memristive memory crossbar array using material implication logic

    Science.gov (United States)

    Haron, Adib; Mahdzair, Fazren; Luqman, Anas; Osman, Nazmie; Junid, Syed Abdul Mutalib Al

    2018-03-01

    One of the most significant constraints of Von Neumann architecture is the limited bandwidth between memory and processor. The cost to move data back and forth between memory and processor is considerably higher than the computation in the processor itself. This architecture significantly impacts the Big Data and data-intensive application such as DNA analysis comparison which spend most of the processing time to move data. Recently, the in-memory processing concept was proposed, which is based on the capability to perform the logic operation on the physical memory structure using a crossbar topology and non-volatile resistive-switching memristor technology. This paper proposes a scheme to map digital equality comparator circuit on memristive memory crossbar array. The 2-bit, 4-bit, 8-bit, 16-bit, 32-bit, and 64-bit of equality comparator circuit are mapped on memristive memory crossbar array by using material implication logic in a sequential and parallel method. The simulation results show that, for the 64-bit word size, the parallel mapping exhibits 2.8× better performance in total execution time than sequential mapping but has a trade-off in terms of energy consumption and area utilization. Meanwhile, the total crossbar area can be reduced by 1.2× for sequential mapping and 1.5× for parallel mapping both by using the overlapping technique.

  12. Prey and Non-prey Arthropods Sharing a Host Plant: Effects on Induced Volatile Emission and Predator Attraction

    Science.gov (United States)

    Hordijk, Cornelis A.; Posthumus, Maarten A.; Dicke, Marcel

    2008-01-01

    It is well established that plants infested with a single herbivore species can attract specific natural enemies through the emission of herbivore-induced volatiles. However, it is less clear what happens when plants are simultaneously attacked by more than one species. We analyzed volatile emissions of lima bean and cucumber plants upon multi-species herbivory by spider mites (Tetranychus urticae) and caterpillars (Spodoptera exigua) in comparison to single-species herbivory. Upon herbivory by single or multiple species, lima bean and cucumber plants emitted volatile blends that comprised mostly the same compounds. To detect additive, synergistic, or antagonistic effects, we compared the multi-species herbivory volatile blend with the sum of the volatile blends induced by each of the herbivore species feeding alone. In lima bean, the majority of compounds were more strongly induced by multi-species herbivory than expected based on the sum of volatile emissions by each of the herbivores separately, potentially caused by synergistic effects. In contrast, in cucumber, two compounds were suppressed by multi-species herbivory, suggesting the potential for antagonistic effects. We also studied the behavioral responses of the predatory mite Phytoseiulus persimilis, a specialized natural enemy of spider mites. Olfactometer experiments showed that P. persimilis preferred volatiles induced by multi-species herbivory to volatiles induced by S. exigua alone or by prey mites alone. We conclude that both lima bean and cucumber plants effectively attract predatory mites upon multi-species herbivory, but the underlying mechanisms appear different between these species. PMID:18185960

  13. Exploring heterogeneous market hypothesis using realized volatility

    Science.gov (United States)

    Chin, Wen Cheong; Isa, Zaidi; Mohd Nor, Abu Hassan Shaari

    2013-04-01

    This study investigates the heterogeneous market hypothesis using high frequency data. The cascaded heterogeneous trading activities with different time durations are modelled by the heterogeneous autoregressive framework. The empirical study indicated the presence of long memory behaviour and predictability elements in the financial time series which supported heterogeneous market hypothesis. Besides the common sum-of-square intraday realized volatility, we also advocated two power variation realized volatilities in forecast evaluation and risk measurement in order to overcome the possible abrupt jumps during the credit crisis. Finally, the empirical results are used in determining the market risk using the value-at-risk approach. The findings of this study have implications for informationally market efficiency analysis, portfolio strategies and risk managements.

  14. How Volatilities Nonlocal in Time Affect the Price Dynamics in Complex Financial Systems

    Science.gov (United States)

    Tan, Lei; Zheng, Bo; Chen, Jun-Jie; Jiang, Xiong-Fei

    2015-01-01

    What is the dominating mechanism of the price dynamics in financial systems is of great interest to scientists. The problem whether and how volatilities affect the price movement draws much attention. Although many efforts have been made, it remains challenging. Physicists usually apply the concepts and methods in statistical physics, such as temporal correlation functions, to study financial dynamics. However, the usual volatility-return correlation function, which is local in time, typically fluctuates around zero. Here we construct dynamic observables nonlocal in time to explore the volatility-return correlation, based on the empirical data of hundreds of individual stocks and 25 stock market indices in different countries. Strikingly, the correlation is discovered to be non-zero, with an amplitude of a few percent and a duration of over two weeks. This result provides compelling evidence that past volatilities nonlocal in time affect future returns. Further, we introduce an agent-based model with a novel mechanism, that is, the asymmetric trading preference in volatile and stable markets, to understand the microscopic origin of the volatility-return correlation nonlocal in time. PMID:25723154

  15. How volatilities nonlocal in time affect the price dynamics in complex financial systems.

    Directory of Open Access Journals (Sweden)

    Lei Tan

    Full Text Available What is the dominating mechanism of the price dynamics in financial systems is of great interest to scientists. The problem whether and how volatilities affect the price movement draws much attention. Although many efforts have been made, it remains challenging. Physicists usually apply the concepts and methods in statistical physics, such as temporal correlation functions, to study financial dynamics. However, the usual volatility-return correlation function, which is local in time, typically fluctuates around zero. Here we construct dynamic observables nonlocal in time to explore the volatility-return correlation, based on the empirical data of hundreds of individual stocks and 25 stock market indices in different countries. Strikingly, the correlation is discovered to be non-zero, with an amplitude of a few percent and a duration of over two weeks. This result provides compelling evidence that past volatilities nonlocal in time affect future returns. Further, we introduce an agent-based model with a novel mechanism, that is, the asymmetric trading preference in volatile and stable markets, to understand the microscopic origin of the volatility-return correlation nonlocal in time.

  16. Music-Based Memory Enhancement in Alzheimer’s Disease: Promise and Limitations

    Science.gov (United States)

    Simmons-Stern, Nicholas R.; Deason, Rebecca G.; Brandler, Brian J.; Frustace, Bruno S.; O’Connor, Maureen K.; Ally, Brandon A.; Budson, Andrew E.

    2012-01-01

    In a previous study (Simmons-Stern, Budson, & Ally 2010), we found that patients with Alzheimer’s disease (AD) better recognized visually presented lyrics when the lyrics were also sung rather than spoken at encoding. The present study sought to further investigate the effects of music on memory in patients with AD by making the content of the song lyrics relevant for the daily life of an older adult and by examining how musical encoding alters several different aspects of episodic memory. Patients with AD and healthy older adults studied visually presented novel song lyrics related to instrumental activities of daily living (IADL) that were accompanied by either a sung or a spoken recording. Overall, participants performed better on a memory test of general lyric content for lyrics that were studied sung as compared to spoken. However, on a memory test of specific lyric content, participants performed equally well for sung and spoken lyrics. We interpret these results in terms of a dual-process model of recognition memory such that the general content questions represent a familiarity-based representation that is preferentially sensitive to enhancement via music, while the specific content questions represent a recollection-based representation unaided by musical encoding. Additionally, in a test of basic recognition memory for the audio stimuli, patients with AD demonstrated equal discrimination for sung and spoken stimuli. We propose that the perceptual distinctiveness of musical stimuli enhanced metamemorial awareness in AD patients via a non-selective distinctiveness heuristic, thereby reducing false recognition while at the same time reducing true recognition and eliminating the mnemonic benefit of music. These results are discussed in the context of potential music-based memory enhancement interventions for the care of patients with AD. PMID:23000133

  17. Review of radiation effects on ReRAM devices and technology

    Science.gov (United States)

    Gonzalez-Velo, Yago; Barnaby, Hugh J.; Kozicki, Michael N.

    2017-08-01

    A review of the ionizing radiation effects on resistive random access memory (ReRAM) technology and devices is presented in this article. The review focuses on vertical devices exhibiting bipolar resistance switching, devices that have already exhibited interesting properties and characteristics for memory applications and, in particular, for non-volatile memory applications. Non-volatile memories are important devices for any type of electronic and embedded system, as they are for space applications. In such applications, specific environmental issues related to the existence of cosmic rays and Van Allen radiation belts around the Earth contribute to specific failure mechanisms related to the energy deposition induced by such ionizing radiation. Such effects are important in non-volatile memory as the current leading technology, i.e. flash-based technology, is sensitive to the total ionizing dose (TID) and single-event effects. New technologies such as ReRAM, if competing with or complementing the existing non-volatile area of memories from the point of view of performance, also have to exhibit great reliability for use in radiation environments such as space. This has driven research on the radiation effects of such ReRAM technology, on both the conductive-bridge RAM as well as the valence-change memories, or OxRAM variants of the technology. Initial characterizations of ReRAM technology showed a high degree of resilience to TID, developing researchers’ interest in characterizing such resilience as well as investigating the cause of such behavior. The state of the art of such research is reviewed in this article.

  18. Thermal effects in magnetoelectric memories with stress-mediated switching

    International Nuclear Information System (INIS)

    Giordano, S; Dusch, Y; Tiercelin, N; Pernod, P; Preobrazhensky, V

    2013-01-01

    Heterostructures with magneto-electro-elastic coupling (e.g. multiferroics) are of paramount importance for developing new sensors, actuators and memories. With the progressive miniaturization of these systems it is necessary to take into account possible thermal effects, which may influence the normal operating regime. As a paradigmatic example we consider a recently introduced non-volatile memory element composed of a magnetostrictive nanoparticle embedded in a piezoelectric matrix. The distributions of the physical fields in this matrix/inclusion configuration are determined by means of the Eshelby theory, the magnetization dynamics is studied through the Landau–Lifshitz–Gilbert formalism, and the statistical mechanics is introduced with the Langevin and Fokker–Planck methodologies. As result of the combination of such techniques we determine the switching time between the states of the memory, the error probability and the energy dissipation of the writing process. They depend on the ratio k B T/v where T is the absolute temperature and v is the volume of the magnetoelastic particle. (paper)

  19. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO2 for non-volatile memory device

    International Nuclear Information System (INIS)

    Stepina, N.P.; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V.

    2008-01-01

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO 2 , have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO 2 /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots

  20. Fabrication of Pb (Zr, Ti) O3 Thin Film for Non-Volatile Memory Device Application

    International Nuclear Information System (INIS)

    Mar Lar Win

    2011-12-01

    Ferroelectric lead zirconate titanate powder was composed of mainly the oxides of titanium, zirconium and lead. PZT powder was firstly prepared by thermal synthesis at different Zr/Ti ratios with various sintering temperatures. PZT thin film was fabricated on SiO2/Si substrate by using thermal evaporation method. Physical and elemental analysis were carried out by using SEM, EDX and XRD The ferroelectric properties and the switching behaviour of the PZT thin films were investigated. The ferroelectric properties and switching properties of the PZT thin film (near morphotropic phase boundary sintered at 800 C) could function as a nonvolatile memory.

  1. Rethinking a Negative Event: The Affective Impact of Ruminative versus Imagery-Based Processing of Aversive Autobiographical Memories

    Directory of Open Access Journals (Sweden)

    Christien Slofstra

    2017-05-01

    Full Text Available IntroductionRuminative (abstract verbal processing during recall of aversive autobiographical memories may serve to dampen their short-term affective impact. Experimental studies indeed demonstrate that verbal processing of non-autobiographical material and positive autobiographical memories evokes weaker affective responses than imagery-based processing. In the current study, we hypothesized that abstract verbal or concrete verbal processing of an aversive autobiographical memory would result in weaker affective responses than imagery-based processing.MethodsThe affective impact of abstract verbal versus concrete verbal versus imagery-based processing during recall of an aversive autobiographical memory was investigated in a non-clinical sample (n = 99 using both an observational and an experimental design. Observationally, it was examined whether spontaneous use of processing modes (both state and trait measures was associated with impact of aversive autobiographical memory recall on negative and positive affect. Experimentally, the causal relation between processing modes and affective impact was investigated by manipulating the processing mode during retrieval of the same aversive autobiographical memory.ResultsMain findings were that higher levels of trait (but not state measures of both ruminative and imagery-based processing and depressive symptomatology were positively correlated with higher levels of negative affective impact in the observational part of the study. In the experimental part, no main effect of processing modes on affective impact of autobiographical memories was found. However, a significant moderating effect of depressive symptomatology was found. Only for individuals with low levels of depressive symptomatology, concrete verbal (but not abstract verbal processing of the aversive autobiographical memory did result in weaker affective responses, compared to imagery-based processing.DiscussionThese results cast doubt

  2. Volatility in Equilibrium

    DEFF Research Database (Denmark)

    Bollerslev, Tim; Sizova, Natalia; Tauchen, George

    Stock market volatility clusters in time, carries a risk premium, is fractionally inte- grated, and exhibits asymmetric leverage effects relative to returns. This paper develops a first internally consistent equilibrium based explanation for these longstanding empirical facts. The model is cast i......, and the dynamic cross-correlations of the volatility measures with the returns calculated from actual high-frequency intra-day data on the S&P 500 aggregate market and VIX volatility indexes....

  3. LDRD Final Report - Investigations of the impact of the process integration of deposited magnetic films for magnetic memory technologies on radiation-hardened CMOS devices and circuits - LDRD Project (FY99)

    Energy Technology Data Exchange (ETDEWEB)

    MYERS,DAVID R.; JESSING,JEFFREY R.; SPAHN,OLGA B.; SHANEYFELT,MARTY R.

    2000-01-01

    This project represented a coordinated LLNL-SNL collaboration to investigate the feasibility of developing radiation-hardened magnetic non-volatile memories using giant magnetoresistance (GMR) materials. The intent of this limited-duration study was to investigate whether giant magnetoresistance (GMR) materials similar to those used for magnetic tunnel junctions (MTJs) were process compatible with functioning CMOS circuits. Sandia's work on this project demonstrated that deposition of GMR materials did not affect the operation nor the radiation hardness of Sandia's rad-hard CMOS technology, nor did the integration of GMR materials and exposure to ionizing radiation affect the magnetic properties of the GMR films. Thus, following deposition of GMR films on rad-hard integrated circuits, both the circuits and the films survived ionizing radiation levels consistent with DOE mission requirements. Furthermore, Sandia developed techniques to pattern deposited GMR films without degrading the completed integrated circuits upon which they were deposited. The present feasibility study demonstrated all the necessary processing elements to allow fabrication of the non-volatile memory elements onto an existing CMOS chip, and even allow the use of embedded (on-chip) non-volatile memories for system-on-a-chip applications, even in demanding radiation environments. However, funding agencies DTRA, AIM, and DARPA did not have any funds available to support the required follow-on technology development projects that would have been required to develop functioning prototype circuits, nor were such funds available from LDRD nor from other DOE program funds.

  4. LDRD Final Report - Investigations of the impact of the process integration of deposited magnetic films for magnetic memory technologies on radiation hardened CMOS devices and circuits - LDRD Project (FY99)

    International Nuclear Information System (INIS)

    Myers, David R.; Jessing, Jeffrey R.; Spahn, Olga B.; Shaneyfelt, Marty R.

    2000-01-01

    This project represented a coordinated LLNL-SNL collaboration to investigate the feasibility of developing radiation-hardened magnetic non-volatile memories using giant magnetoresistance (GMR) materials. The intent of this limited-duration study was to investigate whether giant magnetoresistance (GMR) materials similar to those used for magnetic tunnel junctions (MTJs) were process compatible with functioning CMOS circuits. Sandia's work on this project demonstrated that deposition of GMR materials did not affect the operation nor the radiation hardness of Sandia's rad-hard CMOS technology, nor did the integration of GMR materials and exposure to ionizing radiation affect the magnetic properties of the GMR films. Thus, following deposition of GMR films on rad-hard integrated circuits, both the circuits and the films survived ionizing radiation levels consistent with DOE mission requirements. Furthermore, Sandia developed techniques to pattern deposited GMR films without degrading the completed integrated circuits upon which they were deposited. The present feasibility study demonstrated all the necessary processing elements to allow fabrication of the non-volatile memory elements onto an existing CMOS chip, and even allow the use of embedded (on-chip) non-volatile memories for system-on-a-chip applications, even in demanding radiation environments. However, funding agencies DTRA, AIM, and DARPA did not have any funds available to support the required follow-on technology development projects that would have been required to develop functioning prototype circuits, nor were such funds available from LDRD nor from other DOE program funds

  5. Sensory characteristics and volatile composition of a cereal beverage fermented with Bifidobacterium breve NCIMB 702257.

    Science.gov (United States)

    Salmerón, Ivan; Rozada, Raquel; Thomas, Keith; Ortega-Rivas, Enrique; Pandiella, Severino S

    2014-04-01

    Most of the commercialized lactic acid fermented products are dairy-based. Hence, the development of non-dairy fermented products with probiotic properties draws significant attention within the functional foods industry. The microorganisms used in such products have complex enzyme systems through which they generate diverse metabolites (volatile and non-volatile) that provide significant flavour attributes of importance for fermented foods. The correlation of the volatile flavour compounds of a malt beverage fermented with a Bifidobacterium breve strain with its unique sensory characteristics was performed. The volatile composition analysis exposed the presence of 12 components. Eight of these flavour volatiles were produced through the metabolic activity of the bifidobacteria strain. Notably acetic acid, of reported sour flavour characteristics, exhibited the greatest intensity. Four components of considerable organoleptic characteristics were identified as Maillard-derived products, namely maltol, pyranone, 2 (5H)-furanmethanol and 3-furanmethanol. The sensory evaluation exhibited that the fermented cereal beverage had a sour flavour with mild sweet and malty notes. These results indicate that the volatile compounds identified can be appointed as significant flavour markers of the novel fermented cereal beverage.

  6. Differential effects of stress-induced cortisol responses on recollection and familiarity-based recognition memory.

    Science.gov (United States)

    McCullough, Andrew M; Ritchey, Maureen; Ranganath, Charan; Yonelinas, Andrew

    2015-09-01

    Stress-induced changes in cortisol can impact memory in various ways. However, the precise relationship between cortisol and recognition memory is still poorly understood. For instance, there is reason to believe that stress could differentially affect recollection-based memory, which depends on the hippocampus, and familiarity-based recognition, which can be supported by neocortical areas alone. Accordingly, in the current study we examined the effects of stress-related changes in cortisol on the processes underlying recognition memory. Stress was induced with a cold-pressor test after incidental encoding of emotional and neutral pictures, and recollection and familiarity-based recognition memory were measured one day later. The relationship between stress-induced cortisol responses and recollection was non-monotonic, such that subjects with moderate stress-related increases in cortisol had the highest levels of recollection. In contrast, stress-related cortisol responses were linearly related to increases in familiarity. In addition, measures of cortisol taken at the onset of the experiment showed that individuals with higher levels of pre-learning cortisol had lower levels of both recollection and familiarity. The results are consistent with the proposition that hippocampal-dependent memory processes such as recollection function optimally under moderate levels of stress, whereas more cortically-based processes such as familiarity are enhanced even with higher levels of stress. These results indicate that whether post-encoding stress improves or disrupts recognition memory depends on the specific memory process examined as well as the magnitude of the stress-induced cortisol response. Copyright © 2015 Elsevier Inc. All rights reserved.

  7. Distinctiveness enhances long-term event memory in non-human primates, irrespective of reinforcement.

    Science.gov (United States)

    Lewis, Amy; Call, Josep; Berntsen, Dorthe

    2017-08-01

    Non-human primates are capable of recalling events that occurred as long as 3 years ago, and are able to distinguish between similar events; akin to human memory. In humans, distinctiveness enhances memory for events, however, it is unknown whether the same occurs in non-human primates. As such, we tested three great ape species on their ability to remember an event that varied in distinctiveness. Across three experiments, apes witnessed a baiting event in which one of three identical containers was baited with food. After a delay of 2 weeks, we tested their memory for the location of the baited container. Apes failed to recall the baited container when the event was undistinctive (Experiment 1), but were successful when it was distinctive (Experiment 2), although performance was equally good in a less-distinctive condition. A third experiment (Experiment 3) confirmed that distinctiveness, independent of reinforcement, was a consistent predictor of performance. These findings suggest that distinctiveness may enhance memory for events in non-human primates in the same way as in humans, and provides further evidence of basic similarities between the ways apes and humans remember past events. © 2017 Wiley Periodicals, Inc.

  8. Preparation and characterization of Sb2Se3 devices for memory applications

    Science.gov (United States)

    Shylashree, N.; Uma B., V.; Dhanush, S.; Abachi, Sagar; Nisarga, A.; Aashith, K.; Sangeetha B., G.

    2018-05-01

    In this paper, A phase change material of Sb2Se3 was proposed for non volatile memory application. The thin film device preparation and characterization were carried out. The deposition method used was vapor evaporation technique and a thickness of 180nm was deposited. The switching between the SET and RESET state is shown by the I-V characterization. The change of phase was studied using R-V characterization. Different fundamental modes were also identified using Raman spectroscopy.

  9. Development of laminated nanocomposites on the bases of magnetic and non-magnetic shape memory alloys: Towards new tools for nanotechnology

    International Nuclear Information System (INIS)

    Irzhak, Artemy; Koledov, Viktor; Zakharov, Dmitry; Lebedev, Gor; Mashirov, Alexey; Afonina, Veronika; Akatyeva, Kristina; Kalashnikov, Vladimir; Sitnikov, Nikolay; Tabachkova, Natalia; Shelyakov, Alexander; Shavrov, Vladimir

    2014-01-01

    Highlights: ► New results on the recently developed shape memory nanocomposites are reported. ► Impact of FIB fabrication method on shape memory properties was studied. ► Shape memory effect for Ti 2 NiCu sample prepared by FIB vanishes at thickness between 70 nm and 170 nm. ► Ni 2 MnGa-based magnetic microcomposite was fabricated and tested for the first time. -- Abstract: New composite functional material with shape memory effect (SME) has recently been proposed and tested for actuation on microscale. The composite nanotweezers have been designed and tested in manipulation of nano-objects. This report presents the new experiments on shape memory alloy’s (SMAs) properties on submicron scale of dimensions and the development of the technology of nanomanipulation on their bases. The minimal thickness of shape memory layer that undergoes SME was experimentally estimated for Ti 2 NiCu alloy. Impact of the focused ion beam modification of SMA superficial layer on the shape memory properties of micro-sized samples is discussed. Composite actuator of Ni–Mn–Ga magnetic SMA with the size of 20 × 4 × 2 μm 3 was fabricated for the first time and its thermal actuation was experimentally demonstrated ( (http://www.smwsm.org/microactuators/NiMnGa.html))

  10. Non-pathogenic rhizobacteria interfere with the attraction of parasitoids to aphid-induced plant volatiles via jasmonic acid signalling.

    Science.gov (United States)

    Pineda, Ana; Soler, Roxina; Weldegergis, Berhane T; Shimwela, Mpoki M; VAN Loon, Joop J A; Dicke, Marcel

    2013-02-01

    Beneficial soil-borne microbes, such as mycorrhizal fungi or rhizobacteria, can affect the interactions of plants with aboveground insects at several trophic levels. While the mechanisms of interactions with herbivorous insects, that is, the second trophic level, are starting to be understood, it remains unknown how plants mediate the interactions between soil microbes and carnivorous insects, that is, the third trophic level. Using Arabidopsis thaliana Col-0 and the aphid Myzus persicae, we evaluate here the underlying mechanisms involved in the plant-mediated interaction between the non-pathogenic rhizobacterium Pseudomonas fluorescens and the parasitoid Diaeretiella rapae, by combining ecological, chemical and molecular approaches. Rhizobacterial colonization modifies the composition of the blend of herbivore-induced plant volatiles. The volatile blend from rhizobacteria-treated aphid-infested plants is less attractive to an aphid parasitoid, in terms of both olfactory preference behaviour and oviposition, than the volatile blend from aphid-infested plants without rhizobacteria. Importantly, the effect of rhizobacteria on both the emission of herbivore-induced volatiles and parasitoid response to aphid-infested plants is lost in an Arabidopsis mutant (aos/dde2-2) that is impaired in jasmonic acid production. By modifying the blend of herbivore-induced plant volatiles that depend on the jasmonic acid-signalling pathway, root-colonizing microbes interfere with the attraction of parasitoids of leaf herbivores. © 2012 Blackwell Publishing Ltd.

  11. Magnetoresistive memory in phase-separated La0.5Ca0.5MnO3

    Energy Technology Data Exchange (ETDEWEB)

    Sacanell, J. [Departamento de Fisica, Unidad de Actividad Fisica-Centro Atomico de Constituyentes, CNEA, Av. Gral. Paz 1499, San Martin 1650, Pcia. de Buenos Aires (Argentina)]. E-mail: sacanell@cnea.gov.ar; Parisi, F. [Departamento de Fisica, Unidad de Actividad Fisica-Centro Atomico de Constituyentes, CNEA, Av. Gral. Paz 1499, San Martin 1650, Pcia. de Buenos Aires (Argentina); Levy, P. [Departamento de Fisica, Unidad de Actividad Fisica-Centro Atomico de Constituyentes, CNEA, Av. Gral. Paz 1499, San Martin 1650, Pcia. de Buenos Aires (Argentina); Ghivelder, L. [Instituto de Fisica, UFRJ, Rio de Janeiro (Brazil)

    2004-12-31

    We have studied a non-volatile memory effect in the mixed valent compound La0.5Ca0.5MnO3 induced by magnetic field (H). In a previous work (Phys. Rev. B 65 (2002) 104403), it has been shown that the response of this system upon application of H strongly depends on the temperature range, related to three well-differentiated regimes of phase separation occurring below 220K. In this work we compare memory capabilities of the compound, determined following two different experimental procedures for applying H, namely zero-field cooling and field cooling the sample. These results are analyzed and discussed within the scenario of phase separation.

  12. Magnetoresistive memory in phase-separated La0.5Ca0.5MnO3

    International Nuclear Information System (INIS)

    Sacanell, J.; Parisi, F.; Levy, P.; Ghivelder, L.

    2004-01-01

    We have studied a non-volatile memory effect in the mixed valent compound La0.5Ca0.5MnO3 induced by magnetic field (H). In a previous work (Phys. Rev. B 65 (2002) 104403), it has been shown that the response of this system upon application of H strongly depends on the temperature range, related to three well-differentiated regimes of phase separation occurring below 220K. In this work we compare memory capabilities of the compound, determined following two different experimental procedures for applying H, namely zero-field cooling and field cooling the sample. These results are analyzed and discussed within the scenario of phase separation

  13. Attentional and non-attentional systems in the maintenance of verbal information in working memory: the executive and phonological loops.

    Science.gov (United States)

    Camos, Valérie; Barrouillet, Pierre

    2014-01-01

    Working memory is the structure devoted to the maintenance of information at short term during concurrent processing activities. In this respect, the question regarding the nature of the mechanisms and systems fulfilling this maintenance function is of particular importance and has received various responses in the recent past. In the time-based resource-sharing (TBRS) model, we suggest that only two systems sustain the maintenance of information at the short term, counteracting the deleterious effect of temporal decay and interference. A non-attentional mechanism of verbal rehearsal, similar to the one described by Baddeley in the phonological loop model, uses language processes to reactivate phonological memory traces. Besides this domain-specific mechanism, an executive loop allows the reconstruction of memory traces through an attention-based mechanism of refreshing. The present paper reviews evidence of the involvement of these two independent systems in the maintenance of verbal memory items.

  14. Attentional and non-attentional systems in the maintenance of verbal information in working memory: the executive and phonological loops

    Science.gov (United States)

    Camos, Valérie; Barrouillet, Pierre

    2014-01-01

    Working memory is the structure devoted to the maintenance of information at short term during concurrent processing activities. In this respect, the question regarding the nature of the mechanisms and systems fulfilling this maintenance function is of particular importance and has received various responses in the recent past. In the time-based resource-sharing (TBRS) model, we suggest that only two systems sustain the maintenance of information at the short term, counteracting the deleterious effect of temporal decay and interference. A non-attentional mechanism of verbal rehearsal, similar to the one described by Baddeley in the phonological loop model, uses language processes to reactivate phonological memory traces. Besides this domain-specific mechanism, an executive loop allows the reconstruction of memory traces through an attention-based mechanism of refreshing. The present paper reviews evidence of the involvement of these two independent systems in the maintenance of verbal memory items. PMID:25426049

  15. Attentional and non-attentional systems in the maintenance of verbal information in working memory: the executive and phonological loops.

    Directory of Open Access Journals (Sweden)

    Valerie eCamos

    2014-11-01

    Full Text Available Working memory is the structure devoted to the maintenance of information at short term during concurrent processing activities. In this respect, the question regarding the nature of the mechanisms and systems fulfilling this maintenance function is of particular importance and has received various responses in the recent past. In the time-based resource-sharing model, we suggest that only two systems sustain the maintenance of information at the short term, counteracting the deleterious effect of temporal decay and interference. A non-attentional mechanism of verbal rehearsal, similar to the one described by Baddeley in the phonological loop model, uses language processes to reactivate phonological memory traces. Besides this domain-specific mechanism, an executive loop allows the reconstruction of memory traces through an attention-based mechanism of refreshing. The present paper reviews evidence of the involvement of these two independent systems in the maintenance of verbal memory items.

  16. Glucose but not insulin or insulin resistance is associated with memory performance in middle-aged non-diabetic women : a cross sectional study

    OpenAIRE

    Backeström, Anna; Eriksson, Sture; Nilsson, Lars-Göran; Olsson, Tommy; Rolandsson, Olov

    2015-01-01

    Background: Elevated concentrations of plasma glucose appear to play a role in memory impairment, and it has been suggested that insulin might also have a negative effect on cognitive function. Our aim was to study whether glucose, insulin or insulin resistance are associated with episodic or semantic memory in a non-diabetic and non-demented population.  Methods: We linked and matched two population-based data sets identifying 291 participants (127 men and 164 women, mean age of 50.7 +/- 8.0...

  17. Stock Price Volatility and Role of Dividend Policy: Empirical Evidence from Pakistan

    OpenAIRE

    Shah, Syed Akif; Noreen, Umara

    2016-01-01

    Despite years of empirical research, the linkage between dividend policy and stock price volatility remains controversial among the researchers and scholars. This research endeavors to figure out the relationship between stock price volatility and dividend policy of listed companies in Pakistan. A sample of fifty firms, based upon consistent dividend paying behavior, listed on Karachi Stock Exchange has been selected from non-financial sectors, for the period of 2005 to 2012. Multiple regress...

  18. APE: Authenticated Permutation-Based Encryption for Lightweight Cryptography

    DEFF Research Database (Denmark)

    Andreeva, Elena; Bilgin, Begül; Bogdanov, Andrey

    2015-01-01

    The domain of lightweight cryptography focuses on cryptographic algorithms for extremely constrained devices. It is very costly to avoid nonce reuse in such environments, because this requires either a hardware source of randomness, or non-volatile memory to store a counter. At the same time, a lot...

  19. Evidence for a double dissociation of articulatory rehearsal and non-articulatory maintenance of phonological information in human verbal working memory.

    Science.gov (United States)

    Trost, Sarah; Gruber, Oliver

    2012-01-01

    prefrontal cortex for non-articulatory maintenance of phonological information and, in this way, provide further support for the evolutionary-based functional-neuroanatomical model of human working memory. Copyright © 2012 S. Karger AG, Basel.

  20. Resistive Memory Devices for Radiation Resistant Non-Volatile Memory

    Data.gov (United States)

    National Aeronautics and Space Administration — Ionizing radiation in space can damage electronic equipment, corrupting data and even disabling computers. Radiation resistant (rad hard) strategies must be employed...

  1. Organic Nonvolatile Memory Devices Based on Ferroelectricity

    NARCIS (Netherlands)

    Naber, Ronald C. G.; Asadi, Kamal; Blom, Paul W. M.; de Leeuw, Dago M.; de Boer, Bert

    2010-01-01

    A memory functionality is a prerequisite for many applications of electronic devices. Organic nonvolatile memory devices based on ferroelectricity are a promising approach toward the development of a low-cost memory technology. In this Review Article we discuss the latest developments in this area

  2. Organic nonvolatile memory devices based on ferroelectricity

    NARCIS (Netherlands)

    Naber, R.C.G.; Asadi, K.; Blom, P.W.M.; Leeuw, D.M. de; Boer, B. de

    2010-01-01

    A memory functionality is a prerequisite for many applications of electronic devices. Organic nonvolatile memory devices based on ferroelectricity are a promising approach toward the development of a low-cost memory technology. In this Review Article we discuss the latest developments in this area

  3. Alternative Asymmetric Stochastic Volatility Models

    NARCIS (Netherlands)

    M. Asai (Manabu); M.J. McAleer (Michael)

    2010-01-01

    textabstractThe stochastic volatility model usually incorporates asymmetric effects by introducing the negative correlation between the innovations in returns and volatility. In this paper, we propose a new asymmetric stochastic volatility model, based on the leverage and size effects. The model is

  4. Fabrication and electrical characterization of a MOS memory device containing self-assembled metallic nanoparticles

    Science.gov (United States)

    Sargentis, Ch.; Giannakopoulos, K.; Travlos, A.; Tsamakis, D.

    2007-04-01

    Floating gate devices with nanoparticles embedded in dielectrics have recently attracted much attention due to the fact that these devices operate as non-volatile memories with high speed, high density and low power consumption. In this paper, memory devices containing gold (Au) nanoparticles have been fabricated using e-gun evaporation. The Au nanoparticles are deposited on a very thin SiO 2 layer and are then fully covered by a HfO 2 layer. The HfO 2 is a high- k dielectric and gives good scalability to the fabricated devices. We studied the effect of the deposition parameters to the size and the shape of the Au nanoparticles using capacitance-voltage and conductance-voltage measurements, we demonstrated that the fabricated device can indeed operate as a low-voltage memory device.

  5. Seasonal variation and volatility of ultra-fine particles in coastal Antarctic troposphere

    Directory of Open Access Journals (Sweden)

    Keiichiro Hara

    2010-12-01

    Full Text Available The Size distribution and volatility of ultrafine aerosol particles were measured at Syowa Station during the 46-47 Japanese Antarctic Research Expeditions. During the summer, most of the ultrafine particles were volatile particles, which were composed of H_2SO_4, CH_3SO_3H and sulfates bi-sulfates. The abundance of non-volatile particles was ~ 20% during the summer, increasing to>90% in winter-spring. Non-volatile particles in winter were dominantly sea-salt particles. Some ultrafine sea-salt particles might be released from sea-ice. When air mass was transported from the free troposphere over the Antarctic continent, the abundance of non-volatile particles dropped to<30% even in winter.

  6. Development of laminated nanocomposites on the bases of magnetic and non-magnetic shape memory alloys: Towards new tools for nanotechnology

    Energy Technology Data Exchange (ETDEWEB)

    Irzhak, Artemy [National University of Science and Technology “MISiS”, Moscow (Russian Federation); Koledov, Viktor [Kotelnikov Institute of Radioengineering and Electronics of the Russian Academy of Sciences, Moscow (Russian Federation); Zakharov, Dmitry, E-mail: dmitry.zakharov@misis.ru [National University of Science and Technology “MISiS”, Moscow (Russian Federation); Lebedev, Gor [National University of Science and Technology “MISiS”, Moscow (Russian Federation); Mashirov, Alexey; Afonina, Veronika; Akatyeva, Kristina; Kalashnikov, Vladimir [Kotelnikov Institute of Radioengineering and Electronics of the Russian Academy of Sciences, Moscow (Russian Federation); Sitnikov, Nikolay [National Research Nuclear University “MEPhI”, Moscow (Russian Federation); Tabachkova, Natalia [National University of Science and Technology “MISiS”, Moscow (Russian Federation); Shelyakov, Alexander [National Research Nuclear University “MEPhI”, Moscow (Russian Federation); Shavrov, Vladimir [Kotelnikov Institute of Radioengineering and Electronics of the Russian Academy of Sciences, Moscow (Russian Federation)

    2014-02-15

    Highlights: ► New results on the recently developed shape memory nanocomposites are reported. ► Impact of FIB fabrication method on shape memory properties was studied. ► Shape memory effect for Ti{sub 2}NiCu sample prepared by FIB vanishes at thickness between 70 nm and 170 nm. ► Ni{sub 2}MnGa-based magnetic microcomposite was fabricated and tested for the first time. -- Abstract: New composite functional material with shape memory effect (SME) has recently been proposed and tested for actuation on microscale. The composite nanotweezers have been designed and tested in manipulation of nano-objects. This report presents the new experiments on shape memory alloy’s (SMAs) properties on submicron scale of dimensions and the development of the technology of nanomanipulation on their bases. The minimal thickness of shape memory layer that undergoes SME was experimentally estimated for Ti{sub 2}NiCu alloy. Impact of the focused ion beam modification of SMA superficial layer on the shape memory properties of micro-sized samples is discussed. Composite actuator of Ni–Mn–Ga magnetic SMA with the size of 20 × 4 × 2 μm{sup 3} was fabricated for the first time and its thermal actuation was experimentally demonstrated ( (http://www.smwsm.org/microactuators/NiMnGa.html))

  7. Living Memorials: Understanding the Social Meanings of Community-Based Memorials to September 11, 2001

    Science.gov (United States)

    Erika S. Svendsen; Lindsay K. Campbell

    2010-01-01

    Living memorials are landscaped spaces created by people to memorialize individuals, places, and events. Hundreds of stewardship groups across the United States of America created living memorials in response to the September 11, 2001 terrorist attacks. This study sought to understand how stewards value, use, and talk about their living, community-based memorials....

  8. Fabrication of Nano-Crossbar Resistive Switching Memory Based on the Copper-Tantalum Pentoxide-Platinum Device Structure

    Science.gov (United States)

    Olga Gneri, Paula; Jardim, Marcos

    Resistive switching memory has been of interest lately not only for its simple metal-insulator-metal (MIM) structure but also for its promising ease of scalability an integration into current CMOS technologies like the Field Programmable Gate Arrays and other non-volatile memory applications. There are several resistive switching MIM combinations but under this scope of research, attention will be paid to the bipolar resistive switching characteristics and fabrication of Tantalum Pentaoxide sandwiched between platinum and copper. By changing the polarity of the voltage bias, this metal-insulator-metal (MIM) device can be switched between a high resistive state (OFF) and low resistive state (ON). The change in states is induced by an electrochemical metallization process, which causes a formation or dissolution of Cu metal filamentary paths in the Tantalum Pentaoxide insulator. There is very little thorough experimental information about the Cu-Ta 2O5-Pt switching characteristics when scaled to nanometer dimensions. In this light, the MIM structure was fabricated in a two-dimensional crossbar format. Also, with the limited available resources, a multi-spacer technique was formulated to localize the active device area in this MIM configuration to less than 20nm. This step is important in understanding the switching characteristics and reliability of this structure when scaled to nanometer dimensions.

  9. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    Science.gov (United States)

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, H. N.

    2012-06-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin film transistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectric transistors, which is very promising for low-power non-volatile memory applications.

  10. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    KAUST Repository

    Nayak, Pradipta K.

    2012-06-22

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin filmtransistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectrictransistors, which is very promising for low-power non-volatile memory applications.

  11. Volatility Forecast in Crises and Expansions

    Directory of Open Access Journals (Sweden)

    Sergii Pypko

    2015-08-01

    Full Text Available We build a discrete-time non-linear model for volatility forecasting purposes. This model belongs to the class of threshold-autoregressive models, where changes in regimes are governed by past returns. The ability to capture changes in volatility regimes and using more accurate volatility measures allow outperforming other benchmark models, such as linear heterogeneous autoregressive model and GARCH specifications. Finally, we show how to derive closed-form expression for multiple-step-ahead forecasting by exploiting information about the conditional distribution of returns.

  12. Controlling the volatility of the written optical state in electrochromic DNA liquid crystals

    Science.gov (United States)

    Liu, Kai; Varghese, Justin; Gerasimov, Jennifer Y.; Polyakov, Alexey O.; Shuai, Min; Su, Juanjuan; Chen, Dong; Zajaczkowski, Wojciech; Marcozzi, Alessio; Pisula, Wojciech; Noheda, Beatriz; Palstra, Thomas T. M.; Clark, Noel A.; Herrmann, Andreas

    2016-05-01

    Liquid crystals are widely used in displays for portable electronic information display. To broaden their scope for other applications like smart windows and tags, new material properties such as polarizer-free operation and tunable memory of a written state become important. Here, we describe an anhydrous nanoDNA-surfactant thermotropic liquid crystal system, which exhibits distinctive electrically controlled optical absorption, and temperature-dependent memory. In the liquid crystal isotropic phase, electric field-induced colouration and bleaching have a switching time of seconds. Upon transition to the smectic liquid crystal phase, optical memory of the written state is observed for many hours without applied voltage. The reorientation of the DNA-surfactant lamellar layers plays an important role in preventing colour decay. Thereby, the volatility of optoelectronic state can be controlled simply by changing the phase of the material. This research may pave the way for developing a new generation of DNA-based, phase-modulated, photoelectronic devices.

  13. Memory and pressure studies in Na{sub x}CoO{sub 2} cobaltites

    Energy Technology Data Exchange (ETDEWEB)

    Garbarino, G; Bouvier, P; Crichton, W A; Mezouar, M [European Synchrotron Radiation Facility, Grenoble (France); Regueiro, M Nunez; Lejay, P [MCBT, Institut Neel, Grenoble (France); Armand, M [LRCS, Universite Picardie Jules-Verne Amiens, Amiens (France); Foo, M L; Cava, R J, E-mail: gaston.garbarino@esrf.f [Department of Chemistry and Materials Institute, Princeton University, New Jersey (United States)

    2009-03-01

    We present a detailed study on the memory effect results in Na{sub 0.5} paragraph 5CoO{sub 2} single crystals. We analyze the temperature dependence of the nonvolatile current-pulse-induced resistance memory state. These results allow us to have more insight in the mobility of Na{sup +} ions induced by current and their effect on the memory effect. We also developed X-ray diffraction studies under pressure at ambient temperature in the N{sub a0.5}CoO{sub 2} powder compound. An orthorhombic to hexagonal phase transition was observed at 9GPa. This transition can be explained taking into account the Na ions displacement between two allowed positions. These structural results allow us to confirm that the non-volatile resistive commutation can be interpreted by the displacement of the Na ions induced by the current pulses.

  14. Optimizing Memory Usage in L4-Based Microkernel

    OpenAIRE

    Petre Eftime; Lucian Mogoşanu; Mihai Carabaş; Răzvan Deaconescu; Laura Gheorghe; Valentin Gabriel Voiculescu

    2017-01-01

    Memory allocation is a critical aspect of any modern operating system kernel because it must run continuously for long periods of time, therefore memory leaks and inefficiency must be eliminated. This paper presents different memory management algorithms and their aplicability to an L4-based microkernel. We aim to reduce memory usage and increase the performance of allocation and deallocation of memory.

  15. Arsenic volatilization in model anaerobic biogas digesters

    International Nuclear Information System (INIS)

    Mestrot, Adrien; Xie, Wan-Ying; Xue, Ximei; Zhu, Yong-Guan

    2013-01-01

    Highlights: • Arsenic is volatilized form all model anaerobic digesters, including the non-treated ones. • Volatile As species can be identified and quantified in all digesters. • Non-arsenic treated digesters volatilization rates are higher than Roxarsone treated ones. - Abstract: Arsenic is a class 1 non-threshold carcinogen which is highly ubiquitous. Arsenic undergoes many different transformations (biotic or abiotic) between and within environmental compartments, leading to a number of different chemical species possessing different properties and toxicities. One specific transformation is As biotic volatilization which is coupled with As biomethylation and has been scarcely studied due to inherent sampling issues. Arsenic methylation/volatilization is also linked with methanogenesis and occurs in anaerobic environments. In China, rice straw and animal manure are very often used to produce biogas and both can contain high amounts of As, especially if the rice is grown in areas with heavy mining or smelting industries and if Roxarsone is fed to the animals. Roxarsone is an As-containing drug which is widely used in China to control coccidian intestinal parasites, to improve feed efficiency and to promote rapid growth. Previous work has shown that this compound degrades to inorganic As under anaerobic conditions. In this study the focus is on biotic transformations of As in small microcosms designed as biogas digester models (BDMs) using recently validated As traps, thus, enabling direct quantification and identification of volatile As species. It is shown that although there was a loss of soluble As in the BDMs, their conditions favored biomethylation. All reactors produced volatile As, especially the monomethylarsonic acid spiked ones with 413 ± 148 ng As (mean ± SD, n = 3) which suggest that the first methylation step, from inorganic As, is a limiting factor. The most abundant species was trimethylarsine, but the toxic arsine was present in the

  16. Dispersive liquid-liquid microextraction and gas chromatography accurate mass spectrometry for extraction and non-targeted profiling of volatile and semi-volatile compounds in grape marc distillates.

    Science.gov (United States)

    Fontana, Ariel; Rodríguez, Isaac; Cela, Rafael

    2018-04-20

    The suitability of dispersive liquid-liquid microextraction (DLLME) and gas chromatography accurate mass spectrometry (GC-MS), based on a time-of-flight (TOF) MS analyzer and using electron ionization (EI), for the characterization of volatile and semi-volatile profiles of grape marc distillates (grappa) are evaluated. DLLME conditions are optimized with a selection of compounds, from different chemical families, present in the distillate spirit. Under final working conditions, 2.5 mL of sample and 0.5 mL of organic solvents are consumed in the sample preparation process. The absolute extraction efficiencies ranged from 30 to 100%, depending on the compound. For the same sample volume, DLLME provided higher responses than solid-phase microextraction (SPME) for most of the model compounds. The GC-EI-TOF-MS records of grappa samples were processed using a data mining non-targeted search algorithm. In this way, chromatographic peaks and accurate EI-MS spectra of sample components were linked. The identities of more than 140 of these components are proposed from comparison of their accurate spectra with those in a low resolution EI-MS database, accurate masses of most intense fragment ions of known structure, and available chromatographic retention index. The use of chromatographic and spectral data, associated to the set of components mined from different grappa samples, for multivariate analysis purposes is also illustrated in the study. Copyright © 2018 Elsevier B.V. All rights reserved.

  17. Ketamine alters lateral prefrontal oscillations in a rule-based working memory task.

    Science.gov (United States)

    Ma, Liya; Skoblenick, Kevin; Johnston, Kevin; Everling, Stefan

    2018-02-02

    Acute administration of N-methyl-D-aspartate receptor (NMDAR) antagonists in healthy humans and animals produces working memory deficits similar to those observed in schizophrenia. However, it is unclear whether they also lead to altered low-frequency (rule-based prosaccade and antisaccade working memory task, both before and after systemic injections of a subanesthetic dose (delay periods and inter-trial intervals. It also increased task-related alpha-band activities, likely reflecting compromised attention. Beta-band oscillations may be especially relevant to working memory processes, as stronger beta power weakly but significantly predicted shorter saccadic reaction time. Also in beta band, ketamine reduced the performance-related oscillation as well as the rule information encoded in the spectral power. Ketamine also reduced rule information in the spike-field phase consistency in almost all frequencies up to 60Hz. Our findings support NMDAR antagonists in non-human primates as a meaningful model for altered neural oscillations and synchrony, which reflect a disorganized network underlying the working memory deficits in schizophrenia. SIGNIFICANCE STATEMENT Low doses of ketamine-an NMDA receptor blocker-produce working memory deficits similar to those observed in schizophrenia. In the LPFC, a key brain region for working memory, we found that ketamine altered neural oscillatory activities in similar ways that differentiate schizophrenic patients and healthy subjects, during both task and non-task periods. Ketamine induced stronger gamma (30-60Hz) and weaker beta (13-30Hz) oscillations, reflecting local hyperactivity and reduced long-range communications. Furthermore, ketamine reduced performance-related oscillatory activities, as well as the rule information encoded in the oscillations and in the synchrony between single cell activities and oscillations. The ketamine model helps link the molecular and cellular basis of neural oscillatory changes to the working

  18. Reactivation in working memory: an attractor network model of free recall.

    Science.gov (United States)

    Lansner, Anders; Marklund, Petter; Sikström, Sverker; Nilsson, Lars-Göran

    2013-01-01

    The dynamic nature of human working memory, the general-purpose system for processing continuous input, while keeping no longer externally available information active in the background, is well captured in immediate free recall of supraspan word-lists. Free recall tasks produce several benchmark memory phenomena, like the U-shaped serial position curve, reflecting enhanced memory for early and late list items. To account for empirical data, including primacy and recency as well as contiguity effects, we propose here a neurobiologically based neural network model that unifies short- and long-term forms of memory and challenges both the standard view of working memory as persistent activity and dual-store accounts of free recall. Rapidly expressed and volatile synaptic plasticity, modulated intrinsic excitability, and spike-frequency adaptation are suggested as key cellular mechanisms underlying working memory encoding, reactivation and recall. Recent findings on the synaptic and molecular mechanisms behind early LTP and on spiking activity during delayed-match-to-sample tasks support this view.

  19. Reactivation in working memory: an attractor network model of free recall.

    Directory of Open Access Journals (Sweden)

    Anders Lansner

    Full Text Available The dynamic nature of human working memory, the general-purpose system for processing continuous input, while keeping no longer externally available information active in the background, is well captured in immediate free recall of supraspan word-lists. Free recall tasks produce several benchmark memory phenomena, like the U-shaped serial position curve, reflecting enhanced memory for early and late list items. To account for empirical data, including primacy and recency as well as contiguity effects, we propose here a neurobiologically based neural network model that unifies short- and long-term forms of memory and challenges both the standard view of working memory as persistent activity and dual-store accounts of free recall. Rapidly expressed and volatile synaptic plasticity, modulated intrinsic excitability, and spike-frequency adaptation are suggested as key cellular mechanisms underlying working memory encoding, reactivation and recall. Recent findings on the synaptic and molecular mechanisms behind early LTP and on spiking activity during delayed-match-to-sample tasks support this view.

  20. Reactivation in Working Memory: An Attractor Network Model of Free Recall

    Science.gov (United States)

    Lansner, Anders; Marklund, Petter; Sikström, Sverker; Nilsson, Lars-Göran

    2013-01-01

    The dynamic nature of human working memory, the general-purpose system for processing continuous input, while keeping no longer externally available information active in the background, is well captured in immediate free recall of supraspan word-lists. Free recall tasks produce several benchmark memory phenomena, like the U-shaped serial position curve, reflecting enhanced memory for early and late list items. To account for empirical data, including primacy and recency as well as contiguity effects, we propose here a neurobiologically based neural network model that unifies short- and long-term forms of memory and challenges both the standard view of working memory as persistent activity and dual-store accounts of free recall. Rapidly expressed and volatile synaptic plasticity, modulated intrinsic excitability, and spike-frequency adaptation are suggested as key cellular mechanisms underlying working memory encoding, reactivation and recall. Recent findings on the synaptic and molecular mechanisms behind early LTP and on spiking activity during delayed-match-to-sample tasks support this view. PMID:24023690

  1. The Development of Time-Based Prospective Memory in Childhood: The Role of Working Memory Updating

    Science.gov (United States)

    Voigt, Babett; Mahy, Caitlin E. V.; Ellis, Judi; Schnitzspahn, Katharina; Krause, Ivonne; Altgassen, Mareike; Kliegel, Matthias

    2014-01-01

    This large-scale study examined the development of time-based prospective memory (PM) across childhood and the roles that working memory updating and time monitoring play in driving age effects in PM performance. One hundred and ninety-seven children aged 5 to 14 years completed a time-based PM task where working memory updating load was…

  2. Isolation of volatile compounds of Aloe excelsa (Berger ...

    African Journals Online (AJOL)

    PROMOTING ACCESS TO AFRICAN RESEARCH ... Industrial and pharmacological applications of volatile and non-volatile compounds isolated ... Three commercially important compounds, namely: phenylacetonitrile, carvone and limonene were identified using gas ... EMAIL FREE FULL TEXT EMAIL FREE FULL TEXT

  3. Integration of organic based Schottky junctions for crossbar non-volatile memory applications

    DEFF Research Database (Denmark)

    Katsia, E.; Tallarida, G.; Ferrari, S.

    2008-01-01

    Small size Schottky junctions using two different synthesized organic semiconductors (oligophenylene-vinylenes) were integrated by standard UV lithography into crossbar arrays. The proposed integration scheme can be applied to a wide class of organics without affecting material properties. Current...

  4. Dissociation of neural correlates of verbal and non-verbal visual working memory with different delays

    Directory of Open Access Journals (Sweden)

    Endestad Tor

    2007-10-01

    Full Text Available Abstract Background Dorsolateral prefrontal cortex (DLPFC, posterior parietal cortex, and regions in the occipital cortex have been identified as neural sites for visual working memory (WM. The exact involvement of the DLPFC in verbal and non-verbal working memory processes, and how these processes depend on the time-span for retention, remains disputed. Methods We used functional MRI to explore the neural correlates of the delayed discrimination of Gabor stimuli differing in orientation. Twelve subjects were instructed to code the relative orientation either verbally or non-verbally with memory delays of short (2 s or long (8 s duration. Results Blood-oxygen level dependent (BOLD 3-Tesla fMRI revealed significantly more activity for the short verbal condition compared to the short non-verbal condition in bilateral superior temporal gyrus, insula and supramarginal gyrus. Activity in the long verbal condition was greater than in the long non-verbal condition in left language-associated areas (STG and bilateral posterior parietal areas, including precuneus. Interestingly, right DLPFC and bilateral superior frontal gyrus was more active in the non-verbal long delay condition than in the long verbal condition. Conclusion The results point to a dissociation between the cortical sites involved in verbal and non-verbal WM for long and short delays. Right DLPFC seems to be engaged in non-verbal WM tasks especially for long delays. Furthermore, the results indicate that even slightly different memory maintenance intervals engage largely differing networks and that this novel finding may explain differing results in previous verbal/non-verbal WM studies.

  5. Alternans by non-monotonic conduction velocity restitution, bistability and memory

    International Nuclear Information System (INIS)

    Kim, Tae Yun; Hong, Jin Hee; Heo, Ryoun; Lee, Kyoung J

    2013-01-01

    Conduction velocity (CV) restitution is a key property that characterizes any medium supporting traveling waves. It reflects not only the dynamics of the individual constituents but also the coupling mechanism that mediates their interaction. Recent studies have suggested that cardiac tissues, which have a non-monotonic CV-restitution property, can support alternans, a period-2 oscillatory response of periodically paced cardiac tissue. This study finds that single-hump, non-monotonic, CV-restitution curves are a common feature of in vitro cultures of rat cardiac cells. We also find that the Fenton–Karma model, one of the well-established mathematical models of cardiac tissue, supports a very similar non-monotonic CV restitution in a physiologically relevant parameter regime. Surprisingly, the mathematical model as well as the cell cultures support bistability and show cardiac memory that tends to work against the generation of an alternans. Bistability was realized by adopting two different stimulation protocols, ‘S1S2’, which produces a period-1 wave train, and ‘alternans-pacing’, which favors a concordant alternans. Thus, we conclude that the single-hump non-monotonicity in the CV-restitution curve is not sufficient to guarantee a cardiac alternans, since cardiac memory interferes and the way the system is paced matters. (paper)

  6. No Evidence for Improved Associative Memory Performance Following Process-Based Associative Memory Training in Older Adults.

    Science.gov (United States)

    Bellander, Martin; Eschen, Anne; Lövdén, Martin; Martin, Mike; Bäckman, Lars; Brehmer, Yvonne

    2016-01-01

    Studies attempting to improve episodic memory performance with strategy instructions and training have had limited success in older adults: their training gains are limited in comparison to those of younger adults and do not generalize to untrained tasks and contexts. This limited success has been partly attributed to age-related impairments in associative binding of information into coherent episodes. We therefore investigated potential training and transfer effects of process-based associative memory training (i.e., repeated practice). Thirty-nine older adults ( M age = 68.8) underwent 6 weeks of either adaptive associative memory training or item recognition training. Both groups improved performance in item memory, spatial memory (object-context binding) and reasoning. A disproportionate effect of associative memory training was only observed for item memory, whereas no training-related performance changes were observed for associative memory. Self-reported strategies showed no signs of spontaneous development of memory-enhancing associative memory strategies. Hence, the results do not support the hypothesis that process-based associative memory training leads to higher associative memory performance in older adults.

  7. Evolution of non-speech sound memory in postlingual deafness: implications for cochlear implant rehabilitation.

    Science.gov (United States)

    Lazard, D S; Giraud, A L; Truy, E; Lee, H J

    2011-07-01

    Neurofunctional patterns assessed before or after cochlear implantation (CI) are informative markers of implantation outcome. Because phonological memory reorganization in post-lingual deafness is predictive of the outcome, we investigated, using a cross-sectional approach, whether memory of non-speech sounds (NSS) produced by animals or objects (i.e. non-human sounds) is also reorganized, and how this relates to speech perception after CI. We used an fMRI auditory imagery task in which sounds were evoked by pictures of noisy items for post-lingual deaf candidates for CI and for normal-hearing subjects. When deaf subjects imagined sounds, the left inferior frontal gyrus, the right posterior temporal gyrus and the right amygdala were less activated compared to controls. Activity levels in these regions decreased with duration of auditory deprivation, indicating declining NSS representations. Whole brain correlations with duration of auditory deprivation and with speech scores after CI showed an activity decline in dorsal, fronto-parietal, cortical regions, and an activity increase in ventral cortical regions, the right anterior temporal pole and the hippocampal gyrus. Both dorsal and ventral reorganizations predicted poor speech perception outcome after CI. These results suggest that post-CI speech perception relies, at least partially, on the integrity of a neural system used for processing NSS that is based on audio-visual and articulatory mapping processes. When this neural system is reorganized, post-lingual deaf subjects resort to inefficient semantic- and memory-based strategies. These results complement those of other studies on speech processing, suggesting that both speech and NSS representations need to be maintained during deafness to ensure the success of CI. Copyright © 2011 Elsevier Ltd. All rights reserved.

  8. Sleep Deprivation and Time-Based Prospective Memory.

    Science.gov (United States)

    Esposito, Maria José; Occhionero, Miranda; Cicogna, PierCarla

    2015-11-01

    To evaluate the effect of sleep deprivation on time-based prospective memory performance, that is, realizing delayed intentions at an appropriate time in the future (e.g., to take a medicine in 30 minutes). Between-subjects experimental design. The experimental group underwent 24 h of total sleep deprivation, and the control group had a regular sleep-wake cycle. Participants were tested at 08:00. Laboratory. Fifty healthy young adults (mean age 22 ± 2.1, 31 female). 24 h of total sleep deprivation. Participants were monitored by wrist actigraphy for 3 days before the experimental session. The following cognitive tasks were administered: one time-based prospective memory task and 3 reasoning tasks as ongoing activity. Objective and subjective vigilance was assessed by the psychomotor vigilance task and a visual analog scale, respectively. To measure the time-based prospective memory task we assessed compliance and clock checking behavior (time monitoring). Sleep deprivation negatively affected time-based prospective memory compliance (P sleep deprivation on human behavior, particularly the ability to perform an intended action after a few minutes. Sleep deprivation strongly compromises time-based prospective memory compliance but does not affect time check frequency. Sleep deprivation may impair the mechanism that allows the integration of information related to time monitoring with the prospective intention. © 2015 Associated Professional Sleep Societies, LLC.

  9. Tomato Infection by Whitefly-Transmitted Circulative and Non-Circulative Viruses Induce Contrasting Changes in Plant Volatiles and Vector Behaviour.

    Science.gov (United States)

    Fereres, Alberto; Peñaflor, Maria Fernanda G V; Favaro, Carla F; Azevedo, Kamila E X; Landi, Carolina H; Maluta, Nathalie K P; Bento, José Mauricio S; Lopes, Joao R S

    2016-08-11

    Virus infection frequently modifies plant phenotypes, leading to changes in behaviour and performance of their insect vectors in a way that transmission is enhanced, although this may not always be the case. Here, we investigated Bemisia tabaci response to tomato plants infected by Tomato chlorosis virus (ToCV), a non-circulative-transmitted crinivirus, and Tomato severe rugose virus (ToSRV), a circulative-transmitted begomovirus. Moreover, we examined the role of visual and olfactory cues in host plant selection by both viruliferous and non-viruliferous B. tabaci. Visual cues alone were assessed as targets for whitefly landing by placing leaves underneath a Plexiglas plate. A dual-choice arena was used to assess whitefly response to virus-infected and mock-inoculated tomato leaves under light and dark conditions. Thereafter, we tested the whitefly response to volatiles using an active air-flow Y-tube olfactometer, and chemically characterized the blends using gas chromatography coupled to mass spectrometry. Visual stimuli tests showed that whiteflies, irrespective of their infectious status, always preferred to land on virus-infected rather than on mock-inoculated leaves. Furthermore, whiteflies had no preference for either virus-infected or mock-inoculated leaves under dark conditions, but preferred virus-infected leaves in the presence of light. ToSRV-infection promoted a sharp decline in the concentration of some tomato volatiles, while an increase in the emission of some terpenes after ToCV infection was found. ToSRV-viruliferous whiteflies preferred volatiles emitted from mock-inoculated plants, a conducive behaviour to enhance virus spread, while volatiles from ToCV-infected plants were avoided by non-viruliferous whiteflies, a behaviour that is likely detrimental to the secondary spread of the virus. In conclusion, the circulative persistent begomovirus, ToSRV, seems to have evolved together with its vector B. tabaci to optimise its own spread. However

  10. Tomato Infection by Whitefly-Transmitted Circulative and Non-Circulative Viruses Induce Contrasting Changes in Plant Volatiles and Vector Behaviour

    Directory of Open Access Journals (Sweden)

    Alberto Fereres

    2016-08-01

    Full Text Available Virus infection frequently modifies plant phenotypes, leading to changes in behaviour and performance of their insect vectors in a way that transmission is enhanced, although this may not always be the case. Here, we investigated Bemisia tabaci response to tomato plants infected by Tomato chlorosis virus (ToCV, a non-circulative-transmitted crinivirus, and Tomato severe rugose virus (ToSRV, a circulative-transmitted begomovirus. Moreover, we examined the role of visual and olfactory cues in host plant selection by both viruliferous and non-viruliferous B. tabaci. Visual cues alone were assessed as targets for whitefly landing by placing leaves underneath a Plexiglas plate. A dual-choice arena was used to assess whitefly response to virus-infected and mock-inoculated tomato leaves under light and dark conditions. Thereafter, we tested the whitefly response to volatiles using an active air-flow Y-tube olfactometer, and chemically characterized the blends using gas chromatography coupled to mass spectrometry. Visual stimuli tests showed that whiteflies, irrespective of their infectious status, always preferred to land on virus-infected rather than on mock-inoculated leaves. Furthermore, whiteflies had no preference for either virus-infected or mock-inoculated leaves under dark conditions, but preferred virus-infected leaves in the presence of light. ToSRV-infection promoted a sharp decline in the concentration of some tomato volatiles, while an increase in the emission of some terpenes after ToCV infection was found. ToSRV-viruliferous whiteflies preferred volatiles emitted from mock-inoculated plants, a conducive behaviour to enhance virus spread, while volatiles from ToCV-infected plants were avoided by non-viruliferous whiteflies, a behaviour that is likely detrimental to the secondary spread of the virus. In conclusion, the circulative persistent begomovirus, ToSRV, seems to have evolved together with its vector B. tabaci to optimise its own

  11. Evaluating Non-In-Place Update Techniques for Flash-Based Transaction Processing Systems

    Science.gov (United States)

    Wang, Yongkun; Goda, Kazuo; Kitsuregawa, Masaru

    Recently, flash memory is emerging as the storage device. With price sliding fast, the cost per capacity is approaching to that of SATA disk drives. So far flash memory has been widely deployed in consumer electronics even partly in mobile computing environments. For enterprise systems, the deployment has been studied by many researchers and developers. In terms of the access performance characteristics, flash memory is quite different from disk drives. Without the mechanical components, flash memory has very high random read performance, whereas it has a limited random write performance because of the erase-before-write design. The random write performance of flash memory is comparable with or even worse than that of disk drives. Due to such a performance asymmetry, naive deployment to enterprise systems may not exploit the potential performance of flash memory at full blast. This paper studies the effectiveness of using non-in-place-update (NIPU) techniques through the IO path of flash-based transaction processing systems. Our deliberate experiments using both open-source DBMS and commercial DBMS validated the potential benefits; x3.0 to x6.6 performance improvement was confirmed by incorporating non-in-place-update techniques into file system without any modification of applications or storage devices.

  12. Evaluation of γ-radiation on green tea odor volatiles

    International Nuclear Information System (INIS)

    Fanaro, G.B.; Duarte, R.C.; Araujo, M.M.; Purgatto, E.; Villavicencio, A.L.C.H.

    2011-01-01

    The aim of this study was to evaluate the gamma radiation effects on green tea odor volatiles in green tea at doses of 0, 5, 10, 15 and 20 kGy. The volatile organic compounds were extracted by hydrodistillation and analyzed by GC/MS. The green tea had a large influence on radiation effects, increasing the identified volatiles in relation to control samples. The dose of 10 kGy was responsible to form the majority of new odor compounds following by 5 and 20 kGy. However, the dose of 5 kGy was the dose that degraded the majority of volatiles in non-irradiated samples, following by 20 kGy. The dose of 15 kGy showed has no effect on odor volatiles. The gamma radiation, at dose up to 20 kGy, showed statistically no difference between irradiated and non irradiated green tea on odors compounds.

  13. Autoradiographic methods for studying marked volatile substances (1961); Methode.d'etude autoradiographique de substances marquees volatiles (1961)

    Energy Technology Data Exchange (ETDEWEB)

    Cohen, Y; Wepierre, J [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1961-07-01

    The autoradiographic methods for animals used up to the present do not make it possible to localise exactly the distribution of marked volatile molecules. The Ullberg method (1954) which we have modified (Cohen, Delassue, 1959) involves cold desiccant. The method due to Pellerin (1957) avoids this desiccant but the histological comparison of the autoradiography with the biological document itself is difficult, if not impossible. Nevertheless, we have adopted certain points in the two methods and propose the following technique for the autoradiographic study of marked volatile molecules: 1- The surface of the frozen sample to be studied is prepared using a freezing microtome. 2- The last section, which is 20 {mu} thick and whose histological elements are parallel to those of the block, is dried by cooling and is used as the biological reference document for the autoradiography obtained, as is indicated in 3; 3- The radiography films are applied to the frozen block at -30 deg. C. The autoradiographs correspond to the radioactivity of the volatile molecule and of its non-volatile degradation products. 4- The radiographic film is also applied to the 20 {mu} section previously dried at -20 deg. C. This autoradiography corresponds to the radioactivity of the non-volatile degradation products of the molecule. 5- We confirmed the absence of diffusion of the volatile molecule and of pseudo-radiographic effects (photochemical and others). This method, which has enabled us to study the distribution of a carbide, para-cymene (C{sup 14}) 7, macroscopically in the case of a whole mouse and microscopically on the skin of a dog, can find general applications. (authors) [French] Les methodes d'autoradiographies sur l'animal, proposees jusqu'a present, ne permettent pas de localiser de facon precise la distribution de molecules marquees volatiles. En effet, la methode de Ullberg (1954) que nous avons modifiee (Cohen, Delassue, 1959) necessite la dessiccation par le froid. La methode

  14. A novel 2 T P-channel nano-crystal memory for low power/high speed embedded NVM applications

    International Nuclear Information System (INIS)

    Zhang Junyu; Wang Yong; Liu Jing; Zhang Manhong; Xu Zhongguang; Huo Zongliang; Liu Ming

    2012-01-01

    We introduce a novel 2 T P-channel nano-crystal memory structure for low power and high speed embedded non-volatile memory (NVM) applications. By using the band-to-band tunneling-induced hot-electron (BTBTIHE) injection scheme, both high-speed and low power programming can be achieved at the same time. Due to the use of a select transistor, the 'erased states' can be set to below 0 V, so that the periphery HV circuit (high-voltage generating and management) and read-out circuit can be simplified. Good memory cell performance has also been achieved, including a fast program/erase (P/E) speed (a 1.15 V memory window under 10 μs program pulse), an excellent data retention (only 20% charge loss for 10 years). The data shows that the device has strong potential for future embedded NVM applications. (semiconductor devices)

  15. The role of rostral prefrontal cortex in prospective memory: a voxel-based lesion study.

    Science.gov (United States)

    Volle, Emmanuelle; Gonen-Yaacovi, Gil; Costello, Angela de Lacy; Gilbert, Sam J; Burgess, Paul W

    2011-07-01

    Patients with lesions in rostral prefrontal cortex (PFC) often experience problems in everyday-life situations requiring multitasking. A key cognitive component that is critical in multitasking situations is prospective memory, defined as the ability to carry out an intended action after a delay period filled with unrelated activity. The few functional imaging studies investigating prospective memory have shown consistent activation in both medial and lateral rostral PFC but also in more posterior prefrontal regions and non-frontal regions. The aim of this study was to determine regions that are necessary for prospective memory performance, using the human lesion approach. We designed an experimental paradigm allowing us to assess time-based (remembering to do something at a particular time) and event-based (remembering to do something in a particular situation) prospective memory, using two types of material, words and pictures. Time estimation tasks and tasks controlling for basic attention, inhibition and multiple instructions processing were also administered. We examined brain-behaviour relationships with a voxelwise lesion method in 45 patients with focal brain lesions and 107 control subjects using this paradigm. The results showed that lesions in the right polar prefrontal region (in Brodmann area 10) were specifically associated with a deficit in time-based prospective memory tasks for both words and pictures. This deficit could not be explained by impairments in basic attention, detection, inhibition or multiple instruction processing, and there was also no deficit in event-based prospective memory conditions. In addition to their prospective memory difficulties, these polar prefrontal patients were significantly impaired in time estimation ability compared to other patients. The same region was found to be involved using both words and pictures, suggesting that right rostral PFC plays a material nonspecific role in prospective memory. This is the first

  16. TiO2-based memristors and ReRAM: materials, mechanisms and models (a review)

    Science.gov (United States)

    Gale, Ella

    2014-10-01

    The memristor is the fundamental nonlinear circuit element, with uses in computing and computer memory. Resistive Random Access Memory (ReRAM) is a resistive switching memory proposed as a non-volatile memory. In this review we shall summarize the state of the art for these closely-related fields, concentrating on titanium dioxide, the well-utilized and archetypal material for both. We shall cover material properties, switching mechanisms and models to demonstrate what ReRAM and memristor scientists can learn from each other and examine the outlook for these technologies.

  17. TiO2-based memristors and ReRAM: materials, mechanisms and models (a review)

    International Nuclear Information System (INIS)

    Gale, Ella

    2014-01-01

    The memristor is the fundamental nonlinear circuit element, with uses in computing and computer memory. Resistive Random Access Memory (ReRAM) is a resistive switching memory proposed as a non-volatile memory. In this review we shall summarize the state of the art for these closely-related fields, concentrating on titanium dioxide, the well-utilized and archetypal material for both. We shall cover material properties, switching mechanisms and models to demonstrate what ReRAM and memristor scientists can learn from each other and examine the outlook for these technologies. (invited review)

  18. Dynamic Estimation of Volatility Risk Premia and Investor Risk Aversion from Option-Implied and Realized Volatilities

    DEFF Research Database (Denmark)

    Bollerslev, Tim; Gibson, Michael; Zhou, Hao

    experiment confirms that the procedure works well in practice. Implementing the procedure with actual S&P500 option-implied volatilities and high-frequency five-minute-based realized volatilities indicates significant temporal dependencies in the estimated stochastic volatility risk premium, which we in turn...

  19. Revisiting the long memory dynamics of implied-realized volatility relation: A new evidence from wavelet band spectrum regression

    OpenAIRE

    Barunik, Jozef; Barunikova, Michaela

    2015-01-01

    This paper revisits the fractional co-integrating relationship between ex-ante implied volatility and ex-post realized volatility. Previous studies on stock index options have found biases and inefficiencies in implied volatility as a forecast of future volatility. It is argued that the concept of corridor implied volatility (CIV) should be used instead of the popular model-free option-implied volatility (MFIV) when assessing the relation as the latter may introduce bias to the estimation. In...

  20. Finite Volume Method for Pricing European Call Option with Regime-switching Volatility

    Science.gov (United States)

    Lista Tauryawati, Mey; Imron, Chairul; Putri, Endah RM

    2018-03-01

    In this paper, we present a finite volume method for pricing European call option using Black-Scholes equation with regime-switching volatility. In the first step, we formulate the Black-Scholes equations with regime-switching volatility. we use a finite volume method based on fitted finite volume with spatial discretization and an implicit time stepping technique for the case. We show that the regime-switching scheme can revert to the non-switching Black Scholes equation, both in theoretical evidence and numerical simulations.

  1. Effects of Ease-of-Pronunciation on Non-Word Memory and Product Judgments

    DEFF Research Database (Denmark)

    Erz, Antonia; Christensen, Bo

    The ease or difficulty of pronunciation can affect judgments. We experimentally show that linguistic fluency has a direct effect on liking and a U-shaped effect on memory of non-words. We further demonstrate that the fluency of novel, fictitious non-word brand names affects novelty and performance...

  2. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO{sub 2} for non-volatile memory device

    Energy Technology Data Exchange (ETDEWEB)

    Stepina, N.P. [Institute of Semiconductor Physics, Lavrenteva 13, 630090 Novosibirsk (Russian Federation)], E-mail: nstepina@mail.ru; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V. [Institute of Semiconductor Physics, Lavrenteva 13, 630090 Novosibirsk (Russian Federation)

    2008-11-03

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO{sub 2}, have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO{sub 2} /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots.

  3. SCM-BP: An Intelligent Buffer Management Mechanism for Database in Storage Class Memory

    OpenAIRE

    Tavares, Júlio A.; Filho, José de Aguiar Moraes; Brayner, Angelo; Lustosa, Eduardo

    2013-01-01

    A set of new storage media, called Storage Class Memory (SCM), has emerged as a quite promising solution to decrease the difference between HDD data access time and the time that processors can consume data. Four main characteristics may be highlighted in SCM: (i) non-volatility; (ii) low access time; (iii) high rates of IOPS, and  (iv) read/write execution time asymmetry. The former three have a direct benefit for database systems. Notwithstanding, the latter one poses challenges for databas...

  4. Investigate Volatility Jumps in Chinese Stock Index Future and Spot Markets Based on Realized Volatility

    Institute of Scientific and Technical Information of China (English)

    Zhang qiang

    2014-01-01

    This paper aims to investigate Chinese stock index future and spot market's volatility jumps characteristics by using recentlydeveloped jumpstest(Barndorff-Nielsenand Shephard,2004).Thedataisoneyearhigh frequencydatafromthe period19/04/2012 to 19/04/2013. The empirical results show two important points. Firstly, the logarithm of adjusted realized volatility shows a high degree of autocorrelation and folows a normal distribution nearly perfect. These characteristics show a potential high forecast ability. Secondly,thedailyrealizedvolatilityjumpsshowalowdegreeofautocorrealtionbutwithsignificantvolatilityclusters.Ingeneral,thejumps component has a low percentage in realized volatility estimation for both future and spot market. On average, there is one significant jumpswithinevery ten continue trading days.Spotmarkets showshigherdegree of jumps,anda rapidly jumpscharacterises.It implies that jumps may transmission from spot to future market, and spot market dominate future market at some degree.

  5. Applications of Case Based Organizational Memory Supported by the PAbMM Architecture

    Directory of Open Access Journals (Sweden)

    Martín

    2017-04-01

    Full Text Available In the aim to manage and retrieve the organizational knowledge, in the last years numerous proposals of models and tools for knowledge management and knowledge representation have arisen. However, most of them store knowledge in a non-structured or semi-structured way, hindering the semantic and automatic processing of this knowledge. In this paper we present a more detailed case-based organizational memory ontology, which aims at contributing to the design of an organizational memory based on cases, so that it can be used to learn, reasoning, solve problems, and as support to better decision making as well. The objective of this Organizational Memory is to serve as base for the organizational knowledge exchange in a processing architecture specialized in the measurement and evaluation. In this way, our processing architecture is based on the C-INCAMI framework (Context-Information Need, Concept model, Attribute, Metric and Indicator for defining the measurement projects. Additionally, the proposal architecture uses a big data repository to make available the data for consumption and to manage the Organizational Memory, which allows a feedback mechanism in relation with online processing. In order to illustrate its utility, two practical cases are explained: A pasture predictor system, using the data of the weather radar (WR of the Experimental Agricultural Station (EAS INTA Anguil (La Pampa State, Argentina and an outpatient monitoring scenario. Future trends and concluding remarks are extended.

  6. Indium-oxide nanoparticles for RRAM devices compatible with CMOS back-end-off-line

    Science.gov (United States)

    León Pérez, Edgar A. A.; Guenery, Pierre-Vincent; Abouzaid, Oumaïma; Ayadi, Khaled; Brottet, Solène; Moeyaert, Jérémy; Labau, Sébastien; Baron, Thierry; Blanchard, Nicholas; Baboux, Nicolas; Militaru, Liviu; Souifi, Abdelkader

    2018-05-01

    We report on the fabrication and characterization of Resistive Random Access Memory (RRAM) devices based on nanoparticles in MIM structures. Our approach is based on the use of indium oxide (In2O3) nanoparticles embedded in a dielectric matrix using CMOS-full-compatible fabrication processes in view of back-end-off-line integration for non-volatile memory (NVM) applications. A bipolar switching behavior has been observed using current-voltage measurements (I-V) for all devices. Very high ION/IOFF ratios have been obtained up to 108. Our results provide insights for further integration of In2O3 nanoparticles-based devices for NVM applications. He is currently a Postdoctoral Researcher in the Institute of Nanotechnologies of Lyon (INL), INSA de Lyon, France, in the Electronics Department. His current research include indium oxide nanoparticles for non-volatile memory applications, and the integrations of these devices in CMOS BEOL.

  7. A high working memory load prior to memory retrieval reduces craving in non-treatment seeking problem drinkers

    NARCIS (Netherlands)

    Kaag, A.M.; Goudriaan, A.E.; de Vries, T.J.; Pattij, T.; Wiers, R.W.

    BACKGROUND: Reconsolidation-based interventions have been suggested to be a promising treatment strategy for substance use disorders. In this study, we aimed to investigate the effectiveness of a working memory intervention to interfere with the reconsolidation of alcohol-related memories in a

  8. A high working memory load prior to memory retrieval reduces craving in non-treatment seeking problem drinkers

    NARCIS (Netherlands)

    Kaag, Anne Marije; Goudriaan, Anna E.; de Vries, Taco J.; Pattij, Tommy; Wiers, Reinout W.

    2018-01-01

    Reconsolidation-based interventions have been suggested to be a promising treatment strategy for substance use disorders. In this study, we aimed to investigate the effectiveness of a working memory intervention to interfere with the reconsolidation of alcohol-related memories in a sample of

  9. Controlling quantum memory-assisted entropic uncertainty in non-Markovian environments

    Science.gov (United States)

    Zhang, Yanliang; Fang, Maofa; Kang, Guodong; Zhou, Qingping

    2018-03-01

    Quantum memory-assisted entropic uncertainty relation (QMA EUR) addresses that the lower bound of Maassen and Uffink's entropic uncertainty relation (without quantum memory) can be broken. In this paper, we investigated the dynamical features of QMA EUR in the Markovian and non-Markovian dissipative environments. It is found that dynamical process of QMA EUR is oscillation in non-Markovian environment, and the strong interaction is favorable for suppressing the amount of entropic uncertainty. Furthermore, we presented two schemes by means of prior weak measurement and posterior weak measurement reversal to control the amount of entropic uncertainty of Pauli observables in dissipative environments. The numerical results show that the prior weak measurement can effectively reduce the wave peak values of the QMA-EUA dynamic process in non-Markovian environment for long periods of time, but it is ineffectual on the wave minima of dynamic process. However, the posterior weak measurement reversal has an opposite effects on the dynamic process. Moreover, the success probability entirely depends on the quantum measurement strength. We hope that our proposal could be verified experimentally and might possibly have future applications in quantum information processing.

  10. A New Concept for Non-Volatile Memory: The Electric-Pulse Induced Resistive Change Effect in Colossal Magnetoresistive Thin Films

    Science.gov (United States)

    Liu, S. Q.; Wu, N. J.; Ignatiev, A.

    2001-01-01

    A novel electric pulse-induced resistive change (EPIR) effect has been found in thin film colossal magnetoresistive (CMR) materials, and has shown promise for the development of resistive, nonvolatile memory. The EPIR effect is induced by the application of low voltage (resistance of the thin film sample depending on pulse polarity. The sample resistance change has been shown to be over two orders of magnitude, and is nonvolatile after pulsing. The sample resistance can also be changed through multiple levels - as many as 50 have been shown. Such a device can provide a way for the development of a new kind of nonvolatile multiple-valued memory with high density, fast write/read speed, low power-consumption, and potential high radiation-hardness.

  11. Volatile and non-volatile radiolysis products in irradiated multilayer coextruded food-packaging films containing a buried layer of recycled low-density polyethylene.

    Science.gov (United States)

    Chytiri, S; Goulas, A E; Badeka, A; Riganakos, K A; Kontominas, M G

    2005-12-01

    The effects of gamma-irradiation (5-60 kGy) on radiolysis products and sensory changes of experimental five-layer food-packaging films were determined. Films contained a middle buried layer of recycled low-density polyethylene (LDPE) comprising 25-50% by weight (bw) of the multilayer structure. Respective films containing 100% virgin LDPE as the buried layer were used as controls. Under realistic polymer/food simulant contact conditions during irradiation, a large number of primary and secondary radiolysis products (hydrocarbons, aldehydes, ketones, alcohols, carboxylic acids) were produced. These compounds were detected in the food simulant after contact with all films tested, even at the lower absorbed doses of 5 and 10 kGy (approved doses for food preservation). The type and concentration of radiolysis products increased progressively with increasing dose. Generally, there were no significant differences in radiolysis products between samples containing a buried layer of recycled LDPE and those containing virgin LDPE (all absorbed doses), indicating the good barrier properties of external virgin polymer layers. Volatile and non-volatile compounds produced during irradiation affected the sensory properties of potable water after contact with packaging films. Taste transfer to water was observed mainly at higher doses and was more noticeable for multilayer structures containing recycled LDPE, even though differences were slight.

  12. Sparse Distributed Memory: understanding the speed and robustness of expert memory

    Directory of Open Access Journals (Sweden)

    Marcelo Salhab Brogliato

    2014-04-01

    Full Text Available How can experts, sometimes in exacting detail, almost immediately and very precisely recall memory items from a vast repertoire? The problem in which we will be interested concerns models of theoretical neuroscience that could explain the speed and robustness of an expert's recollection. The approach is based on Sparse Distributed Memory, which has been shown to be plausible, both in a neuroscientific and in a psychological manner, in a number of ways. A crucial characteristic concerns the limits of human recollection, the `tip-of-tongue' memory event--which is found at a non-linearity in the model. We expand the theoretical framework, deriving an optimization formula to solve to this non-linearity. Numerical results demonstrate how the higher frequency of rehearsal, through work or study, immediately increases the robustness and speed associated with expert memory.

  13. Uncertainty of Volatility Estimates from Heston Greeks

    Directory of Open Access Journals (Sweden)

    Oliver Pfante

    2018-01-01

    Full Text Available Volatility is a widely recognized measure of market risk. As volatility is not observed it has to be estimated from market prices, i.e., as the implied volatility from option prices. The volatility index VIX making volatility a tradeable asset in its own right is computed from near- and next-term put and call options on the S&P 500 with more than 23 days and less than 37 days to expiration and non-vanishing bid. In the present paper we quantify the information content of the constituents of the VIX about the volatility of the S&P 500 in terms of the Fisher information matrix. Assuming that observed option prices are centered on the theoretical price provided by Heston's model perturbed by additive Gaussian noise we relate their Fisher information matrix to the Greeks in the Heston model. We find that the prices of options contained in the VIX basket allow for reliable estimates of the volatility of the S&P 500 with negligible uncertainty as long as volatility is large enough. Interestingly, if volatility drops below a critical value of roughly 3%, inferences from option prices become imprecise because Vega, the derivative of a European option w.r.t. volatility, and thereby the Fisher information nearly vanishes.

  14. Nonparametric methods for volatility density estimation

    NARCIS (Netherlands)

    Es, van Bert; Spreij, P.J.C.; Zanten, van J.H.

    2009-01-01

    Stochastic volatility modelling of financial processes has become increasingly popular. The proposed models usually contain a stationary volatility process. We will motivate and review several nonparametric methods for estimation of the density of the volatility process. Both models based on

  15. Non-Markovianity and memory of the initial state

    Science.gov (United States)

    Hinarejos, Margarida; Bañuls, Mari-Carmen; Pérez, Armando; de Vega, Inés

    2017-08-01

    We explore in a rigorous manner the intuitive connection between the non-Markovianity of the evolution of an open quantum system and the performance of the system as a quantum memory. Using the paradigmatic case of a two-level open quantum system coupled to a bosonic bath, we compute the recovery fidelity, which measures the best possible performance of the system to store a qubit of information. We deduce that this quantity is connected, but not uniquely determined, by the non-Markovianity, for which we adopt the Breuer-Laine-Piilo measure proposed in Breuer et al (2009 Phys. Rev. Lett. 103 210401). We illustrate our findings with explicit calculations for the case of a structured environment.

  16. Non-stationary and relaxation phenomena in cavity-assisted quantum memories

    Science.gov (United States)

    Veselkova, N. G.; Sokolov, I. V.

    2017-12-01

    We investigate the non-stationary and relaxation phenomena in cavity-assisted quantum memories for light. As a storage medium we consider an ensemble of cold atoms with standard Lambda-scheme of working levels. Some theoretical aspects of the problem were treated previously by many authors, and recent experiments stimulate more deep insight into the ultimate ability and limitations of the device. Since quantum memories can be used not only for the storage of quantum information, but also for a substantial manipulation of ensembles of quantum states, the speed of such manipulation and hence the ability to write and retrieve the signals of relatively short duration becomes important. In our research we do not apply the so-called bad cavity limit, and consider the memory operation of the signals whose duration is not much larger than the cavity field lifetime, accounting also for the finite lifetime of atomic coherence. In our paper we present an effective approach that makes it possible to find the non-stationary amplitude and phase behavior of strong classical control field, that matches the desirable time profile of both the envelope and the phase of the retrieved quantized signal. The phase properties of the retrieved quantized signals are of importance for the detection and manipulation of squeezing, entanglement, etc by means of optical mixing and homodyning.

  17. A multi-level capacitor-less memory cell fabricated on a nano-scale strained silicon-on-insulator

    International Nuclear Information System (INIS)

    Park, Jea-Gun; Kim, Seong-Je; Shin, Mi-Hee; Song, Seung-Hyun; Shim, Tae-Hun; Chung, Sung-Woong; Enomoto, Hirofumi

    2011-01-01

    A multi-level capacitor-less memory cell was fabricated with a fully depleted n-metal-oxide-semiconductor field-effect transistor on a nano-scale strained silicon channel on insulator (FD sSOI n-MOSFET). The 0.73% biaxial tensile strain in the silicon channel of the FD sSOI n-MOSFET enhanced the effective electron mobility to ∼ 1.7 times that with an unstrained silicon channel. This thereby enables both front- and back-gate cell operations, demonstrating eight-level volatile memory-cell operation with a 1 ms retention time and 12 μA memory margin. This is a step toward achieving a terabit volatile memory cell.

  18. Quantum dissipation from power-law memory

    International Nuclear Information System (INIS)

    Tarasov, Vasily E.

    2012-01-01

    A new quantum dissipation model based on memory mechanism is suggested. Dynamics of open and closed quantum systems with power-law memory is considered. The processes with power-law memory are described by using integration and differentiation of non-integer orders, by methods of fractional calculus. An example of quantum oscillator with linear friction and power-law memory is considered. - Highlights: ► A new quantum dissipation model based on memory mechanism is suggested. ► The generalization of Lindblad equation is considered. ► An exact solution of generalized Lindblad equation for quantum oscillator with linear friction and power-law memory is derived.

  19. Glucose but not insulin or insulin resistance is associated with memory performance in middle-aged non-diabetic women: a cross sectional study.

    Science.gov (United States)

    Backeström, Anna; Eriksson, Sture; Nilsson, Lars-Göran; Olsson, Tommy; Rolandsson, Olov

    2015-01-01

    Elevated concentrations of plasma glucose appear to play a role in memory impairment, and it has been suggested that insulin might also have a negative effect on cognitive function. Our aim was to study whether glucose, insulin or insulin resistance are associated with episodic or semantic memory in a non-diabetic and non-demented population. We linked and matched two population-based data sets identifying 291 participants (127 men and 164 women, mean age of 50.7 ± 8.0 years). Episodic and semantic memory functions were tested, and fasting plasma insulin, fasting plasma glucose, and 2-hour glucose were analysed along with other potential influencing factors on memory function. Since men and women display different results on memory functions they were analysed separately. Insulin resistance was calculated using the HOMA-IR method. A higher fasting plasma glucose concentration was associated with lower episodic memory in women (r = -0.08, 95% CI -0.14; -0.01), but not in men. Plasma insulin levels and insulin resistance were not associated with episodic or semantic memory in women or in men after adjustments for age, fasting glucose, 2-hour glucose, BMI, education, smoking, cardiovascular disease, hypertension, cholesterol, and physical activity. This indicates that fasting glucose but not insulin, might have impact on episodic memory in middle-aged women.

  20. Quantum memories: emerging applications and recent advances

    Science.gov (United States)

    Heshami, Khabat; England, Duncan G.; Humphreys, Peter C.; Bustard, Philip J.; Acosta, Victor M.; Nunn, Joshua; Sussman, Benjamin J.

    2016-01-01

    Quantum light–matter interfaces are at the heart of photonic quantum technologies. Quantum memories for photons, where non-classical states of photons are mapped onto stationary matter states and preserved for subsequent retrieval, are technical realizations enabled by exquisite control over interactions between light and matter. The ability of quantum memories to synchronize probabilistic events makes them a key component in quantum repeaters and quantum computation based on linear optics. This critical feature has motivated many groups to dedicate theoretical and experimental research to develop quantum memory devices. In recent years, exciting new applications, and more advanced developments of quantum memories, have proliferated. In this review, we outline some of the emerging applications of quantum memories in optical signal processing, quantum computation and non-linear optics. We review recent experimental and theoretical developments, and their impacts on more advanced photonic quantum technologies based on quantum memories. PMID:27695198