WorldWideScience

Sample records for non-shared memory mimd

  1. Assessing Programming Costs of Explicit Memory Localization on a Large Scale Shared Memory Multiprocessor

    Directory of Open Access Journals (Sweden)

    Silvio Picano

    1992-01-01

    Full Text Available We present detailed experimental work involving a commercially available large scale shared memory multiple instruction stream-multiple data stream (MIMD parallel computer having a software controlled cache coherence mechanism. To make effective use of such an architecture, the programmer is responsible for designing the program's structure to match the underlying multiprocessors capabilities. We describe the techniques used to exploit our multiprocessor (the BBN TC2000 on a network simulation program, showing the resulting performance gains and the associated programming costs. We show that an efficient implementation relies heavily on the user's ability to explicitly manage the memory system.

  2. Early MIMD experience on the CRAY X-MP

    Science.gov (United States)

    Rhoades, Clifford E.; Stevens, K. G.

    1985-07-01

    This paper describes some early experience with converting four physics simulation programs to the CRAY X-MP, a current Multiple Instruction, Multiple Data (MIMD) computer consisting of two processors each with an architecture similar to that of the CRAY-1. As a multi-processor, the CRAY X-MP together with the high speed Solid-state Storage Device (SSD) in an ideal machine upon which to study MIMD algorithms for solving the equations of mathematical physics because it is fast enough to run real problems. The computer programs used in this study are all FORTRAN versions of original production codes. They range in sophistication from a one-dimensional numerical simulation of collisionless plasma to a two-dimensional hydrodynamics code with heat flow to a couple of three-dimensional fluid dynamics codes with varying degrees of viscous modeling. Early research with a dual processor configuration has shown speed-ups ranging from 1.55 to 1.98. It has been observed that a few simple extensions to FORTRAN allow a typical programmer to achieve a remarkable level of efficiency. These extensions involve the concept of memory local to a concurrent subprogram and memory common to all concurrent subprograms.

  3. Scaling Non-Regular Shared-Memory Codes by Reusing Custom Loop Schedules

    Directory of Open Access Journals (Sweden)

    Dimitrios S. Nikolopoulos

    2003-01-01

    Full Text Available In this paper we explore the idea of customizing and reusing loop schedules to improve the scalability of non-regular numerical codes in shared-memory architectures with non-uniform memory access latency. The main objective is to implicitly setup affinity links between threads and data, by devising loop schedules that achieve balanced work distribution within irregular data spaces and reusing them as much as possible along the execution of the program for better memory access locality. This transformation provides a great deal of flexibility in optimizing locality, without compromising the simplicity of the shared-memory programming paradigm. In particular, the programmer does not need to explicitly distribute data between processors. The paper presents practical examples from real applications and experiments showing the efficiency of the approach.

  4. Architecture independent environment for developing engineering software on MIMD computers

    Science.gov (United States)

    Valimohamed, Karim A.; Lopez, L. A.

    1990-01-01

    Engineers are constantly faced with solving problems of increasing complexity and detail. Multiple Instruction stream Multiple Data stream (MIMD) computers have been developed to overcome the performance limitations of serial computers. The hardware architectures of MIMD computers vary considerably and are much more sophisticated than serial computers. Developing large scale software for a variety of MIMD computers is difficult and expensive. There is a need to provide tools that facilitate programming these machines. First, the issues that must be considered to develop those tools are examined. The two main areas of concern were architecture independence and data management. Architecture independent software facilitates software portability and improves the longevity and utility of the software product. It provides some form of insurance for the investment of time and effort that goes into developing the software. The management of data is a crucial aspect of solving large engineering problems. It must be considered in light of the new hardware organizations that are available. Second, the functional design and implementation of a software environment that facilitates developing architecture independent software for large engineering applications are described. The topics of discussion include: a description of the model that supports the development of architecture independent software; identifying and exploiting concurrency within the application program; data coherence; engineering data base and memory management.

  5. Distributed Shared Memory for the Cell Broadband Engine (DSMCBE)

    DEFF Research Database (Denmark)

    Larsen, Morten Nørgaard; Skovhede, Kenneth; Vinter, Brian

    2009-01-01

    in and out of non-coherent local storage blocks for each special processor element. In this paper we present a software library, namely the Distributed Shared Memory for the Cell Broadband Engine (DSMCBE). By using techniques known from distributed shared memory DSMCBE allows programmers to program the CELL...

  6. Porting of a serial molecular dynamics code on MIMD platforms

    Energy Technology Data Exchange (ETDEWEB)

    Celino, M. [ENEA Centro Ricerche Casaccia, S. Maria di Galeria, RM (Italy). HPCN Project

    1999-07-01

    A molecular dynamics (MD) code, utilized for the study of atomistic models of metallic systems has been parallelized for MIMD (multiple instructions multiple data) parallel platforms by means of the parallel virtual machine (PVM) message passing library. Since the parallelization implies modifications of the sequential algorithms, these are described from the point of view of the statistical mechanical theory. Furthermore, techniques and parallelization strategies utilized and the MD parallel code are described in detail. Benchmarks on several MIMD platforms (IBM SP1, SP2, Cray T3D, cluster of workstations) allow performances evaluation of the code versus the different characteristics of the parallel platforms. [Italian] Un codice seriale di dinamica molecolare (MD) utilizzato per lo studio di modelli atomici di materiali metallici e' stato parallelizzato per piattaforme parallele MIMD (multiple instructions multiple data) utilizzando librerie del parallel virtual machine (PVM). Poiche' l'operazione di parallelizzazione ha implicato la modifica degli algoritmi seriali del codice, questi vengono descritti ripercorrendo i concetti fondamentali della meccanica statistica. Inoltre sono presentate le tecniche e le strategie di parallelizzazione utilizzate descrivendo in dettaglio il codice parallelo di MD: Risultati di benchmark su diverse piattaforme MIMD (IBM SP1, SP2, Cray T3D, cluster of workstations) permettono di analizzare le performances del codice in funzione delle differenti caratteristiche delle piattaforme parallele.

  7. A shared resource between declarative memory and motor memory

    Science.gov (United States)

    Keisler, Aysha; Shadmehr, Reza

    2010-01-01

    The neural systems that support motor adaptation in humans are thought to be distinct from those that support the declarative system. Yet, during motor adaptation changes in motor commands are supported by a fast adaptive process that has important properties (rapid learning, fast decay) that are usually associated with the declarative system. The fast process can be contrasted to a slow adaptive process that also supports motor memory, but learns gradually and shows resistance to forgetting. Here we show that after people stop performing a motor task, the fast motor memory can be disrupted by a task that engages declarative memory, but the slow motor memory is immune from this interference. Furthermore, we find that the fast/declarative component plays a major role in the consolidation of the slow motor memory. Because of the competitive nature of declarative and non-declarative memory during consolidation, impairment of the fast/declarative component leads to improvements in the slow/non-declarative component. Therefore, the fast process that supports formation of motor memory is not only neurally distinct from the slow process, but it shares critical resources with the declarative memory system. PMID:21048140

  8. One-way shared memory

    DEFF Research Database (Denmark)

    Schoeberl, Martin

    2018-01-01

    Standard multicore processors use the shared main memory via the on-chip caches for communication between cores. However, this form of communication has two limitations: (1) it is hardly time-predictable and therefore not a good solution for real-time systems and (2) this single shared memory...... is a bottleneck in the system. This paper presents a communication architecture for time-predictable multicore systems where core-local memories are distributed on the chip. A network-on-chip constantly copies data from a sender core-local memory to a receiver core-local memory. As this copying is performed...... in one direction we call this architecture a one-way shared memory. With the use of time-division multiplexing for the memory accesses and the network-on-chip routers we achieve a time-predictable solution where the communication latency and bandwidth can be bounded. An example architecture for a 3...

  9. Porting of serial molecular dynamics code on MIMD platforms

    International Nuclear Information System (INIS)

    Celino, M.

    1995-05-01

    A molecular Dynamics (MD) code, utilized for the study of atomistic models of metallic systems has been parallelized for MIMD (Multiple Instructions Multiple Data) parallel platforms by means of the Parallel Virtual Machine (PVM) message passing library. Since the parallelization implies modifications of the sequential algorithms, these are described from the point of view of the Statistical Mechanics theory. Furthermore, techniques and parallelization strategies utilized and the MD parallel code are described in detail. Benchmarks on several MIMD platforms (IBM SP1 and SP2, Cray T3D, Cluster of workstations) allow performances evaluation of the code versus the different characteristics of the parallel platforms

  10. Parallel computing of physical maps--a comparative study in SIMD and MIMD parallelism.

    Science.gov (United States)

    Bhandarkar, S M; Chirravuri, S; Arnold, J

    1996-01-01

    Ordering clones from a genomic library into physical maps of whole chromosomes presents a central computational problem in genetics. Chromosome reconstruction via clone ordering is usually isomorphic to the NP-complete Optimal Linear Arrangement problem. Parallel SIMD and MIMD algorithms for simulated annealing based on Markov chain distribution are proposed and applied to the problem of chromosome reconstruction via clone ordering. Perturbation methods and problem-specific annealing heuristics are proposed and described. The SIMD algorithms are implemented on a 2048 processor MasPar MP-2 system which is an SIMD 2-D toroidal mesh architecture whereas the MIMD algorithms are implemented on an 8 processor Intel iPSC/860 which is an MIMD hypercube architecture. A comparative analysis of the various SIMD and MIMD algorithms is presented in which the convergence, speedup, and scalability characteristics of the various algorithms are analyzed and discussed. On a fine-grained, massively parallel SIMD architecture with a low synchronization overhead such as the MasPar MP-2, a parallel simulated annealing algorithm based on multiple periodically interacting searches performs the best. For a coarse-grained MIMD architecture with high synchronization overhead such as the Intel iPSC/860, a parallel simulated annealing algorithm based on multiple independent searches yields the best results. In either case, distribution of clonal data across multiple processors is shown to exacerbate the tendency of the parallel simulated annealing algorithm to get trapped in a local optimum.

  11. Search of molecular ground state via genetic algorithm: Implementation on a hybrid SIMD-MIMD platform

    International Nuclear Information System (INIS)

    Pucello, N.; D'Agostino, G.; Pisacane, F.

    1997-01-01

    A genetic algorithm for the optimization of the ground-state structure of a metallic cluster has been developed and ported on a SIMD-MIMD parallel platform. The SIMD part of the parallel platform is represented by a Quadrics/APE100 consisting of 512 floating point units, while the MIMD part is formed by a cluster of workstations. The proposed algorithm is composed by a part where the genetic operators are applied to the elements of the population and a part which performs a further local relaxation and the fitness calculation via Molecular Dynamics. These parts have been implemented on the MIMD and on the SIMD part, respectively. Results have been compared to those generated by using Simulated Annealing

  12. Performing an allreduce operation using shared memory

    Science.gov (United States)

    Archer, Charles J [Rochester, MN; Dozsa, Gabor [Ardsley, NY; Ratterman, Joseph D [Rochester, MN; Smith, Brian E [Rochester, MN

    2012-04-17

    Methods, apparatus, and products are disclosed for performing an allreduce operation using shared memory that include: receiving, by at least one of a plurality of processing cores on a compute node, an instruction to perform an allreduce operation; establishing, by the core that received the instruction, a job status object for specifying a plurality of shared memory allreduce work units, the plurality of shared memory allreduce work units together performing the allreduce operation on the compute node; determining, by an available core on the compute node, a next shared memory allreduce work unit in the job status object; and performing, by that available core on the compute node, that next shared memory allreduce work unit.

  13. Dataflow models for shared memory access latency analysis

    NARCIS (Netherlands)

    Staschulat, Jan; Bekooij, Marco Jan Gerrit

    2009-01-01

    Performance analysis of applications in multi-core platforms is challenging because of temporal interference while accessing shared resources. Especially, memory arbiters introduce a non-constant delay which signicantly in uences the execution time of a task. In this paper, we selected a

  14. A Shared Scratchpad Memory with Synchronization Support

    DEFF Research Database (Denmark)

    Hansen, Henrik Enggaard; Maroun, Emad Jacob; Kristensen, Andreas Toftegaard

    2017-01-01

    Multicore processors usually communicate via shared memory, which is backed up by a shared level 2 cache and a cache coherence protocol. However, this solution is not a good fit for real-time systems, where we need to provide tight guarantees on execution and memory access times. In this paper, we...... propose a shared scratchpad memory as a time-predictable communication and synchronization structure, instead of the level 2 cache. The shared on-chip memory is accessed via a time division multiplexing arbiter, isolating the execution time of load and store instructions between processing cores....... Furthermore, the arbiter supports an extended time slot where an atomic load and store instruction can be executed to implement synchronization primitives. In the evaluation we show that a shared scratchpad memory is an efficient communication structure for a small number of processors; in our setup, 9 cores...

  15. Switch/router architectures shared-bus and shared-memory based systems

    CERN Document Server

    Aweya, James

    2018-01-01

    A practicing engineer's inclusive review of communication systems based on shared-bus and shared-memory switch/router architectures. This book delves into the inner workings of router and switch design in a comprehensive manner that is accessible to a broad audience. It begins by describing the role of switch/routers in a network, then moves on to the functional composition of a switch/router. A comparison of centralized versus distributed design of the architecture is also presented. The author discusses use of bus versus shared-memory for communication within a design, and also covers Quality of Service (QoS) mechanisms and configuration tools. Written in a simple style and language to allow readers to easily understand and appreciate the material presented, Switch/Router Architectures: Shared-Bus and Shared-Memory Based Systems discusses the design of multilayer switches—starting with the basic concepts and on to the basic architectures. It describes the evolution of multilayer switch designs and highli...

  16. Multiprocessor shared-memory information exchange

    International Nuclear Information System (INIS)

    Santoline, L.L.; Bowers, M.D.; Crew, A.W.; Roslund, C.J.; Ghrist, W.D. III

    1989-01-01

    In distributed microprocessor-based instrumentation and control systems, the inter-and intra-subsystem communication requirements ultimately form the basis for the overall system architecture. This paper describes a software protocol which addresses the intra-subsystem communications problem. Specifically the protocol allows for multiple processors to exchange information via a shared-memory interface. The authors primary goal is to provide a reliable means for information to be exchanged between central application processor boards (masters) and dedicated function processor boards (slaves) in a single computer chassis. The resultant Multiprocessor Shared-Memory Information Exchange (MSMIE) protocol, a standard master-slave shared-memory interface suitable for use in nuclear safety systems, is designed to pass unidirectional buffers of information between the processors while providing a minimum, deterministic cycle time for this data exchange

  17. Elastic pointer directory organization for scalable shared memory multiprocessors

    Institute of Scientific and Technical Information of China (English)

    Yuhang Liu; Mingfa Zhu; Limin Xiao

    2014-01-01

    In the field of supercomputing, one key issue for scal-able shared-memory multiprocessors is the design of the directory which denotes the sharing state for a cache block. A good direc-tory design intends to achieve three key attributes: reasonable memory overhead, sharer position precision and implementation complexity. However, researchers often face the problem that gain-ing one attribute may result in losing another. The paper proposes an elastic pointer directory (EPD) structure based on the analysis of shared-memory applications, taking the fact that the number of sharers for each directory entry is typical y smal . Analysis re-sults show that for 4 096 nodes, the ratio of memory overhead to the ful-map directory is 2.7%. Theoretical analysis and cycle-accurate execution-driven simulations on a 16 and 64-node cache coherence non uniform memory access (CC-NUMA) multiproces-sor show that the corresponding pointer overflow probability is reduced significantly. The performance is observed to be better than that of a limited pointers directory and almost identical to the ful-map directory, except for the slight implementation complex-ity. Using the directory cache to explore directory access locality is also studied. The experimental result shows that this is a promis-ing approach to be used in the state-of-the-art high performance computing domain.

  18. Parallel discrete ordinates algorithms on distributed and common memory systems

    International Nuclear Information System (INIS)

    Wienke, B.R.; Hiromoto, R.E.; Brickner, R.G.

    1987-01-01

    The S/sub n/ algorithm employs iterative techniques in solving the linear Boltzmann equation. These methods, both ordered and chaotic, were compared on both the Denelcor HEP and the Intel hypercube. Strategies are linked to the organization and accessibility of memory (common memory versus distributed memory architectures), with common concern for acquisition of global information. Apart from this, the inherent parallelism of the algorithm maps directly onto the two architectures. Results comparing execution times, speedup, and efficiency are based on a representative 16-group (full upscatter and downscatter) sample problem. Calculations were performed on both the Los Alamos National Laboratory (LANL) Denelcor HEP and the LANL Intel hypercube. The Denelcor HEP is a 64-bit multi-instruction, multidate MIMD machine consisting of up to 16 process execution modules (PEMs), each capable of executing 64 processes concurrently. Each PEM can cooperate on a job, or run several unrelated jobs, and share a common global memory through a crossbar switch. The Intel hypercube, on the other hand, is a distributed memory system composed of 128 processing elements, each with its own local memory. Processing elements are connected in a nearest-neighbor hypercube configuration and sharing of data among processors requires execution of explicit message-passing constructs

  19. Externalising the autobiographical self: sharing personal memories online facilitated memory retention.

    Science.gov (United States)

    Wang, Qi; Lee, Dasom; Hou, Yubo

    2017-07-01

    Internet technology provides a new means of recalling and sharing personal memories in the digital age. What is the mnemonic consequence of posting personal memories online? Theories of transactive memory and autobiographical memory would make contrasting predictions. In the present study, college students completed a daily diary for a week, listing at the end of each day all the events that happened to them on that day. They also reported whether they posted any of the events online. Participants received a surprise memory test after the completion of the diary recording and then another test a week later. At both tests, events posted online were significantly more likely than those not posted online to be recalled. It appears that sharing memories online may provide unique opportunities for rehearsal and meaning-making that facilitate memory retention.

  20. Direct access inter-process shared memory

    Science.gov (United States)

    Brightwell, Ronald B; Pedretti, Kevin; Hudson, Trammell B

    2013-10-22

    A technique for directly sharing physical memory between processes executing on processor cores is described. The technique includes loading a plurality of processes into the physical memory for execution on a corresponding plurality of processor cores sharing the physical memory. An address space is mapped to each of the processes by populating a first entry in a top level virtual address table for each of the processes. The address space of each of the processes is cross-mapped into each of the processes by populating one or more subsequent entries of the top level virtual address table with the first entry in the top level virtual address table from other processes.

  1. Shared memories reveal shared structure in neural activity across individuals

    Science.gov (United States)

    Chen, J.; Leong, Y.C.; Honey, C.J.; Yong, C.H.; Norman, K.A.; Hasson, U.

    2016-01-01

    Our lives revolve around sharing experiences and memories with others. When different people recount the same events, how similar are their underlying neural representations? Participants viewed a fifty-minute movie, then verbally described the events during functional MRI, producing unguided detailed descriptions lasting up to forty minutes. As each person spoke, event-specific spatial patterns were reinstated in default-network, medial-temporal, and high-level visual areas. Individual event patterns were both highly discriminable from one another and similar between people, suggesting consistent spatial organization. In many high-order areas, patterns were more similar between people recalling the same event than between recall and perception, indicating systematic reshaping of percept into memory. These results reveal the existence of a common spatial organization for memories in high-level cortical areas, where encoded information is largely abstracted beyond sensory constraints; and that neural patterns during perception are altered systematically across people into shared memory representations for real-life events. PMID:27918531

  2. A Comparison of Two Paradigms for Distributed Shared Memory

    NARCIS (Netherlands)

    Levelt, W.G.; Kaashoek, M.F.; Bal, H.E.; Tanenbaum, A.S.

    1992-01-01

    Two paradigms for distributed shared memory on loosely‐coupled computing systems are compared: the shared data‐object model as used in Orca, a programming language specially designed for loosely‐coupled computing systems, and the shared virtual memory model. For both paradigms two systems are

  3. Self-Stabilization of Wait-Free Shared Memory Objects

    NARCIS (Netherlands)

    Hoepman, J.H.; Papatriantafilou, Marina; Tsigas, Philippas

    2002-01-01

    This paper proposes a general definition of self-stabilizing wait-free shared memory objects. The definition ensures that, even in the face of processor failures, every execution after a transient memory failure is linearizable except for an a priori bounded number of actions. Shared registers have

  4. Parallel performances of three 3D reconstruction methods on MIMD computers: Feldkamp, block ART and SIRT algorithms

    International Nuclear Information System (INIS)

    Laurent, C.; Chassery, J.M.; Peyrin, F.; Girerd, C.

    1996-01-01

    This paper deals with the parallel implementations of reconstruction methods in 3D tomography. 3D tomography requires voluminous data and long computation times. Parallel computing, on MIMD computers, seems to be a good approach to manage this problem. In this study, we present the different steps of the parallelization on an abstract parallel computer. Depending on the method, we use two main approaches to parallelize the algorithms: the local approach and the global approach. Experimental results on MIMD computers are presented. Two 3D images reconstructed from realistic data are showed

  5. Is sharing specific autobiographical memories a distinct form of self-disclosure?

    Science.gov (United States)

    Beike, Denise R; Brandon, Nicole R; Cole, Holly E

    2016-04-01

    Theories of autobiographical memory posit a social function, meaning that recollecting and sharing memories of specific discrete events creates and maintains relationship intimacy. Eight studies with 1,271 participants tested whether sharing specific autobiographical memories in conversations increases feelings of closeness among conversation partners, relative to sharing other self-related information. The first 2 studies revealed that conversations in which specific autobiographical memories were shared were also accompanied by feelings of closeness among conversation partners. The next 5 studies experimentally introduced specific autobiographical memories versus general information about the self into conversations between mostly unacquainted pairs of participants. Discussing specific autobiographical memories led to greater closeness among conversation partners than discussing nonself-related topics, but no greater closeness than discussing other, more general self-related information. In the final study unacquainted pairs in whom feelings of closeness had been experimentally induced through shared humor were more likely to discuss specific autobiographical memories than unacquainted control participant pairs. We conclude that sharing specific autobiographical memories may express more than create relationship closeness, and discuss how relationship closeness may afford sharing of specific autobiographical memories by providing common ground, a social display, or a safety signal. (c) 2016 APA, all rights reserved).

  6. Scalable shared-memory multiprocessing

    CERN Document Server

    Lenoski, Daniel E

    1995-01-01

    Dr. Lenoski and Dr. Weber have experience with leading-edge research and practical issues involved in implementing large-scale parallel systems. They were key contributors to the architecture and design of the DASH multiprocessor. Currently, they are involved with commercializing scalable shared-memory technology.

  7. Working memory resources are shared across sensory modalities.

    Science.gov (United States)

    Salmela, V R; Moisala, M; Alho, K

    2014-10-01

    A common assumption in the working memory literature is that the visual and auditory modalities have separate and independent memory stores. Recent evidence on visual working memory has suggested that resources are shared between representations, and that the precision of representations sets the limit for memory performance. We tested whether memory resources are also shared across sensory modalities. Memory precision for two visual (spatial frequency and orientation) and two auditory (pitch and tone duration) features was measured separately for each feature and for all possible feature combinations. Thus, only the memory load was varied, from one to four features, while keeping the stimuli similar. In Experiment 1, two gratings and two tones-both containing two varying features-were presented simultaneously. In Experiment 2, two gratings and two tones-each containing only one varying feature-were presented sequentially. The memory precision (delayed discrimination threshold) for a single feature was close to the perceptual threshold. However, as the number of features to be remembered was increased, the discrimination thresholds increased more than twofold. Importantly, the decrease in memory precision did not depend on the modality of the other feature(s), or on whether the features were in the same or in separate objects. Hence, simultaneously storing one visual and one auditory feature had an effect on memory precision equal to those of simultaneously storing two visual or two auditory features. The results show that working memory is limited by the precision of the stored representations, and that working memory can be described as a resource pool that is shared across modalities.

  8. Monte Carlo photon transport on shared memory and distributed memory parallel processors

    International Nuclear Information System (INIS)

    Martin, W.R.; Wan, T.C.; Abdel-Rahman, T.S.; Mudge, T.N.; Miura, K.

    1987-01-01

    Parallelized Monte Carlo algorithms for analyzing photon transport in an inertially confined fusion (ICF) plasma are considered. Algorithms were developed for shared memory (vector and scalar) and distributed memory (scalar) parallel processors. The shared memory algorithm was implemented on the IBM 3090/400, and timing results are presented for dedicated runs with two, three, and four processors. Two alternative distributed memory algorithms (replication and dispatching) were implemented on a hypercube parallel processor (1 through 64 nodes). The replication algorithm yields essentially full efficiency for all cube sizes; with the 64-node configuration, the absolute performance is nearly the same as with the CRAY X-MP. The dispatching algorithm also yields efficiencies above 80% in a large simulation for the 64-processor configuration

  9. GOTHIC memory management : a multiprocessor shared single level store

    OpenAIRE

    Michel , Béatrice

    1990-01-01

    Gothic purpose is to build an object-oriented fault-tolerant distributed operating system for a local area network of multiprocessor workstations. This paper describes Gothic memory manager. It realizes the sharing of the secondary memory space between any process running on the Gothic system. Processes on different processors can communicate by sharing permanent information. The manager implements a shared single level storage with an invalidation protocol working on disk-pages to maintain s...

  10. A shared resource between declarative memory and motor memory.

    Science.gov (United States)

    Keisler, Aysha; Shadmehr, Reza

    2010-11-03

    The neural systems that support motor adaptation in humans are thought to be distinct from those that support the declarative system. Yet, during motor adaptation changes in motor commands are supported by a fast adaptive process that has important properties (rapid learning, fast decay) that are usually associated with the declarative system. The fast process can be contrasted to a slow adaptive process that also supports motor memory, but learns gradually and shows resistance to forgetting. Here we show that after people stop performing a motor task, the fast motor memory can be disrupted by a task that engages declarative memory, but the slow motor memory is immune from this interference. Furthermore, we find that the fast/declarative component plays a major role in the consolidation of the slow motor memory. Because of the competitive nature of declarative and nondeclarative memory during consolidation, impairment of the fast/declarative component leads to improvements in the slow/nondeclarative component. Therefore, the fast process that supports formation of motor memory is not only neurally distinct from the slow process, but it shares critical resources with the declarative memory system.

  11. Sharing specific "We" autobiographical memories in close relationships: the role of contact frequency.

    Science.gov (United States)

    Beike, Denise R; Cole, Holly E; Merrick, Carmen R

    2017-11-01

    Sharing memories in conversations with close others is posited to be part of the social function of autobiographical memory. The present research focused on the sharing of a particular type of memory: Specific memories about one-time co-experienced events, which we termed Specific We memories. Two studies with 595 total participants examined the factors that lead to and/or are influenced by the sharing of Specific We memories. In Study 1, participants reported on their most recent conversation. Specific We memories were reportedly discussed most often in conversations with others who were close and with whom the participant had frequent communication. In Study 2, participants were randomly assigned either to increase or to simply record the frequency of communication with a close other (parent). Increases in the frequency of reported sharing of Specific We memories as well as closeness to the parent resulted. Mediation analyses of both studies revealed causal relationships among reported sharing of Specific We memories and closeness. We discuss the relevance of these results for understanding the social function of autobiographical memory.

  12. Environmental concept for engineering software on MIMD computers

    Science.gov (United States)

    Lopez, L. A.; Valimohamed, K.

    1989-01-01

    The issues related to developing an environment in which engineering systems can be implemented on MIMD machines are discussed. The problem is presented in terms of implementing the finite element method under such an environment. However, neither the concepts nor the prototype implementation environment are limited to this application. The topics discussed include: the ability to schedule and synchronize tasks efficiently; granularity of tasks; load balancing; and the use of a high level language to specify parallel constructs, manage data, and achieve portability. The objective of developing a virtual machine concept which incorporates solutions to the above issues leads to a design that can be mapped onto loosely coupled, tightly coupled, and hybrid systems.

  13. Emerging non-volatile memories

    CERN Document Server

    Hong, Seungbum; Wouters, Dirk

    2014-01-01

    This book is an introduction to the fundamentals of emerging non-volatile memories and provides an overview of future trends in the field. Readers will find coverage of seven important memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), Multiferroic RAM (MFRAM), Phase-Change Memories (PCM), Oxide-based Resistive RAM (RRAM), Probe Storage, and Polymer Memories. Chapters are structured to reflect diffusions and clashes between different topics. Emerging Non-Volatile Memories is an ideal book for graduate students, faculty, and professionals working in the area of non-volatile memory. This book also: Covers key memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), and Multiferroic RAM (MFRAM), among others. Provides an overview of non-volatile memory fundamentals. Broadens readers' understanding of future trends in non-volatile memories.

  14. A Study of Shared-Memory Mutual Exclusion Protocols Using CADP

    Science.gov (United States)

    Mateescu, Radu; Serwe, Wendelin

    Mutual exclusion protocols are an essential building block of concurrent systems: indeed, such a protocol is required whenever a shared resource has to be protected against concurrent non-atomic accesses. Hence, many variants of mutual exclusion protocols exist in the shared-memory setting, such as Peterson's or Dekker's well-known protocols. Although the functional correctness of these protocols has been studied extensively, relatively little attention has been paid to their non-functional aspects, such as their performance in the long run. In this paper, we report on experiments with the performance evaluation of mutual exclusion protocols using Interactive Markov Chains. Steady-state analysis provides an additional criterion for comparing protocols, which complements the verification of their functional properties. We also carefully re-examined the functional properties, whose accurate formulation as temporal logic formulas in the action-based setting turns out to be quite involved.

  15. Techniques for Reducing Consistency-Related Communication in Distributed Shared Memory System

    OpenAIRE

    Zwaenepoel, W; Bennett, J.K.; Carter, J.B.

    1995-01-01

    Distributed shared memory 8DSM) is an abstraction of shared memory on a distributed memory machine. Hardware DSM systems support this abstraction at the architecture level; software DSM systems support the abstraction within the runtime system. One of the key problems in building an efficient software DSM system is to reduce the amount of communication needed to keep the distributed memories consistent. In this paper we present four techniques for doing so: 1) software release consistency; 2)...

  16. Building a columnar database on shared main memory-based storage

    OpenAIRE

    Tinnefeld, Christian

    2014-01-01

    In the field of disk-based parallel database management systems exists a great variety of solutions based on a shared-storage or a shared-nothing architecture. In contrast, main memory-based parallel database management systems are dominated solely by the shared-nothing approach as it preserves the in-memory performance advantage by processing data locally on each server. We argue that this unilateral development is going to cease due to the combination of the following three trends: a) Nowad...

  17. Implementing particle-in-cell plasma simulation code on the BBN TC2000

    International Nuclear Information System (INIS)

    Sturtevant, J.E.; Maccabe, A.B.

    1990-01-01

    The BBN TC2000 is a multiple instruction, multiple data (MIMD) machine that combines a physically distributed memory with a logically shared memory programming environment using the unique Butterfly switch. Particle-In-Cell (PIC) plasma simulations model the interaction of charged particles with electric and magnetic fields. This paper describes the implementation of both a 1-D electrostatic and a 2 1/2-D electromagnetic PIC (particle-in-cell) plasma simulation code on a BBN TC2000. Performance is compared to implementations of the same code on the shared memory Sequent Balance and distributed memory Intel iPSC hypercube

  18. Parallelism at Cern: real-time and off-line applications in the GP-MIMD2 project

    International Nuclear Information System (INIS)

    Calafiura, P.

    1997-01-01

    A wide range of general purpose high-energy physics applications, ranging from Monte Carlo simulation to data acquisition, from interactive data analysis to on-line filtering, have been ported, or developed, and run in parallel on IBM SP-2 and Meiko CS-2 CERN large multi-processor machines. The ESPRIT project GP-MIMD2 has been a catalyst for the interest in parallel computing at CERN. The project provided the 128 processor Meiko CS-2 system that is now succesfully integrated in the CERN computing environment. The CERN experiment NA48 was involved in the GP-MIMD2 project since the beginning. NA48 physicists run, as part of their day-to-day work, simulation and analysis programs parallelized using the message passing interface MPI. The CS-2 is also a vital component of the experiment data acquisition system and will be used to calibrate in real-time the 13000 channels liquid krypton calorimeter. (orig.)

  19. Non-volatile memories

    CERN Document Server

    Lacaze, Pierre-Camille

    2014-01-01

    Written for scientists, researchers, and engineers, Non-volatile Memories describes the recent research and implementations in relation to the design of a new generation of non-volatile electronic memories. The objective is to replace existing memories (DRAM, SRAM, EEPROM, Flash, etc.) with a universal memory model likely to reach better performances than the current types of memory: extremely high commutation speeds, high implantation densities and retention time of information of about ten years.

  20. Shared Semantics and the Use of Organizational Memories for E-Mail Communications.

    Science.gov (United States)

    Schwartz, David G.

    1998-01-01

    Examines the use of shared semantics information to link concepts in an organizational memory to e-mail communications. Presents a framework for determining shared semantics based on organizational and personal user profiles. Illustrates how shared semantics are used by the HyperMail system to help link organizational memories (OM) content to…

  1. Computational cost estimates for parallel shared memory isogeometric multi-frontal solvers

    KAUST Repository

    Woźniak, Maciej; Kuźnik, Krzysztof M.; Paszyński, Maciej R.; Calo, Victor M.; Pardo, D.

    2014-01-01

    In this paper we present computational cost estimates for parallel shared memory isogeometric multi-frontal solvers. The estimates show that the ideal isogeometric shared memory parallel direct solver scales as O( p2log(N/p)) for one dimensional problems, O(Np2) for two dimensional problems, and O(N4/3p2) for three dimensional problems, where N is the number of degrees of freedom, and p is the polynomial order of approximation. The computational costs of the shared memory parallel isogeometric direct solver are compared with those corresponding to the sequential isogeometric direct solver, being the latest equal to O(N p2) for the one dimensional case, O(N1.5p3) for the two dimensional case, and O(N2p3) for the three dimensional case. The shared memory version significantly reduces both the scalability in terms of N and p. Theoretical estimates are compared with numerical experiments performed with linear, quadratic, cubic, quartic, and quintic B-splines, in one and two spatial dimensions. © 2014 Elsevier Ltd. All rights reserved.

  2. Computational cost estimates for parallel shared memory isogeometric multi-frontal solvers

    KAUST Repository

    Woźniak, Maciej

    2014-06-01

    In this paper we present computational cost estimates for parallel shared memory isogeometric multi-frontal solvers. The estimates show that the ideal isogeometric shared memory parallel direct solver scales as O( p2log(N/p)) for one dimensional problems, O(Np2) for two dimensional problems, and O(N4/3p2) for three dimensional problems, where N is the number of degrees of freedom, and p is the polynomial order of approximation. The computational costs of the shared memory parallel isogeometric direct solver are compared with those corresponding to the sequential isogeometric direct solver, being the latest equal to O(N p2) for the one dimensional case, O(N1.5p3) for the two dimensional case, and O(N2p3) for the three dimensional case. The shared memory version significantly reduces both the scalability in terms of N and p. Theoretical estimates are compared with numerical experiments performed with linear, quadratic, cubic, quartic, and quintic B-splines, in one and two spatial dimensions. © 2014 Elsevier Ltd. All rights reserved.

  3. Attention and Visuospatial Working Memory Share the Same Processing Resources

    Directory of Open Access Journals (Sweden)

    Jing eFeng

    2012-04-01

    Full Text Available Attention and visuospatial working memory (VWM share very similar characteristics; both have the same upper bound of about four items in capacity and they recruit overlapping brain regions. We examined whether both attention and visuospatial working memory share the same processing resources using a novel dual-task-costs approach based on a load-varying dual-task technique. With sufficiently large loads on attention and VWM, considerable interference between the two processes was observed. A further load increase on either process produced reciprocal increases in interference on both processes, indicating that attention and VWM share common resources. More critically, comparison among four experiments on the reciprocal interference effects, as measured by the dual-task costs, demonstrates no significant contribution from additional processing other than the shared processes. These results support the notion that attention and VWM share the same processing resources.

  4. Conditional load and store in a shared memory

    Science.gov (United States)

    Blumrich, Matthias A; Ohmacht, Martin

    2015-02-03

    A method, system and computer program product for implementing load-reserve and store-conditional instructions in a multi-processor computing system. The computing system includes a multitude of processor units and a shared memory cache, and each of the processor units has access to the memory cache. In one embodiment, the method comprises providing the memory cache with a series of reservation registers, and storing in these registers addresses reserved in the memory cache for the processor units as a result of issuing load-reserve requests. In this embodiment, when one of the processor units makes a request to store data in the memory cache using a store-conditional request, the reservation registers are checked to determine if an address in the memory cache is reserved for that processor unit. If an address in the memory cache is reserved for that processor, the data are stored at this address.

  5. Deaf Children Building Narrative Texts. Effect of Adult-Shared vs. Non-Shared Perception of a Picture Story

    Directory of Open Access Journals (Sweden)

    Tarwacka-Odolczyk Agata

    2014-08-01

    Full Text Available This paper discusses the communicative competence of deaf children. It illustrates the process in which such children build narrative texts in interaction with a deaf teacher, and presents the diversity of this process due to the shared vs. non-shared perception of a picture - the source of the topic. Detailed analyses focus on the formal and semantic aspect of the stories, including the length of the text in sign language, the content selected, information categories, and types of answers to the teacher’s questions. This text is our contribution in memory of Professor Grace Wales Shugar, whose idea of dual agentivity of child-adult interaction inspired the research presented here.

  6. Shared Memory Parallelization of an Implicit ADI-type CFD Code

    Science.gov (United States)

    Hauser, Th.; Huang, P. G.

    1999-01-01

    A parallelization study designed for ADI-type algorithms is presented using the OpenMP specification for shared-memory multiprocessor programming. Details of optimizations specifically addressed to cache-based computer architectures are described and performance measurements for the single and multiprocessor implementation are summarized. The paper demonstrates that optimization of memory access on a cache-based computer architecture controls the performance of the computational algorithm. A hybrid MPI/OpenMP approach is proposed for clusters of shared memory machines to further enhance the parallel performance. The method is applied to develop a new LES/DNS code, named LESTool. A preliminary DNS calculation of a fully developed channel flow at a Reynolds number of 180, Re(sub tau) = 180, has shown good agreement with existing data.

  7. Parallel-vector algorithms for particle simulations on shared-memory multiprocessors

    International Nuclear Information System (INIS)

    Nishiura, Daisuke; Sakaguchi, Hide

    2011-01-01

    Over the last few decades, the computational demands of massive particle-based simulations for both scientific and industrial purposes have been continuously increasing. Hence, considerable efforts are being made to develop parallel computing techniques on various platforms. In such simulations, particles freely move within a given space, and so on a distributed-memory system, load balancing, i.e., assigning an equal number of particles to each processor, is not guaranteed. However, shared-memory systems achieve better load balancing for particle models, but suffer from the intrinsic drawback of memory access competition, particularly during (1) paring of contact candidates from among neighboring particles and (2) force summation for each particle. Here, novel algorithms are proposed to overcome these two problems. For the first problem, the key is a pre-conditioning process during which particle labels are sorted by a cell label in the domain to which the particles belong. Then, a list of contact candidates is constructed by pairing the sorted particle labels. For the latter problem, a table comprising the list indexes of the contact candidate pairs is created and used to sum the contact forces acting on each particle for all contacts according to Newton's third law. With just these methods, memory access competition is avoided without additional redundant procedures. The parallel efficiency and compatibility of these two algorithms were evaluated in discrete element method (DEM) simulations on four types of shared-memory parallel computers: a multicore multiprocessor computer, scalar supercomputer, vector supercomputer, and graphics processing unit. The computational efficiency of a DEM code was found to be drastically improved with our algorithms on all but the scalar supercomputer. Thus, the developed parallel algorithms are useful on shared-memory parallel computers with sufficient memory bandwidth.

  8. Implementing Shared Memory Parallelism in MCBEND

    Directory of Open Access Journals (Sweden)

    Bird Adam

    2017-01-01

    Full Text Available MCBEND is a general purpose radiation transport Monte Carlo code from AMEC Foster Wheelers’s ANSWERS® Software Service. MCBEND is well established in the UK shielding community for radiation shielding and dosimetry assessments. The existing MCBEND parallel capability effectively involves running the same calculation on many processors. This works very well except when the memory requirements of a model restrict the number of instances of a calculation that will fit on a machine. To more effectively utilise parallel hardware OpenMP has been used to implement shared memory parallelism in MCBEND. This paper describes the reasoning behind the choice of OpenMP, notes some of the challenges of multi-threading an established code such as MCBEND and assesses the performance of the parallel method implemented in MCBEND.

  9. Efficient implementations of block sparse matrix operations on shared memory vector machines

    International Nuclear Information System (INIS)

    Washio, T.; Maruyama, K.; Osoda, T.; Doi, S.; Shimizu, F.

    2000-01-01

    In this paper, we propose vectorization and shared memory-parallelization techniques for block-type random sparse matrix operations in finite element (FEM) applications. Here, a block corresponds to unknowns on one node in the FEM mesh and we assume that the block size is constant over the mesh. First, we discuss some basic vectorization ideas (the jagged diagonal (JAD) format and the segmented scan algorithm) for the sparse matrix-vector product. Then, we extend these ideas to the shared memory parallelization. After that, we show that the techniques can be applied not only to the sparse matrix-vector product but also to the sparse matrix-matrix product, the incomplete or complete sparse LU factorization and preconditioning. Finally, we report the performance evaluation results obtained on an NEC SX-4 shared memory vector machine for linear systems in some FEM applications. (author)

  10. Vertex trigger implementation using shared memory technology

    CERN Document Server

    Müller, H

    1998-01-01

    The implementation of a 1 st level vertex trigger for LHC-B is particularly difficult due to the high ( 1 MHz ) input data rate. With ca. 350 silicon hits per event, both the R strips and Phi strips of the detectors produce a total of ca 2 Gbyte/s zero-suppressed da ta.1 note succeeds to the ideas to use R-phi coordinates for fast integer linefinding in programmable hardware, as described in LHB note 97-006. For an implementation we propose a FPGA preprocessing stage operating at 1 MHz with the benefit to substantially reduce the amount of data to be transmitted to the CPUs and to liberate a large fraction of CPU time. Interconnected via 4 Gbit/s SCI technol-ogy 2 , a shared memory system can be built which allows to perform data driven eventbuilding with, or without preprocessing. A fully data driven architecture between source modules and destination memories provides a highly reliable memory-to-memory transfer mechanism of very low latency. The eventbuilding is performed via associating events at the sourc...

  11. Estudo de arquiteturas de memória para máquinas MIMD fortemente acopladas

    OpenAIRE

    Carlos Eduardo Rodrigues Alves

    1993-01-01

    O uso de técnicas de processamento paralelo tem se tornado bastante comum na implementação de sistemas computacionais de alto desempenho. Uma destas técnicas, o uso de múltiplos processadores independentes ligados a um sistema de memória compartilhado(normalmente denominada paralelismo MIMD fortemente acoplado), tem sido pesquisado de maneira especial, devido a sua flexibilidade e facilidade de programação. No entanto, a implementação de sistemas de memória compartilhada apresenta d...

  12. Parallel processing algorithms for hydrocodes on a computer with MIMD architecture (DENELCOR's HEP)

    International Nuclear Information System (INIS)

    Hicks, D.L.

    1983-11-01

    In real time simulation/prediction of complex systems such as water-cooled nuclear reactors, if reactor operators had fast simulator/predictors to check the consequences of their operations before implementing them, events such as the incident at Three Mile Island might be avoided. However, existing simulator/predictors such as RELAP run slower than real time on serial computers. It appears that the only way to overcome the barrier to higher computing rates is to use computers with architectures that allow concurrent computations or parallel processing. The computer architecture with the greatest degree of parallelism is labeled Multiple Instruction Stream, Multiple Data Stream (MIMD). An example of a machine of this type is the HEP computer by DENELCOR. It appears that hydrocodes are very well suited for parallelization on the HEP. It is a straightforward exercise to parallelize explicit, one-dimensional Lagrangean hydrocodes in a zone-by-zone parallelization. Similarly, implicit schemes can be parallelized in a zone-by-zone fashion via an a priori, symbolic inversion of the tridiagonal matrix that arises in an implicit scheme. These techniques are extended to Eulerian hydrocodes by using Harlow's rezone technique. The extension from single-phase Eulerian to two-phase Eulerian is straightforward. This step-by-step extension leads to hydrocodes with zone-by-zone parallelization that are capable of two-phase flow simulation. Extensions to two and three spatial dimensions can be achieved by operator splitting. It appears that a zone-by-zone parallelization is the best way to utilize the capabilities of an MIMD machine. 40 references

  13. The performance of disk arrays in shared-memory database machines

    Science.gov (United States)

    Katz, Randy H.; Hong, Wei

    1993-01-01

    In this paper, we examine how disk arrays and shared memory multiprocessors lead to an effective method for constructing database machines for general-purpose complex query processing. We show that disk arrays can lead to cost-effective storage systems if they are configured from suitably small formfactor disk drives. We introduce the storage system metric data temperature as a way to evaluate how well a disk configuration can sustain its workload, and we show that disk arrays can sustain the same data temperature as a more expensive mirrored-disk configuration. We use the metric to evaluate the performance of disk arrays in XPRS, an operational shared-memory multiprocessor database system being developed at the University of California, Berkeley.

  14. Working Memory Span Development: A Time-Based Resource-Sharing Model Account

    Science.gov (United States)

    Barrouillet, Pierre; Gavens, Nathalie; Vergauwe, Evie; Gaillard, Vinciane; Camos, Valerie

    2009-01-01

    The time-based resource-sharing model (P. Barrouillet, S. Bernardin, & V. Camos, 2004) assumes that during complex working memory span tasks, attention is frequently and surreptitiously switched from processing to reactivate decaying memory traces before their complete loss. Three experiments involving children from 5 to 14 years of age…

  15. Contention Modeling for Multithreaded Distributed Shared Memory Machines: The Cray XMT

    Energy Technology Data Exchange (ETDEWEB)

    Secchi, Simone; Tumeo, Antonino; Villa, Oreste

    2011-07-27

    Distributed Shared Memory (DSM) machines are a wide class of multi-processor computing systems where a large virtually-shared address space is mapped on a network of physically distributed memories. High memory latency and network contention are two of the main factors that limit performance scaling of such architectures. Modern high-performance computing DSM systems have evolved toward exploitation of massive hardware multi-threading and fine-grained memory hashing to tolerate irregular latencies, avoid network hot-spots and enable high scaling. In order to model the performance of such large-scale machines, parallel simulation has been proved to be a promising approach to achieve good accuracy in reasonable times. One of the most critical factors in solving the simulation speed-accuracy trade-off is network modeling. The Cray XMT is a massively multi-threaded supercomputing architecture that belongs to the DSM class, since it implements a globally-shared address space abstraction on top of a physically distributed memory substrate. In this paper, we discuss the development of a contention-aware network model intended to be integrated in a full-system XMT simulator. We start by measuring the effects of network contention in a 128-processor XMT machine and then investigate the trade-off that exists between simulation accuracy and speed, by comparing three network models which operate at different levels of accuracy. The comparison and model validation is performed by executing a string-matching algorithm on the full-system simulator and on the XMT, using three datasets that generate noticeably different contention patterns.

  16. Brain Information Sharing During Visual Short-Term Memory Binding Yields a Memory Biomarker for Familial Alzheimer's Disease.

    Science.gov (United States)

    Parra, Mario A; Mikulan, Ezequiel; Trujillo, Natalia; Sala, Sergio Della; Lopera, Francisco; Manes, Facundo; Starr, John; Ibanez, Agustin

    2017-01-01

    Alzheimer's disease (AD) as a disconnection syndrome which disrupts both brain information sharing and memory binding functions. The extent to which these two phenotypic expressions share pathophysiological mechanisms remains unknown. To unveil the electrophysiological correlates of integrative memory impairments in AD towards new memory biomarkers for its prodromal stages. Patients with 100% risk of familial AD (FAD) and healthy controls underwent assessment with the Visual Short-Term Memory binding test (VSTMBT) while we recorded their EEG. We applied a novel brain connectivity method (Weighted Symbolic Mutual Information) to EEG data. Patients showed significant deficits during the VSTMBT. A reduction of brain connectivity was observed during resting as well as during correct VSTM binding, particularly over frontal and posterior regions. An increase of connectivity was found during VSTM binding performance over central regions. While decreased connectivity was found in cases in more advanced stages of FAD, increased brain connectivity appeared in cases in earlier stages. Such altered patterns of task-related connectivity were found in 89% of the assessed patients. VSTM binding in the prodromal stages of FAD are associated to altered patterns of brain connectivity thus confirming the link between integrative memory deficits and impaired brain information sharing in prodromal FAD. While significant loss of brain connectivity seems to be a feature of the advanced stages of FAD increased brain connectivity characterizes its earlier stages. These findings are discussed in the light of recent proposals about the earliest pathophysiological mechanisms of AD and their clinical expression. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  17. Graphical Visualization on Computational Simulation Using Shared Memory

    International Nuclear Information System (INIS)

    Lima, A B; Correa, Eberth

    2014-01-01

    The Shared Memory technique is a powerful tool for parallelizing computer codes. In particular it can be used to visualize the results ''on the fly'' without stop running the simulation. In this presentation we discuss and show how to use the technique conjugated with a visualization code using openGL

  18. The effect of the order in which episodic autobiographical memories versus autobiographical knowledge are shared on feelings of closeness.

    Science.gov (United States)

    Brandon, Nicole R; Beike, Denise R; Cole, Holly E

    2017-07-01

    Autobiographical memories (AMs) can be used to create and maintain closeness with others [Alea, N., & Bluck, S. (2003). Why are you telling me that? A conceptual model of the social function of autobiographical memory. Memory, 11(2), 165-178]. However, the differential effects of memory specificity are not well established. Two studies with 148 participants tested whether the order in which autobiographical knowledge (AK) and specific episodic AM (EAM) are shared affects feelings of closeness. Participants read two memories hypothetically shared by each of four strangers. The strangers first shared either AK or an EAM, and then shared either AK or an EAM. Participants were randomly assigned to read either positive or negative AMs from the strangers. Findings suggest that people feel closer to those who share positive AMs in the same way they construct memories: starting with general and moving to specific.

  19. High Performance Programming Using Explicit Shared Memory Model on Cray T3D1

    Science.gov (United States)

    Simon, Horst D.; Saini, Subhash; Grassi, Charles

    1994-01-01

    The Cray T3D system is the first-phase system in Cray Research, Inc.'s (CRI) three-phase massively parallel processing (MPP) program. This system features a heterogeneous architecture that closely couples DEC's Alpha microprocessors and CRI's parallel-vector technology, i.e., the Cray Y-MP and Cray C90. An overview of the Cray T3D hardware and available programming models is presented. Under Cray Research adaptive Fortran (CRAFT) model four programming methods (data parallel, work sharing, message-passing using PVM, and explicit shared memory model) are available to the users. However, at this time data parallel and work sharing programming models are not available to the user community. The differences between standard PVM and CRI's PVM are highlighted with performance measurements such as latencies and communication bandwidths. We have found that the performance of neither standard PVM nor CRI s PVM exploits the hardware capabilities of the T3D. The reasons for the bad performance of PVM as a native message-passing library are presented. This is illustrated by the performance of NAS Parallel Benchmarks (NPB) programmed in explicit shared memory model on Cray T3D. In general, the performance of standard PVM is about 4 to 5 times less than obtained by using explicit shared memory model. This degradation in performance is also seen on CM-5 where the performance of applications using native message-passing library CMMD on CM-5 is also about 4 to 5 times less than using data parallel methods. The issues involved (such as barriers, synchronization, invalidating data cache, aligning data cache etc.) while programming in explicit shared memory model are discussed. Comparative performance of NPB using explicit shared memory programming model on the Cray T3D and other highly parallel systems such as the TMC CM-5, Intel Paragon, Cray C90, IBM-SP1, etc. is presented.

  20. MulticoreBSP for C : A high-performance library for shared-memory parallel programming

    NARCIS (Netherlands)

    Yzelman, A. N.; Bisseling, R. H.; Roose, D.; Meerbergen, K.

    2014-01-01

    The bulk synchronous parallel (BSP) model, as well as parallel programming interfaces based on BSP, classically target distributed-memory parallel architectures. In earlier work, Yzelman and Bisseling designed a MulticoreBSP for Java library specifically for shared-memory architectures. In the

  1. On the impact of communication complexity in the design of parallel numerical algorithms

    Science.gov (United States)

    Gannon, D.; Vanrosendale, J.

    1984-01-01

    This paper describes two models of the cost of data movement in parallel numerical algorithms. One model is a generalization of an approach due to Hockney, and is suitable for shared memory multiprocessors where each processor has vector capabilities. The other model is applicable to highly parallel nonshared memory MIMD systems. In the second model, algorithm performance is characterized in terms of the communication network design. Techniques used in VLSI complexity theory are also brought in, and algorithm independent upper bounds on system performance are derived for several problems that are important to scientific computation.

  2. Carbon nanomaterials for non-volatile memories

    Science.gov (United States)

    Ahn, Ethan C.; Wong, H.-S. Philip; Pop, Eric

    2018-03-01

    Carbon can create various low-dimensional nanostructures with remarkable electronic, optical, mechanical and thermal properties. These features make carbon nanomaterials especially interesting for next-generation memory and storage devices, such as resistive random access memory, phase-change memory, spin-transfer-torque magnetic random access memory and ferroelectric random access memory. Non-volatile memories greatly benefit from the use of carbon nanomaterials in terms of bit density and energy efficiency. In this Review, we discuss sp2-hybridized carbon-based low-dimensional nanostructures, such as fullerene, carbon nanotubes and graphene, in the context of non-volatile memory devices and architectures. Applications of carbon nanomaterials as memory electrodes, interfacial engineering layers, resistive-switching media, and scalable, high-performance memory selectors are investigated. Finally, we compare the different memory technologies in terms of writing energy and time, and highlight major challenges in the manufacturing, integration and understanding of the physical mechanisms and material properties.

  3. Implementation of Parallel Dynamic Simulation on Shared-Memory vs. Distributed-Memory Environments

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Shuangshuang; Chen, Yousu; Wu, Di; Diao, Ruisheng; Huang, Zhenyu

    2015-12-09

    Power system dynamic simulation computes the system response to a sequence of large disturbance, such as sudden changes in generation or load, or a network short circuit followed by protective branch switching operation. It consists of a large set of differential and algebraic equations, which is computational intensive and challenging to solve using single-processor based dynamic simulation solution. High-performance computing (HPC) based parallel computing is a very promising technology to speed up the computation and facilitate the simulation process. This paper presents two different parallel implementations of power grid dynamic simulation using Open Multi-processing (OpenMP) on shared-memory platform, and Message Passing Interface (MPI) on distributed-memory clusters, respectively. The difference of the parallel simulation algorithms and architectures of the two HPC technologies are illustrated, and their performances for running parallel dynamic simulation are compared and demonstrated.

  4. A homotopy method for solving Riccati equations on a shared memory parallel computer

    International Nuclear Information System (INIS)

    Zigic, D.; Watson, L.T.; Collins, E.G. Jr.; Davis, L.D.

    1993-01-01

    Although there are numerous algorithms for solving Riccati equations, there still remains a need for algorithms which can operate efficiently on large problems and on parallel machines. This paper gives a new homotopy-based algorithm for solving Riccati equations on a shared memory parallel computer. The central part of the algorithm is the computation of the kernel of the Jacobian matrix, which is essential for the corrector iterations along the homotopy zero curve. Using a Schur decomposition the tensor product structure of various matrices can be efficiently exploited. The algorithm allows for efficient parallelization on shared memory machines

  5. Specification and development of the sharing memory data management module for a nuclear processes simulator

    International Nuclear Information System (INIS)

    Telesforo R, D.

    2003-01-01

    Actually it is developed in the Engineering Faculty of UNAM a simulator of nuclear processes with research and teaching purposes. It consists of diverse modules, included the one that is described in the present work that is the shared memory module. It uses the IPC mechanisms of the UNIX System V operative system, and it was codified with C language. To model the diverse components of the simulator the RELAP code is used. The function of the module is to generate locations of shared memory for to deposit in these the necessary variables for the interaction among the diverse ones processes of the simulator. In its it will be able read and to write the information that generate the running of the simulation program, besides being able to interact with the internal variables of the code in execution time. The graphic unfolding (mimic, pictorials, tendency graphics, virtual instrumentation, etc.) they also obtain information of the shared memory. In turn, actions of the user in interactive unfolding, they modify the segments of shared memory, and the information is sent to the RELAP code to modify the simulation course. The program has two beginning modes: automatic and manual. In automatic mode taking an enter file of RELAP (indta) and it joins in shared memory, the control variables that in this appear. In manual mode the user joins, he reads and he writes the wanted control variables, whenever they exist in the enter file (indta). This is a dynamic mode of interacting with the simulator in a direct way and of even altering the values as when its don't exist in the board elements associated to the variables. (Author)

  6. Shared mushroom body circuits underlie visual and olfactory memories in Drosophila

    Science.gov (United States)

    Vogt, Katrin; Schnaitmann, Christopher; Dylla, Kristina V; Knapek, Stephan; Aso, Yoshinori; Rubin, Gerald M; Tanimoto, Hiromu

    2014-01-01

    In nature, animals form memories associating reward or punishment with stimuli from different sensory modalities, such as smells and colors. It is unclear, however, how distinct sensory memories are processed in the brain. We established appetitive and aversive visual learning assays for Drosophila that are comparable to the widely used olfactory learning assays. These assays share critical features, such as reinforcing stimuli (sugar reward and electric shock punishment), and allow direct comparison of the cellular requirements for visual and olfactory memories. We found that the same subsets of dopamine neurons drive formation of both sensory memories. Furthermore, distinct yet partially overlapping subsets of mushroom body intrinsic neurons are required for visual and olfactory memories. Thus, our results suggest that distinct sensory memories are processed in a common brain center. Such centralization of related brain functions is an economical design that avoids the repetition of similar circuit motifs. DOI: http://dx.doi.org/10.7554/eLife.02395.001 PMID:25139953

  7. Method for refreshing a non-volatile memory

    Science.gov (United States)

    Riekels, James E.; Schlesinger, Samuel

    2008-11-04

    A non-volatile memory and a method of refreshing a memory are described. The method includes allowing an external system to control refreshing operations within the memory. The memory may generate a refresh request signal and transmit the refresh request signal to the external system. When the external system finds an available time to process the refresh request, the external system acknowledges the refresh request and transmits a refresh acknowledge signal to the memory. The memory may also comprise a page register for reading and rewriting a data state back to the memory. The page register may comprise latches in lieu of supplemental non-volatile storage elements, thereby conserving real estate within the memory.

  8. Shared random access memory resource for multiprocessor real-time systems

    International Nuclear Information System (INIS)

    Dimmler, D.G.; Hardy, W.H. II

    1977-01-01

    A shared random-access memory resource is described which is used within real-time data acquisition and control systems with multiprocessor and multibus organizations. Hardware and software aspects are discussed in a specific example where interconnections are done via a UNIBUS. The general applicability of the approach is also discussed

  9. Sharing and Unsharing Memories of Jews of Moroccan Origin in Montréal and Paris Compared

    Directory of Open Access Journals (Sweden)

    Yolande Cohen

    2012-11-01

    Full Text Available This text 1 explores the memories of Moroccan Jews who left their country of origin to go to France and to Canada, through their life stories. By questioning the constitution of a shared memory and of a group memory, it stresses the interest to adopt a generational perspective to better understand the migration of this population. While some interviewees emphasize the rationalization of their departure, the younger ones, consider their leaving as a natural step in their many migrations. These distinctions are central to show how the memory of the departures and the depiction of the colonial society are shared by members of a group, and unshared with the larger Moroccan society.

  10. Non-pharmacological intervention for memory decline

    Directory of Open Access Journals (Sweden)

    Maria eCotelli

    2012-03-01

    Full Text Available Non-pharmacological treatment of memory difficulties in healthy older adults, as well as those with brain damage and neurodegenerative disorders, has gained much attention in recent years (Ball et al., 2002, Willis et al., 2006, Acevedo and Loewenstein, 2007. The two main reasons that explain this growing interest in memory rehabilitation are the limited efficacy of current drug therapies and the plasticity of the human central nervous system (Cotelli et al., 2011c and the discovery that during aging, the connections in the brain are not fixed but retain the capacity to change with learning.Moreover, several studies have reported enhanced cognitive performance in patients with neurological disease, following non-invasive brain stimulation (i.e., repetitive transcranial magnetic stimulation (rTMS and transcranial direct current stimulation (tDCS to specific cortical areas. The present review provides an overview of memory rehabilitation in individuals with Mild Cognitive Impairment (MCI and in patients with Alzheimer’s Disease (AD with particular regard to cognitive rehabilitation interventions focused on memory and non-invasive brain stimulation. Reviewed data suggest that in patients with memory deficits, memory intervention therapy could lead to performance improvements in memory, nevertheless further studies need to be conducted in order to establish the real value of this approach.

  11. Parallel SN algorithms in shared- and distributed-memory environments

    International Nuclear Information System (INIS)

    Haghighat, Alireza; Hunter, Melissa A.; Mattis, Ronald E.

    1995-01-01

    Different 2-D spatial domain partitioning Sn transport theory algorithms have been developed on the basis of the Block-Jacobi iterative scheme. These algorithms have been incorporated into TWOTRAN-II, and tested on a shared-memory CRAY Y-MP C90 and a distributed-memory IBM SP1. For a series of fixed source r-z geometry homogeneous problems, parallel efficiencies in a range of 50-90% are achieved on the C90 with 6 processors, and lower values (20-60%) are obtained on the SP1. It is demonstrated that better performance is attainable if one addresses issues such as convergence rate, load-balancing, and granularity for both architectures, as well as message passing (network bandwidth and latency) for SP1. (author). 17 refs, 4 figs

  12. A new shared-memory programming paradigm for molecular dynamics simulations on the Intel Paragon

    International Nuclear Information System (INIS)

    D'Azevedo, E.F.; Romine, C.H.

    1994-12-01

    This report describes the use of shared memory emulation with DOLIB (Distributed Object Library) to simplify parallel programming on the Intel Paragon. A molecular dynamics application is used as an example to illustrate the use of the DOLIB shared memory library. SOTON-PAR, a parallel molecular dynamics code with explicit message-passing using a Lennard-Jones 6-12 potential, is rewritten using DOLIB primitives. The resulting code has no explicit message primitives and resembles a serial code. The new code can perform dynamic load balancing and achieves better performance than the original parallel code with explicit message-passing

  13. An Alternative Algorithm for Computing Watersheds on Shared Memory Parallel Computers

    NARCIS (Netherlands)

    Meijster, A.; Roerdink, J.B.T.M.

    1995-01-01

    In this paper a parallel implementation of a watershed algorithm is proposed. The algorithm can easily be implemented on shared memory parallel computers. The watershed transform is generally considered to be inherently sequential since the discrete watershed of an image is defined using recursion.

  14. Parallel discrete event simulation using shared memory

    Science.gov (United States)

    Reed, Daniel A.; Malony, Allen D.; Mccredie, Bradley D.

    1988-01-01

    With traditional event-list techniques, evaluating a detailed discrete-event simulation-model can often require hours or even days of computation time. By eliminating the event list and maintaining only sufficient synchronization to ensure causality, parallel simulation can potentially provide speedups that are linear in the numbers of processors. A set of shared-memory experiments, using the Chandy-Misra distributed-simulation algorithm, to simulate networks of queues is presented. Parameters of the study include queueing network topology and routing probabilities, number of processors, and assignment of network nodes to processors. These experiments show that Chandy-Misra distributed simulation is a questionable alternative to sequential-simulation of most queueing network models.

  15. Analytical derivation of traffic patterns in cache-coherent shared-memory systems

    DEFF Research Database (Denmark)

    Stuart, Matthias Bo; Sparsø, Jens

    2011-01-01

    This paper presents an analytical method to derive the worst-case traffic pattern caused by a task graph mapped to a cache-coherent shared-memory system. Our analysis allows designers to rapidly evaluate the impact of different mappings of tasks to IP cores on the traffic pattern. The accuracy...

  16. NUMA obliviousness through memory mapping

    NARCIS (Netherlands)

    M.M. Gawade (Mrunal); M.L. Kersten (Martin)

    2015-01-01

    htmlabstractWith the rise of multi-socket multi-core CPUs a lot of effort is being put into how to best exploit their abundant CPU power. In a shared memory setting the multi-socket CPUs are equipped with their own memory module, and access memory modules across sockets in a non-uniform

  17. NUMA obliviousness through memory mapping

    NARCIS (Netherlands)

    Gawade, M.; Kersten, M.; Pandis, I.; Kersten, M.

    2015-01-01

    With the rise of multi-socket multi-core CPUs a lot of effort is being put into how to best exploit their abundant CPU power. In a shared memory setting the multi-socket CPUs are equipped with their own memory module, and access memory modules across sockets in a non-uniform access pattern (NUMA).

  18. Portable parallel programming in a Fortran environment

    International Nuclear Information System (INIS)

    May, E.N.

    1989-01-01

    Experience using the Argonne-developed PARMACs macro package to implement a portable parallel programming environment is described. Fortran programs with intrinsic parallelism of coarse and medium granularity are easily converted to parallel programs which are portable among a number of commercially available parallel processors in the class of shared-memory bus-based and local-memory network based MIMD processors. The parallelism is implemented using standard UNIX (tm) tools and a small number of easily understood synchronization concepts (monitors and message-passing techniques) to construct and coordinate multiple cooperating processes on one or many processors. Benchmark results are presented for parallel computers such as the Alliant FX/8, the Encore MultiMax, the Sequent Balance, the Intel iPSC/2 Hypercube and a network of Sun 3 workstations. These parallel machines are typical MIMD types with from 8 to 30 processors, each rated at from 1 to 10 MIPS processing power. The demonstration code used for this work is a Monte Carlo simulation of the response to photons of a ''nearly realistic'' lead, iron and plastic electromagnetic and hadronic calorimeter, using the EGS4 code system. 6 refs., 2 figs., 2 tabs

  19. Active non-volatile memory post-processing

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Sudarsun; Milojicic, Dejan S.; Talwar, Vanish

    2017-04-11

    A computing node includes an active Non-Volatile Random Access Memory (NVRAM) component which includes memory and a sub-processor component. The memory is to store data chunks received from a processor core, the data chunks comprising metadata indicating a type of post-processing to be performed on data within the data chunks. The sub-processor component is to perform post-processing of said data chunks based on said metadata.

  20. A Visual Approach to Investigating Shared and Global Memory Behavior of CUDA Kernels

    KAUST Repository

    Rosen, Paul

    2013-01-01

    We present an approach to investigate the memory behavior of a parallel kernel executing on thousands of threads simultaneously within the CUDA architecture. Our top-down approach allows for quickly identifying any significant differences between the execution of the many blocks and warps. As interesting warps are identified, we allow further investigation of memory behavior by visualizing the shared memory bank conflicts and global memory coalescence, first with an overview of a single warp with many operations and, subsequently, with a detailed view of a single warp and a single operation. We demonstrate the strength of our approach in the context of a parallel matrix transpose kernel and a parallel 1D Haar Wavelet transform kernel. © 2013 The Author(s) Computer Graphics Forum © 2013 The Eurographics Association and Blackwell Publishing Ltd.

  1. A Visual Approach to Investigating Shared and Global Memory Behavior of CUDA Kernels

    KAUST Repository

    Rosen, Paul

    2013-06-01

    We present an approach to investigate the memory behavior of a parallel kernel executing on thousands of threads simultaneously within the CUDA architecture. Our top-down approach allows for quickly identifying any significant differences between the execution of the many blocks and warps. As interesting warps are identified, we allow further investigation of memory behavior by visualizing the shared memory bank conflicts and global memory coalescence, first with an overview of a single warp with many operations and, subsequently, with a detailed view of a single warp and a single operation. We demonstrate the strength of our approach in the context of a parallel matrix transpose kernel and a parallel 1D Haar Wavelet transform kernel. © 2013 The Author(s) Computer Graphics Forum © 2013 The Eurographics Association and Blackwell Publishing Ltd.

  2. The Efficiency of Linda for General Purpose Scientific Programming

    Directory of Open Access Journals (Sweden)

    Timothy G. Mattson

    1994-01-01

    Full Text Available Linda (Linda is a registered trademark of Scientific Computing Associates, Inc. is a programming language for coordinating the execution and interaction of processes. When combined with a language for computation (such as C or Fortran, the resulting hybrid language can be used to write portable programs for parallel and distributed multiple instruction multiple data (MIMD computers. The Linda programming model is based on operations that read, write, and erase a virtual shared memory. It is easy to use, and lets the programmer code in a very expressive, uncoupled programming style. These benefits, however, are of little value unless Linda programs execute efficiently. The goal of this article is to demonstrate that Linda programs are efficient making Linda an effective general purpose tool for programming MIMD parallel computers. Two arguments for Linda's efficiency are given; the first is based on Linda's implementation and the second on a range of case studies spanning a complete set of parallel algorithm classes.

  3. Parallel k-means++ for Multiple Shared-Memory Architectures

    Energy Technology Data Exchange (ETDEWEB)

    Mackey, Patrick S.; Lewis, Robert R.

    2016-09-22

    In recent years k-means++ has become a popular initialization technique for improved k-means clustering. To date, most of the work done to improve its performance has involved parallelizing algorithms that are only approximations of k-means++. In this paper we present a parallelization of the exact k-means++ algorithm, with a proof of its correctness. We develop implementations for three distinct shared-memory architectures: multicore CPU, high performance GPU, and the massively multithreaded Cray XMT platform. We demonstrate the scalability of the algorithm on each platform. In addition we present a visual approach for showing which platform performed k-means++ the fastest for varying data sizes.

  4. Implementation of a 3D plasma particle-in-cell code on a MIMD parallel computer

    International Nuclear Information System (INIS)

    Liewer, P.C.; Lyster, P.; Wang, J.

    1993-01-01

    A three-dimensional plasma particle-in-cell (PIC) code has been implemented on the Intel Delta MIMD parallel supercomputer using the General Concurrent PIC algorithm. The GCPIC algorithm uses a domain decomposition to divide the computation among the processors: A processor is assigned a subdomain and all the particles in it. Particles must be exchanged between processors as they move. Results are presented comparing the efficiency for 1-, 2- and 3-dimensional partitions of the three dimensional domain. This algorithm has been found to be very efficient even when a large fraction (e.g. 30%) of the particles must be exchanged at every time step. On the 512-node Intel Delta, up to 125 million particles have been pushed with an electrostatic push time of under 500 nsec/particle/time step

  5. Sharing Memories

    DEFF Research Database (Denmark)

    Rodil, Kasper; Nielsen, Emil Byskov; Nielsen, Jonathan Bernstorff

    2018-01-01

    in which it was to be contextualized and through a close partnership between aphasics and their caretakers. The underlying design methodology for the MemoryBook is Participatory Design manifested through the collaboration and creations by two aphasic residents and one member of the support staff. The idea...

  6. MULTI: a shared memory approach to cooperative molecular modeling.

    Science.gov (United States)

    Darden, T; Johnson, P; Smith, H

    1991-03-01

    A general purpose molecular modeling system, MULTI, based on the UNIX shared memory and semaphore facilities for interprocess communication is described. In addition to the normal querying or monitoring of geometric data, MULTI also provides processes for manipulating conformations, and for displaying peptide or nucleic acid ribbons, Connolly surfaces, close nonbonded contacts, crystal-symmetry related images, least-squares superpositions, and so forth. This paper outlines the basic techniques used in MULTI to ensure cooperation among these specialized processes, and then describes how they can work together to provide a flexible modeling environment.

  7. Non-volatile memory based on the ferroelectric photovoltaic effect

    Science.gov (United States)

    Guo, Rui; You, Lu; Zhou, Yang; Shiuh Lim, Zhi; Zou, Xi; Chen, Lang; Ramesh, R.; Wang, Junling

    2013-01-01

    The quest for a solid state universal memory with high-storage density, high read/write speed, random access and non-volatility has triggered intense research into new materials and novel device architectures. Though the non-volatile memory market is dominated by flash memory now, it has very low operation speed with ~10 μs programming and ~10 ms erasing time. Furthermore, it can only withstand ~105 rewriting cycles, which prevents it from becoming the universal memory. Here we demonstrate that the significant photovoltaic effect of a ferroelectric material, such as BiFeO3 with a band gap in the visible range, can be used to sense the polarization direction non-destructively in a ferroelectric memory. A prototype 16-cell memory based on the cross-bar architecture has been prepared and tested, demonstrating the feasibility of this technique. PMID:23756366

  8. Ab initio quantum chemistry in parallel-portable tools and applications

    International Nuclear Information System (INIS)

    Harrison, R.J.; Shepard, R.; Kendall, R.A.

    1991-01-01

    In common with many of the computational sciences, ab initio chemistry faces computational constraints to which a partial solution is offered by the prospect of highly parallel computers. Ab initio codes are large and complex (O(10 5 ) lines of FORTRAN), representing a significant investment of communal effort. The often conflicting requirements of portability and efficiency have been successfully resolved on vector computers by reliance on matrix oriented kernels. This proves inadequate even upon closely-coupled shared-memory parallel machines. We examine the algorithms employed during a typical sequence of calculations. Then we investigate how efficient portable parallel implementations may be derived, including the complex multi-reference singles and doubles configuration interaction algorithm. A portable toolkit, modeled after the Intel iPSC and the ANL-ACRF PARMACS, is developed, using shared memory and TCP/IP sockets. The toolkit is used as an initial platform for programs portable between LANS, Crays and true distributed-memory MIMD machines. Timings are presented. 53 refs., 4 tabs

  9. To share and be shared

    DEFF Research Database (Denmark)

    Winther, Ida Wentzel

    2018-01-01

    to another. To a certain degree, they share their everyday lives, things, places, memories, and past/future, but as the ones who move back and forth, they belong a little less in each place. This article is about children who are shared between their parent, households and siblings. They are shared...

  10. Insights on consciousness from taste memory research.

    Science.gov (United States)

    Gallo, Milagros

    2016-01-01

    Taste research in rodents supports the relevance of memory in order to determine the content of consciousness by modifying both taste perception and later action. Associated with this issue is the fact that taste and visual modalities share anatomical circuits traditionally related to conscious memory. This challenges the view of taste memory as a type of non-declarative unconscious memory.

  11. Differential effects of non-REM and REM sleep on memory consolidation?

    Science.gov (United States)

    Ackermann, Sandra; Rasch, Björn

    2014-02-01

    Sleep benefits memory consolidation. Previous theoretical accounts have proposed a differential role of slow-wave sleep (SWS), rapid-eye-movement (REM) sleep, and stage N2 sleep for different types of memories. For example the dual process hypothesis proposes that SWS is beneficial for declarative memories, whereas REM sleep is important for consolidation of non-declarative, procedural and emotional memories. In fact, numerous recent studies do provide further support for the crucial role of SWS (or non-REM sleep) in declarative memory consolidation. However, recent evidence for the benefit of REM sleep for non-declarative memories is rather scarce. In contrast, several recent studies have related consolidation of procedural memories (and some also emotional memories) to SWS (or non-REM sleep)-dependent consolidation processes. We will review this recent evidence, and propose future research questions to advance our understanding of the role of different sleep stages for memory consolidation.

  12. EDITORIAL: Non-volatile memory based on nanostructures Non-volatile memory based on nanostructures

    Science.gov (United States)

    Kalinin, Sergei; Yang, J. Joshua; Demming, Anna

    2011-06-01

    Non-volatile memory refers to the crucial ability of computers to store information once the power source has been removed. Traditionally this has been achieved through flash, magnetic computer storage and optical discs, and in the case of very early computers paper tape and punched cards. While computers have advanced considerably from paper and punched card memory devices, there are still limits to current non-volatile memory devices that restrict them to use as secondary storage from which data must be loaded and carefully saved when power is shut off. Denser, faster, low-energy non-volatile memory is highly desired and nanostructures are the critical enabler. This special issue on non-volatile memory based on nanostructures describes some of the new physics and technology that may revolutionise future computers. Phase change random access memory, which exploits the reversible phase change between crystalline and amorphous states, also holds potential for future memory devices. The chalcogenide Ge2Sb2Te5 (GST) is a promising material in this field because it combines a high activation energy for crystallization and a relatively low crystallization temperature, as well as a low melting temperature and low conductivity, which accommodates localized heating. Doping is often used to lower the current required to activate the phase change or 'reset' GST but this often aggravates other problems. Now researchers in Korea report in-depth studies of SiO2-doped GST and identify ways of optimising the material's properties for phase-change random access memory [1]. Resistance switching is an area that has attracted a particularly high level of interest for non-volatile memory technology, and a great deal of research has focused on the potential of TiO2 as a model system in this respect. Researchers at HP labs in the US have made notable progress in this field, and among the work reported in this special issue they describe means to control the switch resistance and show

  13. Translation techniques for distributed-shared memory programming models

    Energy Technology Data Exchange (ETDEWEB)

    Fuller, Douglas James [Iowa State Univ., Ames, IA (United States)

    2005-01-01

    The high performance computing community has experienced an explosive improvement in distributed-shared memory hardware. Driven by increasing real-world problem complexity, this explosion has ushered in vast numbers of new systems. Each new system presents new challenges to programmers and application developers. Part of the challenge is adapting to new architectures with new performance characteristics. Different vendors release systems with widely varying architectures that perform differently in different situations. Furthermore, since vendors need only provide a single performance number (total MFLOPS, typically for a single benchmark), they only have strong incentive initially to optimize the API of their choice. Consequently, only a fraction of the available APIs are well optimized on most systems. This causes issues porting and writing maintainable software, let alone issues for programmers burdened with mastering each new API as it is released. Also, programmers wishing to use a certain machine must choose their API based on the underlying hardware instead of the application. This thesis argues that a flexible, extensible translator for distributed-shared memory APIs can help address some of these issues. For example, a translator might take as input code in one API and output an equivalent program in another. Such a translator could provide instant porting for applications to new systems that do not support the application's library or language natively. While open-source APIs are abundant, they do not perform optimally everywhere. A translator would also allow performance testing using a single base code translated to a number of different APIs. Most significantly, this type of translator frees programmers to select the most appropriate API for a given application based on the application (and developer) itself instead of the underlying hardware.

  14. Coupling Computer Codes for The Analysis of Severe Accident Using A Pseudo Shared Memory Based on MPI

    International Nuclear Information System (INIS)

    Cho, Young Chul; Park, Chang-Hwan; Kim, Dong-Min

    2016-01-01

    As there are four codes in-vessel analysis code (CSPACE), ex-vessel analysis code (SACAP), corium behavior analysis code (COMPASS), and fission product behavior analysis code, for the analysis of severe accident, it is complex to implement the coupling of codes with the similar methodologies for RELAP and CONTEMPT or SPACE and CAP. Because of that, an efficient coupling so called Pseudo shared memory architecture was introduced. In this paper, coupling methodologies will be compared and the methodology used for the analysis of severe accident will be discussed in detail. The barrier between in-vessel and ex-vessel has been removed for the analysis of severe accidents with the implementation of coupling computer codes with pseudo shared memory architecture based on MPI. The remaining are proper choice and checking of variables and values for the selected severe accident scenarios, e.g., TMI accident. Even though it is possible to couple more than two computer codes with pseudo shared memory architecture, the methodology should be revised to couple parallel codes especially when they are programmed using MPI

  15. Coupling Computer Codes for The Analysis of Severe Accident Using A Pseudo Shared Memory Based on MPI

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Young Chul; Park, Chang-Hwan; Kim, Dong-Min [FNC Technology Co., Yongin (Korea, Republic of)

    2016-10-15

    As there are four codes in-vessel analysis code (CSPACE), ex-vessel analysis code (SACAP), corium behavior analysis code (COMPASS), and fission product behavior analysis code, for the analysis of severe accident, it is complex to implement the coupling of codes with the similar methodologies for RELAP and CONTEMPT or SPACE and CAP. Because of that, an efficient coupling so called Pseudo shared memory architecture was introduced. In this paper, coupling methodologies will be compared and the methodology used for the analysis of severe accident will be discussed in detail. The barrier between in-vessel and ex-vessel has been removed for the analysis of severe accidents with the implementation of coupling computer codes with pseudo shared memory architecture based on MPI. The remaining are proper choice and checking of variables and values for the selected severe accident scenarios, e.g., TMI accident. Even though it is possible to couple more than two computer codes with pseudo shared memory architecture, the methodology should be revised to couple parallel codes especially when they are programmed using MPI.

  16. Functions of Memory Sharing and Mother-Child Reminiscing Behaviors: Individual and Cultural Variations

    Science.gov (United States)

    Kulkofsky, Sarah; Wang, Qi; Koh, Jessie Bee Kim

    2009-01-01

    This study examined maternal beliefs about the functions of memory sharing and the relations between these beliefs and mother-child reminiscing behaviors in a cross-cultural context. Sixty-three European American and 47 Chinese mothers completed an open-ended questionnaire concerning their beliefs about the functions of parent-child memory…

  17. Early MIMD experience with a plasma physics simulation program on the CRAY X-MP

    International Nuclear Information System (INIS)

    Rhoades, C.E. Jr.

    1986-02-01

    This paper describes some early experience with converting a plasma physics simulation program to the CRAY X-MP, a current multiple instruction, multiple data (MIMD) computer consisting of two processors with architecture similar to that of the CRAY-1. The computer program used in this study is an all Fortran version of SELF, a two species, one space, two velocity, electromagnetic, Newtonian, particle in cell, plasma simulation code. The approach to converting SELF to use both processors of the CRAY X-MP is described in some detail. The resulting multiprocessor version of SELF is nearly a factor of two faster in real time than the single processor version. The multiprocessor version obtains 58.2+-.1 seconds of central processor time in 30+-.5 seconds of real time. For comparison, the CRAY-1 execution time if 74.5 seconds. For SELF, which is mostly scalar coding, the CRAY X-MP is about 2.5 times faster overall than the CRAY-1

  18. Highly Stretchable Non-volatile Nylon Thread Memory

    Science.gov (United States)

    Kang, Ting-Kuo

    2016-04-01

    Integration of electronic elements into textiles, to afford e-textiles, can provide an ideal platform for the development of lightweight, thin, flexible, and stretchable e-textiles. This approach will enable us to meet the demands of the rapidly growing market of wearable-electronics on arbitrary non-conventional substrates. However the actual integration of the e-textiles that undergo mechanical deformations during both assembly and daily wear or satisfy the requirements of the low-end applications, remains a challenge. Resistive memory elements can also be fabricated onto a nylon thread (NT) for e-textile applications. In this study, a simple dip-and-dry process using graphene-PEDOT:PSS (poly(3,4-ethylenedioxythiophene) polystyrene sulfonate) ink is proposed for the fabrication of a highly stretchable non-volatile NT memory. The NT memory appears to have typical write-once-read-many-times characteristics. The results show that an ON/OFF ratio of approximately 103 is maintained for a retention time of 106 s. Furthermore, a highly stretchable strain and a long-term digital-storage capability of the ON-OFF-ON states are demonstrated in the NT memory. The actual integration of the knitted NT memories into textiles will enable new design possibilities for low-cost and large-area e-textile memory applications.

  19. Parallel discrete event simulation: A shared memory approach

    Science.gov (United States)

    Reed, Daniel A.; Malony, Allen D.; Mccredie, Bradley D.

    1987-01-01

    With traditional event list techniques, evaluating a detailed discrete event simulation model can often require hours or even days of computation time. Parallel simulation mimics the interacting servers and queues of a real system by assigning each simulated entity to a processor. By eliminating the event list and maintaining only sufficient synchronization to insure causality, parallel simulation can potentially provide speedups that are linear in the number of processors. A set of shared memory experiments is presented using the Chandy-Misra distributed simulation algorithm to simulate networks of queues. Parameters include queueing network topology and routing probabilities, number of processors, and assignment of network nodes to processors. These experiments show that Chandy-Misra distributed simulation is a questionable alternative to sequential simulation of most queueing network models.

  20. Virtual memory support for distributed computing environments using a shared data object model

    Science.gov (United States)

    Huang, F.; Bacon, J.; Mapp, G.

    1995-12-01

    Conventional storage management systems provide one interface for accessing memory segments and another for accessing secondary storage objects. This hinders application programming and affects overall system performance due to mandatory data copying and user/kernel boundary crossings, which in the microkernel case may involve context switches. Memory-mapping techniques may be used to provide programmers with a unified view of the storage system. This paper extends such techniques to support a shared data object model for distributed computing environments in which good support for coherence and synchronization is essential. The approach is based on a microkernel, typed memory objects, and integrated coherence control. A microkernel architecture is used to support multiple coherence protocols and the addition of new protocols. Memory objects are typed and applications can choose the most suitable protocols for different types of object to avoid protocol mismatch. Low-level coherence control is integrated with high-level concurrency control so that the number of messages required to maintain memory coherence is reduced and system-wide synchronization is realized without severely impacting the system performance. These features together contribute a novel approach to the support for flexible coherence under application control.

  1. Schizophrenia patients demonstrate a dissociation on declarative and non-declarative memory tests.

    Science.gov (United States)

    Perry, W; Light, G A; Davis, H; Braff, D L

    2000-12-15

    Declarative memory refers to the recall and recognition of factual information. In contrast, non-declarative memory entails a facilitation of memory based on prior exposure and is typically assessed with priming and perceptual-motor sequencing tasks. In this study, schizophrenia patients were compared to normal comparison subjects on two computerized memory tasks: the Word-stem Priming Test (n=30) and the Pattern Sequence Learning Test (n=20). Word-stem Priming includes recall, recognition (declarative) and priming (non-declarative) components of memory. The schizophrenia patients demonstrated an impaired performance on recall of words with relative improvement during the recognition portion of the test. Furthermore, they performed normally on the priming portion of the test. Thus, on tests of declarative memory, the patients had retrieval deficits with intact performance on the non-declarative memory component. The Pattern Sequence Learning Test utilizes a serial reaction time paradigm to assess non-declarative memory. The schizophrenia patients' serial reaction time was significantly slower than that of comparison subjects. However, the patients' rate of acquisition was not different from the normal comparison group. The data suggest that patients with schizophrenia process more slowly than normal, but have an intact non-declarative memory. The schizophrenia patients' dissociation on declarative vs. non-declarative memory tests is discussed in terms of possible underlying structural impairment.

  2. A Parallel Saturation Algorithm on Shared Memory Architectures

    Science.gov (United States)

    Ezekiel, Jonathan; Siminiceanu

    2007-01-01

    Symbolic state-space generators are notoriously hard to parallelize. However, the Saturation algorithm implemented in the SMART verification tool differs from other sequential symbolic state-space generators in that it exploits the locality of ring events in asynchronous system models. This paper explores whether event locality can be utilized to efficiently parallelize Saturation on shared-memory architectures. Conceptually, we propose to parallelize the ring of events within a decision diagram node, which is technically realized via a thread pool. We discuss the challenges involved in our parallel design and conduct experimental studies on its prototypical implementation. On a dual-processor dual core PC, our studies show speed-ups for several example models, e.g., of up to 50% for a Kanban model, when compared to running our algorithm only on a single core.

  3. Asynchronous and corrected-asynchronous numerical solutions of parabolic PDES on MIMD multiprocessors

    Science.gov (United States)

    Amitai, Dganit; Averbuch, Amir; Itzikowitz, Samuel; Turkel, Eli

    1991-01-01

    A major problem in achieving significant speed-up on parallel machines is the overhead involved with synchronizing the concurrent process. Removing the synchronization constraint has the potential of speeding up the computation. The authors present asynchronous (AS) and corrected-asynchronous (CA) finite difference schemes for the multi-dimensional heat equation. Although the discussion concentrates on the Euler scheme for the solution of the heat equation, it has the potential for being extended to other schemes and other parabolic partial differential equations (PDEs). These schemes are analyzed and implemented on the shared memory multi-user Sequent Balance machine. Numerical results for one and two dimensional problems are presented. It is shown experimentally that the synchronization penalty can be about 50 percent of run time: in most cases, the asynchronous scheme runs twice as fast as the parallel synchronous scheme. In general, the efficiency of the parallel schemes increases with processor load, with the time level, and with the problem dimension. The efficiency of the AS may reach 90 percent and over, but it provides accurate results only for steady-state values. The CA, on the other hand, is less efficient, but provides more accurate results for intermediate (non steady-state) values.

  4. Field-induced strain memory with non-180 .deg. domain-reorientation control

    International Nuclear Information System (INIS)

    Kadota, Yoichi; Hosaka, Hiroshi; Morita, Takeshi

    2010-01-01

    Using non-180 .deg. domain-reorientation control, we propose the strain memory effect in ferroelectric ceramics. Electric fields with asymmetric amplitudes were applied to soft-type lead zirconate titanate (PZT) ceramics, and the strain hysteresis and the polarization loop were measured. The butterfly curve became asymmetric under an electric field with a particular asymmetric amplitude. The asymmetric butterfly curve had two stable strain states at zero electric field. Thus, the strain memory effect was realized as the difference between the two stable strain states. An XRD analysis was carried out to verify the contribution of the non-180 .deg. domain reorientation to the strain memory effect. The non-180 .deg. domain reorientation was determined as the intensity ratio of the (002) to the (200) peak. The strain memory determined from macroscopic strain measurements had a linear relationship to the non-180 .deg. domain volume fraction. This result indicated the origin of the strain memory to be the non-180 .deg. domain reorientation.

  5. On the Parallel Elliptic Single/Multigrid Solutions about Aligned and Nonaligned Bodies Using the Virtual Machine for Multiprocessors

    Directory of Open Access Journals (Sweden)

    A. Averbuch

    1994-01-01

    Full Text Available Parallel elliptic single/multigrid solutions around an aligned and nonaligned body are presented and implemented on two multi-user and single-user shared memory multiprocessors (Sequent Symmetry and MOS and on a distributed memory multiprocessor (a Transputer network. Our parallel implementation uses the Virtual Machine for Muli-Processors (VMMP, a software package that provides a coherent set of services for explicitly parallel application programs running on diverse multiple instruction multiple data (MIMD multiprocessors, both shared memory and message passing. VMMP is intended to simplify parallel program writing and to promote portable and efficient programming. Furthermore, it ensures high portability of application programs by implementing the same services on all target multiprocessors. The performance of our algorithm is investigated in detail. It is seen to fit well the above architectures when the number of processors is less than the maximal number of grid points along the axes. In general, the efficiency in the nonaligned case is higher than in the aligned case. Alignment overhead is observed to be up to 200% in the shared-memory case and up to 65% in the message-passing case. We have demonstrated that when using VMMP, the portability of the algorithms is straightforward and efficient.

  6. Metal-organic molecular device for non-volatile memory storage

    International Nuclear Information System (INIS)

    Radha, B.; Sagade, Abhay A.; Kulkarni, G. U.

    2014-01-01

    Non-volatile memory devices have been of immense research interest for their use in active memory storage in powered off-state of electronic chips. In literature, various molecules and metal compounds have been investigated in this regard. Molecular memory devices are particularly attractive as they offer the ease of storing multiple memory states in a unique way and also represent ubiquitous choice for miniaturized devices. However, molecules are fragile and thus the device breakdown at nominal voltages during repeated cycles hinders their practical applicability. Here, in this report, a synergetic combination of an organic molecule and an inorganic metal, i.e., a metal-organic complex, namely, palladium hexadecylthiolate is investigated for memory device characteristics. Palladium hexadecylthiolate following partial thermolysis is converted to a molecular nanocomposite of Pd(II), Pd(0), and long chain hydrocarbons, which is shown to exhibit non-volatile memory characteristics with exceptional stability and retention. The devices are all solution-processed and the memory action stems from filament formation across the pre-formed cracks in the nanocomposite film.

  7. Attentional and non-attentional systems in the maintenance of verbal information in working memory: the executive and phonological loops.

    Science.gov (United States)

    Camos, Valérie; Barrouillet, Pierre

    2014-01-01

    Working memory is the structure devoted to the maintenance of information at short term during concurrent processing activities. In this respect, the question regarding the nature of the mechanisms and systems fulfilling this maintenance function is of particular importance and has received various responses in the recent past. In the time-based resource-sharing (TBRS) model, we suggest that only two systems sustain the maintenance of information at the short term, counteracting the deleterious effect of temporal decay and interference. A non-attentional mechanism of verbal rehearsal, similar to the one described by Baddeley in the phonological loop model, uses language processes to reactivate phonological memory traces. Besides this domain-specific mechanism, an executive loop allows the reconstruction of memory traces through an attention-based mechanism of refreshing. The present paper reviews evidence of the involvement of these two independent systems in the maintenance of verbal memory items.

  8. Attentional and non-attentional systems in the maintenance of verbal information in working memory: the executive and phonological loops

    Science.gov (United States)

    Camos, Valérie; Barrouillet, Pierre

    2014-01-01

    Working memory is the structure devoted to the maintenance of information at short term during concurrent processing activities. In this respect, the question regarding the nature of the mechanisms and systems fulfilling this maintenance function is of particular importance and has received various responses in the recent past. In the time-based resource-sharing (TBRS) model, we suggest that only two systems sustain the maintenance of information at the short term, counteracting the deleterious effect of temporal decay and interference. A non-attentional mechanism of verbal rehearsal, similar to the one described by Baddeley in the phonological loop model, uses language processes to reactivate phonological memory traces. Besides this domain-specific mechanism, an executive loop allows the reconstruction of memory traces through an attention-based mechanism of refreshing. The present paper reviews evidence of the involvement of these two independent systems in the maintenance of verbal memory items. PMID:25426049

  9. Attentional and non-attentional systems in the maintenance of verbal information in working memory: the executive and phonological loops.

    Directory of Open Access Journals (Sweden)

    Valerie eCamos

    2014-11-01

    Full Text Available Working memory is the structure devoted to the maintenance of information at short term during concurrent processing activities. In this respect, the question regarding the nature of the mechanisms and systems fulfilling this maintenance function is of particular importance and has received various responses in the recent past. In the time-based resource-sharing model, we suggest that only two systems sustain the maintenance of information at the short term, counteracting the deleterious effect of temporal decay and interference. A non-attentional mechanism of verbal rehearsal, similar to the one described by Baddeley in the phonological loop model, uses language processes to reactivate phonological memory traces. Besides this domain-specific mechanism, an executive loop allows the reconstruction of memory traces through an attention-based mechanism of refreshing. The present paper reviews evidence of the involvement of these two independent systems in the maintenance of verbal memory items.

  10. Method for prefetching non-contiguous data structures

    Science.gov (United States)

    Blumrich, Matthias A [Ridgefield, CT; Chen, Dong [Croton On Hudson, NY; Coteus, Paul W [Yorktown Heights, NY; Gara, Alan G [Mount Kisco, NY; Giampapa, Mark E [Irvington, NY; Heidelberger, Philip [Cortlandt Manor, NY; Hoenicke, Dirk [Ossining, NY; Ohmacht, Martin [Brewster, NY; Steinmacher-Burow, Burkhard D [Mount Kisco, NY; Takken, Todd E [Mount Kisco, NY; Vranas, Pavlos M [Bedford Hills, NY

    2009-05-05

    A low latency memory system access is provided in association with a weakly-ordered multiprocessor system. Each processor in the multiprocessor shares resources, and each shared resource has an associated lock within a locking device that provides support for synchronization between the multiple processors in the multiprocessor and the orderly sharing of the resources. A processor only has permission to access a resource when it owns the lock associated with that resource, and an attempt by a processor to own a lock requires only a single load operation, rather than a traditional atomic load followed by store, such that the processor only performs a read operation and the hardware locking device performs a subsequent write operation rather than the processor. A simple perfecting for non-contiguous data structures is also disclosed. A memory line is redefined so that in addition to the normal physical memory data, every line includes a pointer that is large enough to point to any other line in the memory, wherein the pointers to determine which memory line to prefect rather than some other predictive algorithm. This enables hardware to effectively prefect memory access patterns that are non-contiguous, but repetitive.

  11. Shared filtering processes link attentional and visual short-term memory capacity limits.

    Science.gov (United States)

    Bettencourt, Katherine C; Michalka, Samantha W; Somers, David C

    2011-09-30

    Both visual attention and visual short-term memory (VSTM) have been shown to have capacity limits of 4 ± 1 objects, driving the hypothesis that they share a visual processing buffer. However, these capacity limitations also show strong individual differences, making the degree to which these capacities are related unclear. Moreover, other research has suggested a distinction between attention and VSTM buffers. To explore the degree to which capacity limitations reflect the use of a shared visual processing buffer, we compared individual subject's capacities on attentional and VSTM tasks completed in the same testing session. We used a multiple object tracking (MOT) and a VSTM change detection task, with varying levels of distractors, to measure capacity. Significant correlations in capacity were not observed between the MOT and VSTM tasks when distractor filtering demands differed between the tasks. Instead, significant correlations were seen when the tasks shared spatial filtering demands. Moreover, these filtering demands impacted capacity similarly in both attention and VSTM tasks. These observations fail to support the view that visual attention and VSTM capacity limits result from a shared buffer but instead highlight the role of the resource demands of underlying processes in limiting capacity.

  12. Shared neuroanatomical substrates of impaired phonological working memory across reading disability and autism

    OpenAIRE

    Lu, Chunming; Qi, Zhenghan; Harris, Adrianne; Weil, Lisa Wisman; Han, Michelle; Halverson, Kelly; Perrachione, Tyler K.; Kjelgaard, Margaret; Wexler, Kenneth; Tager-Flusberg, Helen; Gabrieli, John D. E.

    2016-01-01

    Background Individuals with reading disability and individuals with autism spectrum disorder (ASD) are characterized, respectively, by their difficulties in reading and social communication, but both groups often have impaired phonological working memory (PWM). It is not known whether the impaired PWM reflects distinct or shared neuroanatomical abnormalities in these two diagnostic groups. Methods White-matter structural connectivity via diffusion weighted imaging was examined in 64 children,...

  13. Physical principles and current status of emerging non-volatile solid state memories

    Science.gov (United States)

    Wang, L.; Yang, C.-H.; Wen, J.

    2015-07-01

    Today the influence of non-volatile solid-state memories on persons' lives has become more prominent because of their non-volatility, low data latency, and high robustness. As a pioneering technology that is representative of non-volatile solidstate memories, flash memory has recently seen widespread application in many areas ranging from electronic appliances, such as cell phones and digital cameras, to external storage devices such as universal serial bus (USB) memory. Moreover, owing to its large storage capacity, it is expected that in the near future, flash memory will replace hard-disk drives as a dominant technology in the mass storage market, especially because of recently emerging solid-state drives. However, the rapid growth of the global digital data has led to the need for flash memories to have larger storage capacity, thus requiring a further downscaling of the cell size. Such a miniaturization is expected to be extremely difficult because of the well-known scaling limit of flash memories. It is therefore necessary to either explore innovative technologies that can extend the areal density of flash memories beyond the scaling limits, or to vigorously develop alternative non-volatile solid-state memories including ferroelectric random-access memory, magnetoresistive random-access memory, phase-change random-access memory, and resistive random-access memory. In this paper, we review the physical principles of flash memories and their technical challenges that affect our ability to enhance the storage capacity. We then present a detailed discussion of novel technologies that can extend the storage density of flash memories beyond the commonly accepted limits. In each case, we subsequently discuss the physical principles of these new types of non-volatile solid-state memories as well as their respective merits and weakness when utilized for data storage applications. Finally, we predict the future prospects for the aforementioned solid-state memories for

  14. Domain-general involvement of the posterior frontolateral cortex in time-based resource-sharing in working memory: An fMRI study

    NARCIS (Netherlands)

    Vergauwe, E.; Hartstra, E.; Barrouillet, P.; Brass, M.

    2015-01-01

    Working memory is often defined in cognitive psychology as a system devoted to the simultaneous processing and maintenance of information. In line with the time-based resource-sharing model of working memory (TBRS; Barrouillet and Camos, 2015; Barrouillet et al., 2004), there is accumulating

  15. Declarative and Non-declarative Memory Consolidation in Children with Sleep Disorder.

    Science.gov (United States)

    Csábi, Eszter; Benedek, Pálma; Janacsek, Karolina; Zavecz, Zsófia; Katona, Gábor; Nemeth, Dezso

    2015-01-01

    Healthy sleep is essential in children's cognitive, behavioral, and emotional development. However, remarkably little is known about the influence of sleep disorders on different memory processes in childhood. Such data could give us a deeper insight into the effect of sleep on the developing brain and memory functions and how the relationship between sleep and memory changes from childhood to adulthood. In the present study we examined the effect of sleep disorder on declarative and non-declarative memory consolidation by testing children with sleep-disordered breathing (SDB) which is characterized by disrupted sleep structure. We used a story recall task to measure declarative memory and Alternating Serial Reaction time (ASRT) task to assess non-declarative memory. This task enables us to measure two aspects of non-declarative memory, namely general motor skill learning and sequence-specific learning. There were two sessions: a learning phase and a testing phase, separated by a 12 h offline period with sleep. Our data showed that children with SDB exhibited a generally lower declarative memory performance both in the learning and testing phase; however, both the SDB and control groups exhibited retention of the previously recalled items after the offline period. Here we showed intact non-declarative consolidation in SDB group in both sequence-specific and general motor skill. These findings suggest that sleep disorders in childhood have a differential effect on different memory processes (online vs. offline) and give us insight into how sleep disturbances affects developing brain.

  16. Design exploration of emerging nano-scale non-volatile memory

    CERN Document Server

    Yu, Hao

    2014-01-01

    This book presents the latest techniques for characterization, modeling and design for nano-scale non-volatile memory (NVM) devices.  Coverage focuses on fundamental NVM device fabrication and characterization, internal state identification of memristic dynamics with physics modeling, NVM circuit design, and hybrid NVM memory system design-space optimization. The authors discuss design methodologies for nano-scale NVM devices from a circuits/systems perspective, including the general foundations for the fundamental memristic dynamics in NVM devices.  Coverage includes physical modeling, as well as the development of a platform to explore novel hybrid CMOS and NVM circuit and system design.   • Offers readers a systematic and comprehensive treatment of emerging nano-scale non-volatile memory (NVM) devices; • Focuses on the internal state of NVM memristic dynamics, novel NVM readout and memory cell circuit design, and hybrid NVM memory system optimization; • Provides both theoretical analysis and pr...

  17. Declarative and non-declarative memory consolidation in children with sleep disorder

    Directory of Open Access Journals (Sweden)

    Eszter eCsabi

    2016-01-01

    Full Text Available Healthy sleep is essential in children’s cognitive, behavioral, and emotional development. However, remarkably little is known about the influence of sleep disorders on different memory processes in childhood. Such data could give us a deeper insight into the effect of sleep on the developing brain and memory functions and how the relationship between sleep and memory changes from childhood to adulthood. In the present study we examined the effect of sleep disorder on declarative and non-declarative memory consolidation by testing children with sleep-disordered breathing (SDB which is characterized by disrupted sleep structure. We used a story recall task to measure declarative memory and Alternating Serial Reaction Time (ASRT task to assess non-declarative memory. This task enables us to measure two aspects of non-declarative memory, namely general motor skill learning and sequence-specific learning. There were two sessions: a learning phase and a testing phase, separated by a 12-hour offline period with sleep. Our data showed that children with SDB exhibited a generally lower declarative memory performance both in the learning and testing phase; however, both the SDB and control groups exhibited retention of the previously recalled items after the offline period. Here we showed intact non-declarative consolidation in SDB group in both sequence-specific and general motor skill. These findings suggest that sleep disorders in childhood have a differential effect on different memory processes (online vs. offline and give us insight into how sleep disturbances affects developing brain.

  18. A shared memory based interface of MARTe with EPICS for real-time applications

    International Nuclear Information System (INIS)

    Yun, Sangwon; Neto, André C.; Park, Mikyung; Lee, Sangil; Park, Kaprai

    2014-01-01

    Highlights: • We implemented a shared memory based interface of MARTe with EPICS. • We implemented an EPICS module supporting device and driver support. • We implemented an example EPICS IOC and CSS OPI for evaluation. - Abstract: The Multithreaded Application Real-Time executor (MARTe) is a multi-platform C++ middleware designed for the implementation of real-time control systems. It currently supports the Linux, Linux + RTAI, VxWorks, Solaris and MS Windows platforms. In the fusion community MARTe is being used at JET, COMPASS, ISTTOK, FTU and RFX in fusion [1]. The Experimental Physics and Industrial Control System (EPICS), a standard framework for the control systems in KSTAR and ITER, is a set of software tools and applications which provide a software infrastructure for use in building distributed control systems to operate devices. For a MARTe based application to cooperate with an EPICS based application, an interface layer between MARTe and EPICS is required. To solve this issue, a number of interfacing solutions have been proposed and some of them have been implemented. Nevertheless, a new approach is required to mitigate the functional limitations of existing solutions and to improve their performance for real-time applications. This paper describes the design and implementation of a shared memory based interface between MARTe and EPICS

  19. A shared memory based interface of MARTe with EPICS for real-time applications

    Energy Technology Data Exchange (ETDEWEB)

    Yun, Sangwon, E-mail: yunsw@nfri.re.kr [National Fusion Research Institute (NFRI), Gwahangno 169-148, Yuseong-Gu, Daejeon 305-806 (Korea, Republic of); Neto, André C. [Associação EURATOM/IST, Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Universidade Técnica de Lisboa, P-1049-001 Lisboa (Portugal); Park, Mikyung; Lee, Sangil; Park, Kaprai [National Fusion Research Institute (NFRI), Gwahangno 169-148, Yuseong-Gu, Daejeon 305-806 (Korea, Republic of)

    2014-05-15

    Highlights: • We implemented a shared memory based interface of MARTe with EPICS. • We implemented an EPICS module supporting device and driver support. • We implemented an example EPICS IOC and CSS OPI for evaluation. - Abstract: The Multithreaded Application Real-Time executor (MARTe) is a multi-platform C++ middleware designed for the implementation of real-time control systems. It currently supports the Linux, Linux + RTAI, VxWorks, Solaris and MS Windows platforms. In the fusion community MARTe is being used at JET, COMPASS, ISTTOK, FTU and RFX in fusion [1]. The Experimental Physics and Industrial Control System (EPICS), a standard framework for the control systems in KSTAR and ITER, is a set of software tools and applications which provide a software infrastructure for use in building distributed control systems to operate devices. For a MARTe based application to cooperate with an EPICS based application, an interface layer between MARTe and EPICS is required. To solve this issue, a number of interfacing solutions have been proposed and some of them have been implemented. Nevertheless, a new approach is required to mitigate the functional limitations of existing solutions and to improve their performance for real-time applications. This paper describes the design and implementation of a shared memory based interface between MARTe and EPICS.

  20. Channel equalization techniques for non-volatile memristor memories

    KAUST Repository

    Naous, Rawan

    2016-03-16

    Channel coding and information theoretic approaches have been utilized in conventional non-volatile memories to overcome their inherent design limitations of leakage, coupling and refresh rates. However, the continuous scaling and integration constraints set on the current devices directed the attention towards emerging memory technologies as suitable alternatives. Memristive devices are prominent candidates to replace the conventional electronics due to its non-volatility and small feature size. Nonetheless, memristor-based memories still encounter an accuracy limitation throughout the read operation addressed as the sneak path phenomenon. The readout data is corrupted with added distortion that increases significantly the bit error rate and jeopardizes the reliability of the read operation. A novel technique is applied to alleviate this distorting effect where the communication channel model is proposed for the memory array. Noise cancellation principles are applied with the aid of preset pilots to extract channel information and adjust the readout values accordingly. The proposed technique has the virtue of high speed, energy efficiency, and low complexity design while achieving high reliability and error-free decoding.

  1. Channel equalization techniques for non-volatile memristor memories

    KAUST Repository

    Naous, Rawan; Zidan, Mohammed A.; Salem, Ahmed Sultan; Salama, Khaled N.

    2016-01-01

    Channel coding and information theoretic approaches have been utilized in conventional non-volatile memories to overcome their inherent design limitations of leakage, coupling and refresh rates. However, the continuous scaling and integration constraints set on the current devices directed the attention towards emerging memory technologies as suitable alternatives. Memristive devices are prominent candidates to replace the conventional electronics due to its non-volatility and small feature size. Nonetheless, memristor-based memories still encounter an accuracy limitation throughout the read operation addressed as the sneak path phenomenon. The readout data is corrupted with added distortion that increases significantly the bit error rate and jeopardizes the reliability of the read operation. A novel technique is applied to alleviate this distorting effect where the communication channel model is proposed for the memory array. Noise cancellation principles are applied with the aid of preset pilots to extract channel information and adjust the readout values accordingly. The proposed technique has the virtue of high speed, energy efficiency, and low complexity design while achieving high reliability and error-free decoding.

  2. Generalized Load Sharing for Homogeneous Networks of Distributed Environment

    Directory of Open Access Journals (Sweden)

    A. Satheesh

    2008-01-01

    Full Text Available We propose a method for job migration policies by considering effective usage of global memory in addition to CPU load sharing in distributed systems. When a node is identified for lacking sufficient memory space to serve jobs, one or more jobs of the node will be migrated to remote nodes with low memory allocations. If the memory space is sufficiently large, the jobs will be scheduled by a CPU-based load sharing policy. Following the principle of sharing both CPU and memory resources, we present several load sharing alternatives. Our objective is to reduce the number of page faults caused by unbalanced memory allocations for jobs among distributed nodes, so that overall performance of a distributed system can be significantly improved. We have conducted trace-driven simulations to compare CPU-based load sharing policies with our policies. We show that our load sharing policies not only improve performance of memory bound jobs, but also maintain the same load sharing quality as the CPU-based policies for CPU-bound jobs. Regarding remote execution and preemptive migration strategies, our experiments indicate that a strategy selection in load sharing is dependent on the amount of memory demand of jobs, remote execution is more effective for memory-bound jobs, and preemptive migration is more effective for CPU-bound jobs. Our CPU-memory-based policy using either high performance or high throughput approach and using the remote execution strategy performs the best for both CPU-bound and memory-bound job in homogeneous networks of distributed environment.

  3. Evaluation of a Connectionless NoC for a Real-Time Distributed Shared Memory Many-Core System

    NARCIS (Netherlands)

    Rutgers, J.H.; Bekooij, Marco Jan Gerrit; Smit, Gerardus Johannes Maria

    2012-01-01

    Real-time embedded systems like smartphones tend to comprise an ever increasing number of processing cores. For scalability and the need for guaranteed performance, the use of a connection-oriented network-on-chip (NoC) is advocated. Furthermore, a distributed shared memory architecture is preferred

  4. Overgeneral autobiographical memory bias in clinical and non-clinical voice hearers.

    Science.gov (United States)

    Jacobsen, Pamela; Peters, Emmanuelle; Ward, Thomas; Garety, Philippa A; Jackson, Mike; Chadwick, Paul

    2018-03-14

    Hearing voices can be a distressing and disabling experience for some, whilst it is a valued experience for others, so-called 'healthy voice-hearers'. Cognitive models of psychosis highlight the role of memory, appraisal and cognitive biases in determining emotional and behavioural responses to voices. A memory bias potentially associated with distressing voices is the overgeneral memory bias (OGM), namely the tendency to recall a summary of events rather than specific occasions. It may limit access to autobiographical information that could be helpful in re-appraising distressing experiences, including voices. We investigated the possible links between OGM and distressing voices in psychosis by comparing three groups: (1) clinical voice-hearers (N = 39), (2) non-clinical voice-hearers (N = 35) and (3) controls without voices (N = 77) on a standard version of the autobiographical memory test (AMT). Clinical and non-clinical voice-hearers also completed a newly adapted version of the task, designed to assess voices-related memories (vAMT). As hypothesised, the clinical group displayed an OGM bias by retrieving fewer specific autobiographical memories on the AMT compared with both the non-clinical and control groups, who did not differ from each other. The clinical group also showed an OGM bias in recall of voice-related memories on the vAMT, compared with the non-clinical group. Clinical voice-hearers display an OGM bias when compared with non-clinical voice-hearers on both general and voices-specific recall tasks. These findings have implications for the refinement and targeting of psychological interventions for psychosis.

  5. Overview of radiation effects on emerging non-volatile memory technologies

    Directory of Open Access Journals (Sweden)

    Fetahović Irfan S.

    2017-01-01

    Full Text Available In this paper we give an overview of radiation effects in emergent, non-volatile memory technologies. Investigations into radiation hardness of resistive random access memory, ferroelectric random access memory, magneto-resistive random access memory, and phase change memory are presented in cases where these memory devices were subjected to different types of radiation. The obtained results proved high radiation tolerance of studied devices making them good candidates for application in radiation-intensive environments. [Project of the Serbian Ministry of Education, Science and Technological Development, Grant no. 171007

  6. Shared and non-shared antigens from three different extracts of the metacestode of Echinococcus granulosus

    Directory of Open Access Journals (Sweden)

    David Carmena

    2005-12-01

    Full Text Available Hydatid cyst fluid (HCF, somatic antigens (S-Ag and excretory-secretory products (ES-Ag of Echinococcus granulosus protoscoleces are used as the main antigenic sources for immunodiagnosis of human and dog echinococcosis. In order to determine their non-shared as well as their shared antigenic components, these extracts were studied by ELISA-inhibition and immunoblot-inhibition. Assays were carried out using homologous rabbit polyclonal antisera, human sera from individuals with surgically confirmed hydatidosis, and sera from dogs naturally infected with E. granulosus. High levels of cross-reactivity were observed for all antigenic extracts, but especially for ES-Ag and S-Ag. Canine antibodies evidenced lesser avidity for their specific antigens than antibodies from human origin. The major antigenic components shared by HCF, S-Ag, and ES-Ag have apparent molecular masses of 4-6, 20-24, 52, 80, and 100-104 kDa, including doublets of 41/45, 54/57, and 65/68 kDa. Non-shared polypeptides of each antigenic extract of E. granulosus were identified, having apparent masses of 108 and 78 kDa for HCF, of 124, 94, 83, and 75 kDa for S-Ag, and of 89, 66, 42, 39, 37, and 35 kDa for ES-Ag.

  7. A review of emerging non-volatile memory (NVM) technologies and applications

    Science.gov (United States)

    Chen, An

    2016-11-01

    This paper will review emerging non-volatile memory (NVM) technologies, with the focus on phase change memory (PCM), spin-transfer-torque random-access-memory (STTRAM), resistive random-access-memory (RRAM), and ferroelectric field-effect-transistor (FeFET) memory. These promising NVM devices are evaluated in terms of their advantages, challenges, and applications. Their performance is compared based on reported parameters of major industrial test chips. Memory selector devices and cell structures are discussed. Changing market trends toward low power (e.g., mobile, IoT) and data-centric applications create opportunities for emerging NVMs. High-performance and low-cost emerging NVMs may simplify memory hierarchy, introduce non-volatility in logic gates and circuits, reduce system power, and enable novel architectures. Storage-class memory (SCM) based on high-density NVMs could fill the performance and density gap between memory and storage. Some unique characteristics of emerging NVMs can be utilized for novel applications beyond the memory space, e.g., neuromorphic computing, hardware security, etc. In the beyond-CMOS era, emerging NVMs have the potential to fulfill more important functions and enable more efficient, intelligent, and secure computing systems.

  8. Explicit time integration of finite element models on a vectorized, concurrent computer with shared memory

    Science.gov (United States)

    Gilbertsen, Noreen D.; Belytschko, Ted

    1990-01-01

    The implementation of a nonlinear explicit program on a vectorized, concurrent computer with shared memory is described and studied. The conflict between vectorization and concurrency is described and some guidelines are given for optimal block sizes. Several example problems are summarized to illustrate the types of speed-ups which can be achieved by reprogramming as compared to compiler optimization.

  9. Sensory memory for ambiguous vision.

    Science.gov (United States)

    Pearson, Joel; Brascamp, Jan

    2008-09-01

    In recent years the overlap between visual perception and memory has shed light on our understanding of both. When ambiguous images that normally cause perception to waver unpredictably are presented briefly with intervening blank periods, perception tends to freeze, locking into one interpretation. This indicates that there is a form of memory storage across the blank interval. This memory trace codes low-level characteristics of the stored stimulus. Although a trace is evident after a single perceptual instance, the trace builds over many separate stimulus presentations, indicating a flexible, variable-length time-course. This memory shares important characteristics with priming by non-ambiguous stimuli. Computational models now provide a framework to interpret many empirical observations.

  10. Computational performance of a smoothed particle hydrodynamics simulation for shared-memory parallel computing

    Science.gov (United States)

    Nishiura, Daisuke; Furuichi, Mikito; Sakaguchi, Hide

    2015-09-01

    The computational performance of a smoothed particle hydrodynamics (SPH) simulation is investigated for three types of current shared-memory parallel computer devices: many integrated core (MIC) processors, graphics processing units (GPUs), and multi-core CPUs. We are especially interested in efficient shared-memory allocation methods for each chipset, because the efficient data access patterns differ between compute unified device architecture (CUDA) programming for GPUs and OpenMP programming for MIC processors and multi-core CPUs. We first introduce several parallel implementation techniques for the SPH code, and then examine these on our target computer architectures to determine the most effective algorithms for each processor unit. In addition, we evaluate the effective computing performance and power efficiency of the SPH simulation on each architecture, as these are critical metrics for overall performance in a multi-device environment. In our benchmark test, the GPU is found to produce the best arithmetic performance as a standalone device unit, and gives the most efficient power consumption. The multi-core CPU obtains the most effective computing performance. The computational speed of the MIC processor on Xeon Phi approached that of two Xeon CPUs. This indicates that using MICs is an attractive choice for existing SPH codes on multi-core CPUs parallelized by OpenMP, as it gains computational acceleration without the need for significant changes to the source code.

  11. Associative-memory representations emerge as shared spatial patterns of theta activity spanning the primate temporal cortex.

    Science.gov (United States)

    Nakahara, Kiyoshi; Adachi, Ken; Kawasaki, Keisuke; Matsuo, Takeshi; Sawahata, Hirohito; Majima, Kei; Takeda, Masaki; Sugiyama, Sayaka; Nakata, Ryota; Iijima, Atsuhiko; Tanigawa, Hisashi; Suzuki, Takafumi; Kamitani, Yukiyasu; Hasegawa, Isao

    2016-06-10

    Highly localized neuronal spikes in primate temporal cortex can encode associative memory; however, whether memory formation involves area-wide reorganization of ensemble activity, which often accompanies rhythmicity, or just local microcircuit-level plasticity, remains elusive. Using high-density electrocorticography, we capture local-field potentials spanning the monkey temporal lobes, and show that the visual pair-association (PA) memory is encoded in spatial patterns of theta activity in areas TE, 36, and, partially, in the parahippocampal cortex, but not in the entorhinal cortex. The theta patterns elicited by learned paired associates are distinct between pairs, but similar within pairs. This pattern similarity, emerging through novel PA learning, allows a machine-learning decoder trained on theta patterns elicited by a particular visual item to correctly predict the identity of those elicited by its paired associate. Our results suggest that the formation and sharing of widespread cortical theta patterns via learning-induced reorganization are involved in the mechanisms of associative memory representation.

  12. High-Speed Non-Volatile Optical Memory: Achievements and Challenges

    Directory of Open Access Journals (Sweden)

    Vadym Zayets

    2017-01-01

    Full Text Available We have proposed, fabricated, and studied a new design of a high-speed optical non-volatile memory. The recoding mechanism of the proposed memory utilizes a magnetization reversal of a nanomagnet by a spin-polarized photocurrent. It was shown experimentally that the operational speed of this memory may be extremely fast above 1 TBit/s. The challenges to realize both a high-speed recording and a high-speed reading are discussed. The memory is compact, integratable, and compatible with present semiconductor technology. If realized, it will advance data processing and computing technology towards a faster operation speed.

  13. Organic non-volatile memories from ferroelectric phase-separated blends

    Science.gov (United States)

    Asadi, Kamal; de Leeuw, Dago M.; de Boer, Bert; Blom, Paul W. M.

    2008-07-01

    New non-volatile memories are being investigated to keep up with the organic-electronics road map. Ferroelectric polarization is an attractive physical property as the mechanism for non-volatile switching, because the two polarizations can be used as two binary levels. However, in ferroelectric capacitors the read-out of the polarization charge is destructive. The functionality of the targeted memory should be based on resistive switching. In inorganic ferroelectrics conductivity and ferroelectricity cannot be tuned independently. The challenge is to develop a storage medium in which the favourable properties of ferroelectrics such as bistability and non-volatility can be combined with the beneficial properties provided by semiconductors such as conductivity and rectification. Here we present an integrated solution by blending semiconducting and ferroelectric polymers into phase-separated networks. The polarization field of the ferroelectric modulates the injection barrier at the semiconductor-metal contact. The combination of ferroelectric bistability with (semi)conductivity and rectification allows for solution-processed non-volatile memory arrays with a simple cross-bar architecture that can be read out non-destructively. The concept of an electrically tunable injection barrier as presented here is general and can be applied to other electronic devices such as light-emitting diodes with an integrated on/off switch.

  14. Persistent non-verbal memory impairment in remitted major depression - caused by encoding deficits?

    Science.gov (United States)

    Behnken, Andreas; Schöning, Sonja; Gerss, Joachim; Konrad, Carsten; de Jong-Meyer, Renate; Zwanzger, Peter; Arolt, Volker

    2010-04-01

    While neuropsychological impairments are well described in acute phases of major depressive disorders (MDD), little is known about the neuropsychological profile in remission. There is evidence for episodic memory impairments in both acute depressed and remitted patients with MDD. Learning and memory depend on individuals' ability to organize information during learning. This study investigates non-verbal memory functions in remitted MDD and whether nonverbal memory performance is mediated by organizational strategies whilst learning. 30 well-characterized fully remitted individuals with unipolar MDD and 30 healthy controls matching in age, sex and education were investigated. Non-verbal learning and memory were measured by the Rey-Osterrieth-Complex-Figure-Test (RCFT). The RCFT provides measures of planning, organizational skills, perceptual and non-verbal memory functions. For assessing the mediating effects of organizational strategies, we used the Savage Organizational Score. Compared to healthy controls, participants with remitted MDD showed more deficits in their non-verbal memory function. Moreover, participants with remitted MDD demonstrated difficulties in organizing non-verbal information appropriately during learning. In contrast, no impairments regarding visual-spatial functions in remitted MDD were observed. Except for one patient, all the others were taking psychopharmacological medication. The neuropsychological function was solely investigated in the remitted phase of MDD. Individuals with MDD in remission showed persistent non-verbal memory impairments, modulated by a deficient use of organizational strategies during encoding. Therefore, our results strongly argue for additional therapeutic interventions in order to improve these remaining deficits in cognitive function. Copyright 2009 Elsevier B.V. All rights reserved.

  15. Overview of one transistor type of hybrid organic ferroelectric non-volatile memory

    Institute of Scientific and Technical Information of China (English)

    Young; Tea; Chun; Daping; Chu

    2015-01-01

    Organic ferroelectric memory devices based on field effect transistors that can be configured between two stable states of on and off have been widely researched as the next generation data storage media in recent years.This emerging type of memory devices can lead to a new instrument system as a potential alternative to previous non-volatile memory building blocks in future processing units because of their numerous merits such as cost-effective process,simple structure and freedom in substrate choices.This bi-stable non-volatile memory device of information storage has been investigated using several organic or inorganic semiconductors with organic ferroelectric polymer materials.Recent progresses in this ferroelectric memory field,hybrid system have attracted a lot of attention due to their excellent device performance in comparison with that of all organic systems.In this paper,a general review of this type of ferroelectric non-volatile memory is provided,which include the device structure,organic ferroelectric materials,electrical characteristics and working principles.We also present some snapshots of our previous study on hybrid ferroelectric memories including our recent work based on zinc oxide nanowire channels.

  16. Organic non-volatile memories from ferroelectric phase separated blends

    Science.gov (United States)

    Asadi, Kamal; de Leeuw, Dago; de Boer, Bert; Blom, Paul

    2009-03-01

    Ferroelectric polarisation is an attractive physical property for non-volatile binary switching. The functionality of the targeted memory should be based on resistive switching. Conductivity and ferroelectricity however cannot be tuned independently. The challenge is to develop a storage medium in which the favourable properties of ferroelectrics such as bistability and non-volatility can be combined with the beneficial properties provided by semiconductors such as conductivity and rectification. In this contribution we present an integrated solution by blending semiconducting and ferroelectric polymers into phase separated networks. The polarisation field of the ferroelectric modulates the injection barrier at the semiconductor--metal contact. This combination allows for solution-processed non-volatile memory arrays with a simple cross-bar architecture that can be read-out non-destructively. Based on this general concept a non-volatile, reversible switchable Schottky diode with relatively fast programming time of shorter than 100 microseconds, long information retention time of longer than 10^ days, and high programming cycle endurance with non-destructive read-out is demonstrated.

  17. Analogical reasoning in working memory: resources shared among relational integration, interference resolution, and maintenance.

    Science.gov (United States)

    Cho, Soohyun; Holyoak, Keith J; Cannon, Tyrone D

    2007-09-01

    We report a series of experiments using a pictorial analogy task designed to manipulate relational integration, interference resolution, and active maintenance simultaneously. The difficulty of the problems was varied in terms of the number of relations to be integrated, the need for interference resolution, and the duration of maintenance required to correctly solve the analogy. The participants showed decreases in performance when integrating multiple relations, as compared with a single relation, and when interference resolution was required in solving the analogy. When the participants were required to integrate multiple relations while simultaneously engaged in interference resolution, performance was worse, as compared with problems that incorporated either of these features alone. Maintenance of information across delays in the range of 1-4.5 sec led to greater decrements in visual memory, as compared with analogical reasoning. Misleading information caused interference when it had been necessarily attended to and maintained in working memory and, hence, had to be actively suppressed. However, sources of conflict within information that had not been attended to or encoded into working memory did not interfere with the ongoing controlled information processing required for relational integration. The findings provide evidence that relational integration and interference resolution depend on shared cognitive resources in working memory during analogical reasoning.

  18. Iterative schemes for parallel Sn algorithms in a shared-memory computing environment

    International Nuclear Information System (INIS)

    Haghighat, A.; Hunter, M.A.; Mattis, R.E.

    1995-01-01

    Several two-dimensional spatial domain partitioning S n transport theory algorithms are developed on the basis of different iterative schemes. These algorithms are incorporated into TWOTRAN-II and tested on the shared-memory CRAY Y-MP C90 computer. For a series of fixed-source r-z geometry homogeneous problems, it is demonstrated that the concurrent red-black algorithms may result in large parallel efficiencies (>60%) on C90. It is also demonstrated that for a realistic shielding problem, the use of the negative flux fixup causes high load imbalance, which results in a significant loss of parallel efficiency

  19. Revising psychoanalytic interpretations of the past. An examination of declarative and non-declarative memory processes.

    Science.gov (United States)

    Davis, J T

    2001-06-01

    The author reviews a contemporary cognitive psychology perspective on memory that views memory as being composed of multiple separate systems. Most researchers draw a fundamental distinction between declarative/explicit and non-declarative/implicit forms of memory. Declarative memory is responsible for the conscious recollection of facts and events--what is typically meant by the everyday and the common psychoanalytic use of the word 'memory'. Non-declarative forms of memory, in contrast, are specialised processes that influence experience and behaviour without representing the past in terms of any consciously accessible content. They operate outside of an individual's awareness, but are not repressed or otherwise dynamically unconscious. Using this theoretical framework, the question of how childhood relationship experiences are carried forward from the past to influence the present is examined. It is argued that incorporating a conceptualisation of non-declarative memory processing into psychoanalytic theory is essential. Non-declarative memory processes are capable of forming complex and sophisticated representations of the interpersonal world. These non-declarative memory processes exert a major impact on interpersonal experience and behaviour that needs to be analysed on its own terms and not mistakenly viewed as a form of resistance.

  20. A real-time multichannel memory controller and optimal mapping of memory clients to memory channels

    NARCIS (Netherlands)

    Gomony, M.D.; Akesson, K.B.; Goossens, K.G.W.

    2015-01-01

    Ever-increasing demands for main memory bandwidth and memory speed/power tradeoff led to the introduction of memories with multiple memory channels, such as Wide IO DRAM. Efficient utilization of a multichannel memory as a shared resource in multiprocessor real-time systems depends on mapping of the

  1. Low-power non-volatile spintronic memory: STT-RAM and beyond

    International Nuclear Information System (INIS)

    Wang, K L; Alzate, J G; Khalili Amiri, P

    2013-01-01

    The quest for novel low-dissipation devices is one of the most critical for the future of semiconductor technology and nano-systems. The development of a low-power, universal memory will enable a new paradigm of non-volatile computation. Here we consider STT-RAM as one of the emerging candidates for low-power non-volatile memory. We show different configurations for STT memory and demonstrate strategies to optimize key performance parameters such as switching current and energy. The energy and scaling limits of STT-RAM are discussed, leading us to argue that alternative writing mechanisms may be required to achieve ultralow power dissipation, a necessary condition for direct integration with CMOS at the gate level for non-volatile logic purposes. As an example, we discuss the use of the giant spin Hall effect as a possible alternative to induce magnetization reversal in magnetic tunnel junctions using pure spin currents. Further, we concentrate on magnetoelectric effects, where electric fields are used instead of spin-polarized currents to manipulate the nanomagnets, as another candidate solution to address the challenges of energy efficiency and density. The possibility of an electric-field-controlled magnetoelectric RAM as a promising candidate for ultralow-power non-volatile memory is discussed in the light of experimental data demonstrating voltage-induced switching of the magnetization and reorientation of the magnetic easy axis by electric fields in nanomagnets. (paper)

  2. A theory of working memory without consciousness or sustained activity

    Science.gov (United States)

    Trübutschek, Darinka; Marti, Sébastien; Ojeda, Andrés; King, Jean-Rémi; Mi, Yuanyuan; Tsodyks, Misha; Dehaene, Stanislas

    2017-01-01

    Working memory and conscious perception are thought to share similar brain mechanisms, yet recent reports of non-conscious working memory challenge this view. Combining visual masking with magnetoencephalography, we investigate the reality of non-conscious working memory and dissect its neural mechanisms. In a spatial delayed-response task, participants reported the location of a subjectively unseen target above chance-level after several seconds. Conscious perception and conscious working memory were characterized by similar signatures: a sustained desynchronization in the alpha/beta band over frontal cortex, and a decodable representation of target location in posterior sensors. During non-conscious working memory, such activity vanished. Our findings contradict models that identify working memory with sustained neural firing, but are compatible with recent proposals of ‘activity-silent’ working memory. We present a theoretical framework and simulations showing how slowly decaying synaptic changes allow cell assemblies to go dormant during the delay, yet be retrieved above chance-level after several seconds. DOI: http://dx.doi.org/10.7554/eLife.23871.001 PMID:28718763

  3. Resource-sharing in multiple-component working memory

    OpenAIRE

    Doherty, Jason M.; Logie, Robert H.

    2016-01-01

    Working memory research often focuses on measuring the capacity of the system and how it relates to other cognitive abilities. However, research into the structure of working memory is less concerned with an overall capacity measure but rather with the intricacies of underlying components and their contribution to different tasks. A number of models of working memory structure have been proposed, each with different assumptions and predictions, but none of which adequately accounts for the fu...

  4. Parallel algorithms for boundary value problems

    Science.gov (United States)

    Lin, Avi

    1991-01-01

    A general approach to solve boundary value problems numerically in a parallel environment is discussed. The basic algorithm consists of two steps: the local step where all the P available processors work in parallel, and the global step where one processor solves a tridiagonal linear system of the order P. The main advantages of this approach are twofold. First, this suggested approach is very flexible, especially in the local step and thus the algorithm can be used with any number of processors and with any of the SIMD or MIMD machines. Secondly, the communication complexity is very small and thus can be used as easily with shared memory machines. Several examples for using this strategy are discussed.

  5. Forensic Memory Analysis for Apple OS X

    Science.gov (United States)

    2012-06-14

    those subscribing to the virtual node (vnode) interface. The excluded types mean POSIX semaphores and shared memory files, kernel event queue files...The set of non-vnode handles (sockets, pipes, semaphores , etc.) make up a significant portion of the lsof results (C2). This observation highlights

  6. Use of non-volatile memories for SSC detector readout

    International Nuclear Information System (INIS)

    Fennelly, A.J.; Woosley, J.K.; Johnson, M.B.

    1990-01-01

    Use of non-volatile memory units at the end of each fiber optic bunch/strand would substantially increase information available from experiments by providing a complete event history, in addition to easing real time processing requirements. This may be an alternative to enhancing technology to optical computing techniques. Available and low-risk projected technologies will be surveyed, with costing addressed. Some discussion will be given to covnersion of optical signals, to electronic information, concepts for providing timing pulses to the memory units, and to the magnetoresistive (MRAM) and ferroelectric (FERAM) random access memory technologies that may be utilized in the prototype system

  7. Non-declarative memory in the rehabilitation of amnesia.

    Science.gov (United States)

    Cavaco, S; Malec, J F; Bergquist, T

    2005-09-01

    The ability of amnesic patients to learn and retain non-declarative information has been consistently demonstrated in the literature. This knowledge provided by basic cognitive neuroscience studies has been widely neglected in neuropsychological rehabilitation of memory impaired patients. This study reports the case of a 43 year old man with severe amnesia following an anterior communicating artery (ACoA) aneurysm rupture. The patient integrated a comprehensive (holistic) day treatment programme for rehabilitation of brain injury. The programme explored the advantages of using preserved non-declarative memory capacities, in the context of commonly used rehabilitation approaches (i.e. compensation for lost function and domain-specific learning). The patient's ability to learn and retain new cognitive and perceptual-motor skills was found to be critical for the patient's improved independence and successful return to work.

  8. Coping with Memory Loss

    Science.gov (United States)

    ... Consumers Home For Consumers Consumer Updates Coping With Memory Loss Share Tweet Linkedin Pin it More sharing ... be evaluated by a health professional. What Causes Memory Loss? Anything that affects cognition—the process of ...

  9. Bioorganic nanodots for non-volatile memory devices

    International Nuclear Information System (INIS)

    Amdursky, Nadav; Shalev, Gil; Handelman, Amir; Natan, Amir; Rosenwaks, Yossi; Litsyn, Simon; Szwarcman, Daniel; Rosenman, Gil; Roizin, Yakov

    2013-01-01

    In recent years we are witnessing an intensive integration of bio-organic nanomaterials in electronic devices. Here we show that the diphenylalanine bio-molecule can self-assemble into tiny peptide nanodots (PNDs) of ∼2 nm size, and can be embedded into metal-oxide-semiconductor devices as charge storage nanounits in non-volatile memory. For that purpose, we first directly observe the crystallinity of a single PND by electron microscopy. We use these nanocrystalline PNDs units for the formation of a dense monolayer on SiO 2 surface, and study the electron/hole trapping mechanisms and charge retention ability of the monolayer, followed by fabrication of PND-based memory cell device

  10. Time-resolved echo-shared parallel MRA of the lung: observer preference study of image quality in comparison with non-echo-shared sequences

    International Nuclear Information System (INIS)

    Fink, C.; Puderbach, M.; Zaporozhan, J.; Plathow, C.; Kauczor, H.-U.; Ley, S.

    2005-01-01

    The aim of this study was to evaluate the image quality of time-resolved echo-shared parallel MRA of the lung. The pulmonary vasculature of nine patients (seven females, two males; median age: 44 years) with pulmonary disease was examined using a time-resolved MRA sequence combining echo sharing with parallel imaging (time-resolved echo-shared angiography technique, or TREAT). The sharpness of the vessel borders, conspicuousness of peripheral lung vessels, artifact level, and overall image quality of TREAT was assessed independently by four readers in a side-by-side comparison with non-echo-shared time-resolved parallel MRA data (pMRA) previously acquired in the same patients. Furthermore, the SNR of pulmonary arteries (PA) and veins (PV) achieved with both pulse sequences was compared. The mean voxel size of TREAT MRA was decreased by 24% compared with the non-echo-shared MRA. Regarding the sharpness of the vessel borders, conspicuousness of peripheral lung vessels, and overall image quality the TREAT sequence was rated superior in 75-76% of all cases. If the TREAT images were preferred over the pMRA images, the advantage was rated as major in 61-71% of all cases. The level of artifacts was not increased with the TREAT sequence. The mean interobserver agreement for all categories ranged between fair (artifact level) and good (overall image quality). The maximum SNR of TREAT did not differ from non-echo-shared parallel MRA (PA: TREAT: 273±45; pMRA: 280±71; PV: TREAT: 273±33; pMRA: 258±62). TREAT achieves a higher spatial resolution than non-echo-shared parallel MRA which is also perceived as an improved image quality. (orig.)

  11. Domain-general involvement of the posterior frontolateral cortex in time-based resource-sharing in working memory: An fMRI study.

    Science.gov (United States)

    Vergauwe, Evie; Hartstra, Egbert; Barrouillet, Pierre; Brass, Marcel

    2015-07-15

    Working memory is often defined in cognitive psychology as a system devoted to the simultaneous processing and maintenance of information. In line with the time-based resource-sharing model of working memory (TBRS; Barrouillet and Camos, 2015; Barrouillet et al., 2004), there is accumulating evidence that, when memory items have to be maintained while performing a concurrent activity, memory performance depends on the cognitive load of this activity, independently of the domain involved. The present study used fMRI to identify regions in the brain that are sensitive to variations in cognitive load in a domain-general way. More precisely, we aimed at identifying brain areas that activate during maintenance of memory items as a direct function of the cognitive load induced by both verbal and spatial concurrent tasks. Results show that the right IFJ and bilateral SPL/IPS are the only areas showing an increased involvement as cognitive load increases and do so in a domain general manner. When correlating the fMRI signal with the approximated cognitive load as defined by the TBRS model, it was shown that the main focus of the cognitive load-related activation is located in the right IFJ. The present findings indicate that the IFJ makes domain-general contributions to time-based resource-sharing in working memory and allowed us to generate the novel hypothesis by which the IFJ might be the neural basis for the process of rapid switching. We argue that the IFJ might be a crucial part of a central attentional bottleneck in the brain because of its inability to upload more than one task rule at once. Copyright © 2015 Elsevier Inc. All rights reserved.

  12. Role of Non-Volatile Memories in Automotive and IoT Markets

    Science.gov (United States)

    2017-03-01

    Standard Manufacturing Supply Long Term Short to Medium Term Density Up to 16MB Up to 2MB IO Configuration Up to x128 Up to x32 Design for Test...Role of Non-Volatile Memories in Automotive and IoT Markets Vipin Tiwari Director, Business Development and Product Marketing SST – A Wholly Own...microcontrollers (MCU) and certainly one of the most challenging elements to master. This paper addresses the role of non-volatile memories for

  13. Working Memory for Linguistic and Non-linguistic Manual Gestures: Evidence, Theory, and Application.

    Science.gov (United States)

    Rudner, Mary

    2018-01-01

    Linguistic manual gestures are the basis of sign languages used by deaf individuals. Working memory and language processing are intimately connected and thus when language is gesture-based, it is important to understand related working memory mechanisms. This article reviews work on working memory for linguistic and non-linguistic manual gestures and discusses theoretical and applied implications. Empirical evidence shows that there are effects of load and stimulus degradation on working memory for manual gestures. These effects are similar to those found for working memory for speech-based language. Further, there are effects of pre-existing linguistic representation that are partially similar across language modalities. But above all, deaf signers score higher than hearing non-signers on an n-back task with sign-based stimuli, irrespective of their semantic and phonological content, but not with non-linguistic manual actions. This pattern may be partially explained by recent findings relating to cross-modal plasticity in deaf individuals. It suggests that in linguistic gesture-based working memory, semantic aspects may outweigh phonological aspects when processing takes place under challenging conditions. The close association between working memory and language development should be taken into account in understanding and alleviating the challenges faced by deaf children growing up with cochlear implants as well as other clinical populations.

  14. Future Trend of Non-Volatile Semiconductor Memory and Feasibility Study of BiCS Type Stacked Structure

    OpenAIRE

    渡辺, 重佳

    2009-01-01

    Future trend of non-volatile semiconductor memory—FeRAM, MRAM, PRAM, ReRAM—compared with NAND typeflash memory has been described based on its history, application and performance. In the realistic point of view,FeRAM and MRAM are suitable for embedded memory and main memory, and PRAM and ReRAM are promising candidatesfor main memory and mass-storage memory for multimedia. Furthermore, the feasibility study of aggressiveultra-low-cost high-speed universal non-volatile semiconductor memory has...

  15. Parallel statistical image reconstruction for cone-beam x-ray CT on a shared memory computation platform

    International Nuclear Information System (INIS)

    Kole, J S; Beekman, F J

    2005-01-01

    Statistical reconstruction methods offer possibilities of improving image quality as compared to analytical methods, but current reconstruction times prohibit routine clinical applications. To reduce reconstruction times we have parallelized a statistical reconstruction algorithm for cone-beam x-ray CT, the ordered subset convex algorithm (OSC), and evaluated it on a shared memory computer. Two different parallelization strategies were developed: one that employs parallelism by computing the work for all projections within a subset in parallel, and one that divides the total volume into parts and processes the work for each sub-volume in parallel. Both methods are used to reconstruct a three-dimensional mathematical phantom on two different grid densities. The reconstructed images are binary identical to the result of the serial (non-parallelized) algorithm. The speed-up factor equals approximately 30 when using 32 to 40 processors, and scales almost linearly with the number of cpus for both methods. The huge reduction in computation time allows us to apply statistical reconstruction to clinically relevant studies for the first time

  16. Models for Total-Dose Radiation Effects in Non-Volatile Memory

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, Philip Montgomery; Wix, Steven D.

    2017-04-01

    The objective of this work is to develop models to predict radiation effects in non- volatile memory: flash memory and ferroelectric RAM. In flash memory experiments have found that the internal high-voltage generators (charge pumps) are the most sensitive to radiation damage. Models are presented for radiation effects in charge pumps that demonstrate the experimental results. Floating gate models are developed for the memory cell in two types of flash memory devices by Intel and Samsung. These models utilize Fowler-Nordheim tunneling and hot electron injection to charge and erase the floating gate. Erase times are calculated from the models and compared with experimental results for different radiation doses. FRAM is less sensitive to radiation than flash memory, but measurements show that above 100 Krad FRAM suffers from a large increase in leakage current. A model for this effect is developed which compares closely with the measurements.

  17. Bioorganic nanodots for non-volatile memory devices

    Energy Technology Data Exchange (ETDEWEB)

    Amdursky, Nadav; Shalev, Gil; Handelman, Amir; Natan, Amir; Rosenwaks, Yossi [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); Litsyn, Simon; Szwarcman, Daniel; Rosenman, Gil, E-mail: rgil@post.tau.ac.il [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); StoreDot LTD, 16 Menahem Begin St., Ramat Gan (Israel); Roizin, Yakov [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); TowerJazz, P.O. Box 619, Migdal HaEmek 23105 (Israel)

    2013-12-01

    In recent years we are witnessing an intensive integration of bio-organic nanomaterials in electronic devices. Here we show that the diphenylalanine bio-molecule can self-assemble into tiny peptide nanodots (PNDs) of ∼2 nm size, and can be embedded into metal-oxide-semiconductor devices as charge storage nanounits in non-volatile memory. For that purpose, we first directly observe the crystallinity of a single PND by electron microscopy. We use these nanocrystalline PNDs units for the formation of a dense monolayer on SiO{sub 2} surface, and study the electron/hole trapping mechanisms and charge retention ability of the monolayer, followed by fabrication of PND-based memory cell device.

  18. The Emotional Response to Everyday Involuntary and Voluntary Memories in Dysphoria and Non-Dysphoria

    DEFF Research Database (Denmark)

    del Palacio Gonzalez, Adriana; Watson, Lynn; Berntsen, Dorthe

    Retrieving personal memories may cause emotional reactions and thus a need for emotion regulation. Past research indicates that involuntary memories have a greater effect on mood that the voluntary counterparts. However, different dimensions of the emotional response (i.e., intensity and regulation...... regulation strategies in response to both involuntary and voluntary memories. The between-group differences were not accounted for by the individuals’ mood preceding memory retrieval or the valence of the remembered events. The results suggest an important effect of retrieval mode in the emotion regulation......) upon retrieval of both involuntary and voluntary personal memories have not been thoroughly examined. We examined individuals’ emotional intensity and regulation of everyday involuntary and voluntary memories during dysphoria and non-depression. Twenty dysphoric individuals and 23 non...

  19. High-performance non-volatile organic ferroelectric memory on banknotes

    KAUST Repository

    Khan, Yasser; Bhansali, Unnat Sampatraj; Alshareef, Husam N.

    2012-01-01

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage

  20. Exploring Shared-Memory Optimizations for an Unstructured Mesh CFD Application on Modern Parallel Systems

    KAUST Repository

    Mudigere, Dheevatsa

    2015-05-01

    In this work, we revisit the 1999 Gordon Bell Prize winning PETSc-FUN3D aerodynamics code, extending it with highly-tuned shared-memory parallelization and detailed performance analysis on modern highly parallel architectures. An unstructured-grid implicit flow solver, which forms the backbone of computational aerodynamics, poses particular challenges due to its large irregular working sets, unstructured memory accesses, and variable/limited amount of parallelism. This code, based on a domain decomposition approach, exposes tradeoffs between the number of threads assigned to each MPI-rank sub domain, and the total number of domains. By applying several algorithm- and architecture-aware optimization techniques for unstructured grids, we show a 6.9X speed-up in performance on a single-node Intel® XeonTM1 E5 2690 v2 processor relative to the out-of-the-box compilation. Our scaling studies on TACC Stampede supercomputer show that our optimizations continue to provide performance benefits over baseline implementation as we scale up to 256 nodes.

  1. Differential effects of non-REM and REM sleep on memory consolidation?

    OpenAIRE

    Ackermann Sandra; Rasch  Bjoern

    2013-01-01

    Sleep benefitsmemory consolidation. Previous theoretical accounts have proposed a differential role of slowwave sleep (SWS) rapid eye movement (REM) sleep and stage N2 sleep for different types of memories. For example the dual process hypothesis proposes that SWS is beneficial for declarative memories whereas REMsleep is important for consolidation of non declarative procedural and emotional memories. In fact numerous recent studies do provide further support for the crucial role of SWS (or ...

  2. Working Memory for Linguistic and Non-linguistic Manual Gestures: Evidence, Theory, and Application

    Directory of Open Access Journals (Sweden)

    Mary Rudner

    2018-05-01

    Full Text Available Linguistic manual gestures are the basis of sign languages used by deaf individuals. Working memory and language processing are intimately connected and thus when language is gesture-based, it is important to understand related working memory mechanisms. This article reviews work on working memory for linguistic and non-linguistic manual gestures and discusses theoretical and applied implications. Empirical evidence shows that there are effects of load and stimulus degradation on working memory for manual gestures. These effects are similar to those found for working memory for speech-based language. Further, there are effects of pre-existing linguistic representation that are partially similar across language modalities. But above all, deaf signers score higher than hearing non-signers on an n-back task with sign-based stimuli, irrespective of their semantic and phonological content, but not with non-linguistic manual actions. This pattern may be partially explained by recent findings relating to cross-modal plasticity in deaf individuals. It suggests that in linguistic gesture-based working memory, semantic aspects may outweigh phonological aspects when processing takes place under challenging conditions. The close association between working memory and language development should be taken into account in understanding and alleviating the challenges faced by deaf children growing up with cochlear implants as well as other clinical populations.

  3. Cooperative Data Sharing: Simple Support for Clusters of SMP Nodes

    Science.gov (United States)

    DiNucci, David C.; Balley, David H. (Technical Monitor)

    1997-01-01

    Libraries like PVM and MPI send typed messages to allow for heterogeneous cluster computing. Lower-level libraries, such as GAM, provide more efficient access to communication by removing the need to copy messages between the interface and user space in some cases. still lower-level interfaces, such as UNET, get right down to the hardware level to provide maximum performance. However, these are all still interfaces for passing messages from one process to another, and have limited utility in a shared-memory environment, due primarily to the fact that message passing is just another term for copying. This drawback is made more pertinent by today's hybrid architectures (e.g. clusters of SMPs), where it is difficult to know beforehand whether two communicating processes will share memory. As a result, even portable language tools (like HPF compilers) must either map all interprocess communication, into message passing with the accompanying performance degradation in shared memory environments, or they must check each communication at run-time and implement the shared-memory case separately for efficiency. Cooperative Data Sharing (CDS) is a single user-level API which abstracts all communication between processes into the sharing and access coordination of memory regions, in a model which might be described as "distributed shared messages" or "large-grain distributed shared memory". As a result, the user programs to a simple latency-tolerant abstract communication specification which can be mapped efficiently to either a shared-memory or message-passing based run-time system, depending upon the available architecture. Unlike some distributed shared memory interfaces, the user still has complete control over the assignment of data to processors, the forwarding of data to its next likely destination, and the queuing of data until it is needed, so even the relatively high latency present in clusters can be accomodated. CDS does not require special use of an MMU, which

  4. 43 CFR 404.40 - What is the non-Federal share of operation, maintenance, and replacement costs?

    Science.gov (United States)

    2010-10-01

    ... Cost-Sharing § 404.40 What is the non-Federal share of operation, maintenance, and replacement costs? You are required to pay 100 percent of the operation, maintenance, and replacement costs of any rural... 43 Public Lands: Interior 1 2010-10-01 2010-10-01 false What is the non-Federal share of operation...

  5. Non-volatile flash memory with discrete bionanodot floating gate assembled by protein template

    International Nuclear Information System (INIS)

    Miura, Atsushi; Yamashita, Ichiro; Uraoka, Yukiharu; Fuyuki, Takashi; Tsukamoto, Rikako; Yoshii, Shigeo

    2008-01-01

    We demonstrated non-volatile flash memory fabrication by utilizing uniformly sized cobalt oxide (Co 3 O 4 ) bionanodot (Co-BND) architecture assembled by a cage-shaped supramolecular protein template. A fabricated high-density Co-BND array was buried in a metal-oxide-semiconductor field-effect-transistor (MOSFET) structure to use as the charge storage node of a floating nanodot gate memory. We observed a clockwise hysteresis in the drain current-gate voltage characteristics of fabricated BND-embedded MOSFETs. Observed hysteresis obviously indicates a memory operation of Co-BND-embedded MOSFETs due to the charge confinement in the embedded BND and successful functioning of embedded BNDs as the charge storage nodes of the non-volatile flash memory. Fabricated Co-BND-embedded MOSFETs showed good memory properties such as wide memory windows, long charge retention and high tolerance to repeated write/erase operations. A new pathway for device fabrication by utilizing the versatile functionality of biomolecules is presented

  6. A comparison of memory for homicide, non-homicidal violence, and positive life experiences.

    Science.gov (United States)

    Woodworth, Michael; Porter, Stephen; Ten Brinke, Leanne; Doucette, Naomi L; Peace, Kristine; Campbell, Mary Ann

    2009-01-01

    Defendants commonly claim amnesia for their criminal actions especially in cases involving extreme violence. While some claims are malingered or result from physiological factors, other cases may represent genuine partial or complete amnesia resulting from the psychological distress and/or extreme emotion associated with the perpetration of the crime. Fifty Canadian homicide offenders described their memories of their homicide, a non-homicide violent offense, and their most positive adulthood life experience. Self-reported and objective measures of memories for these events revealed that homicides were recalled with the greatest level of detail and sensory information. Although dissociative tendencies were associated with a self-reported memory loss, objective measures of memory quality did not reflect this perceived impairment, suggesting a failure of meta-memory. Recollections of positive life events were superior to those of non-homicidal violence, possibly due to greater impact and meaning attached to such experiences. Findings suggest that memory for homicide typically is enhanced by the powerful emotion associated with its perpetration.

  7. Resource-sharing between internal maintenance and external selection modulates attentional capture by working memory content

    Directory of Open Access Journals (Sweden)

    Anastasia eKiyonaga

    2014-08-01

    Full Text Available It is unclear why and under what circumstances working memory (WM and attention interact. Here, we apply the logic of the time-based resource-sharing (TBRS model of WM (e.g., Barrouillet, Bernardin, & Camos, 2004 to explore the mixed findings of a separate, but related, literature that studies the guidance of visual attention by WM contents. Specifically, we hypothesize that the linkage between WM representations and visual attention is governed by a time-shared cognitive resource that alternately refreshes internal (WM and selects external (visual attention information. If this were the case, WM content should guide visual attention (involuntarily, but only when there is time for it to be refreshed in an internal focus of attention. To provide an initial test for this hypothesis, we examined whether the amount of unoccupied time during a WM delay could impact the magnitude of attentional capture by WM contents. Participants were presented with a series of visual search trials while they maintained a WM cue for a delayed-recognition test. WM cues could coincide with the search target, a distracter, or neither. We varied both the number of searches to be performed, and the amount of available time to perform them. Slowing of visual search by a WM matching distracter—and facilitation by a matching target—were curtailed when the delay was filled with fast-paced (refreshing-preventing search trials, as was subsequent memory probe accuracy. WM content may, therefore, only capture visual attention when it can be refreshed, suggesting that internal (WM and external attention demands reciprocally impact one another because they share a limited resource. The TBRS rationale can thus be applied in a novel context to explain why WM contents capture attention, and under what conditions that effect should be observed.

  8. Declarative and Non-declarative Memory Consolidation in Children with Sleep Disorder

    OpenAIRE

    Cs?bi, Eszter; Benedek, P?lma; Janacsek, Karolina; Zavecz, Zs?fia; Katona, G?bor; Nemeth, Dezso

    2016-01-01

    Healthy sleep is essential in children’s cognitive, behavioral, and emotional development. However, remarkably little is known about the influence of sleep disorders on different memory processes in childhood. Such data could give us a deeper insight into the effect of sleep on the developing brain and memory functions and how the relationship between sleep and memory changes from childhood to adulthood. In the present study we examined the effect of sleep disorder on declarative and non-decl...

  9. ZnO as dielectric for optically transparent non-volatile memory

    International Nuclear Information System (INIS)

    Salim, N. Tjitra; Aw, K.C.; Gao, W.; Wright, Bryon E.

    2009-01-01

    This paper discusses the application of a DC sputtered ZnO thin film as a dielectric in an optically transparent non-volatile memory. The main motivation for using ZnO as a dielectric is due to its optical transparency and mechanical flexibility. We have established the relationship between the electrical resistivity (ρ) and the activation energy (E a ) of the electron transport in the conduction band of the ZnO film. The ρ of 2 x 10 4 -5 x 10 7 Ω-cm corresponds to E a of 0.36-0.76 eV, respectively. The k-value and optical band-gap for films sputtered with Ar:O 2 ratio of 4:1 are 53 ± 3.6 and 3.23 eV, respectively. In this paper, the basic charge storage element for a non-volatile memory is a triple layer dielectric structure in which a 50 nm thick ZnO film is sandwiched between two layers of methyl silsesquioxane sol-gel dielectric of varying thickness. A pronounced clockwise capacitance-voltage (C-V) hysteresis was observed with a memory window of 6 V. The integration with a solution-processable pentacene, 13,6-N-Sulfinylacetamodipentacene resulted in an optically transparent organic field effect transistor non-volatile memory (OFET-NVM). We have demonstrated that this OFET-NVM can be electrically programmed and erased at low voltage (± 10 V) with a threshold voltage shift of 4.0 V.

  10. Distinct and shared cognitive functions mediate event- and time-based prospective memory impairment in normal ageing

    Science.gov (United States)

    Gonneaud, Julie; Kalpouzos, Grégoria; Bon, Laetitia; Viader, Fausto; Eustache, Francis; Desgranges, Béatrice

    2011-01-01

    Prospective memory (PM) is the ability to remember to perform an action at a specific point in the future. Regarded as multidimensional, PM involves several cognitive functions that are known to be impaired in normal aging. In the present study, we set out to investigate the cognitive correlates of PM impairment in normal aging. Manipulating cognitive load, we assessed event- and time-based PM, as well as several cognitive functions, including executive functions, working memory and retrospective episodic memory, in healthy subjects covering the entire adulthood. We found that normal aging was characterized by PM decline in all conditions and that event-based PM was more sensitive to the effects of aging than time-based PM. Whatever the conditions, PM was linked to inhibition and processing speed. However, while event-based PM was mainly mediated by binding and retrospective memory processes, time-based PM was mainly related to inhibition. The only distinction between high- and low-load PM cognitive correlates lays in an additional, but marginal, correlation between updating and the high-load PM condition. The association of distinct cognitive functions, as well as shared mechanisms with event- and time-based PM confirms that each type of PM relies on a different set of processes. PMID:21678154

  11. The cross-sectional association between severity of non-cognitive disability and self-reported worsening memory.

    Science.gov (United States)

    Cannell, M Brad; Bouldin, Erin D; Teigen, Kari; Akhtar, Wajiha Z; Andresen, Elena M

    2016-04-01

    Research has demonstrated a clear association between cognitive decline and non-cognitive disability; however, all of these studies focus on disability as a correlate or result of some level of cognitive impairment or dysfunction. The relationship between disability and cognition is likely a complex one, that is currently incompletely described in the literature. Our objective was to estimate the prevalence of long-term, non-cognitive disability using a population-representative sample of adults aged 18 and older, and then estimate the association between long-term, non-cognitive disability and self-reported worsening memory. Using the 2009 Florida Behavioral Risk Factor Surveillance System (BRFSS), we measured the relationship between non-cognitive disability and worsening memory using multivariable logistic regression analysis weighted to account for the complex sampling design of the BRFSS. We also estimated the adjusted odds of worsening memory by disability severity, classified according to the types of assistance needed. Approximately 18% (95% confidence interval = (16%, 19%)) of Floridians were living with a long-term, non-cognitive disability in 2009. Among adults with no disability during or prior to the last year, only 5% reported worsening memory. The proportion of Floridians reporting worsening memory increases with increasing severity of disability-related limitations. In a multivariable logistic regression model, odds of worsening memory increased significantly with severity of disability-related limitations. These results highlight the association between non-cognitive disability and subsequent increased odds of worsening memory, independent of several other known risk factors, and a dose-response association with disability-related limitations. Copyright © 2016 Elsevier Inc. All rights reserved.

  12. 47 CFR 25.260 - Time sharing between DoD meteorological satellite systems and non-voice, non-geostationary...

    Science.gov (United States)

    2010-10-01

    ... 47 Telecommunication 2 2010-10-01 2010-10-01 false Time sharing between DoD meteorological satellite systems and non-voice, non-geostationary satellite systems in the 400.15-401 MHz band. 25.260 Section 25.260 Telecommunication FEDERAL COMMUNICATIONS COMMISSION (CONTINUED) COMMON CARRIER SERVICES...

  13. Visual memory in musicians and non-musicians.

    Science.gov (United States)

    Rodrigues, Ana Carolina; Loureiro, Maurício; Caramelli, Paulo

    2014-01-01

    Many investigations have reported structural, functional, and cognitive changes in the brains of musicians, which occur as a result of many years of musical practice. We aimed to investigate if intensive, long-term musical practice is associated with improved visual memory ability. Musicians and non-musicians, who were comparable in age, gender, and education, were submitted to a visual memory test. The test consisted of the presentation of four sets of stimuli, each one containing eight figures to be memorized. Each set was followed by individual figures and the subject was required to indicate if each figure was or was not present in the memorized set, by pressing the corresponding keys. We divided the test in two parts, in which the stimuli had greater or reduced semantic coding. Overall, musicians showed better performance on reaction times, but not on accuracy. An additional analysis revealed no significant interaction between group and any part of the test in the prediction of the outcomes. When simple reaction time was included as covariate, no significant difference between groups was found on reaction times. In the group of musicians, we found some significant correlations between variables related to musical practice and performance in the visual memory test. In summary, our data provide no evidence of enhanced visual memory ability in musicians, since there was no difference in accuracy between groups. Our results suggest that performance of musicians in the visual memory test may be associated with better sensorimotor integration, since although they have presented shorter reaction times, such effect disappeared when taken in consideration the simple reaction time test. However, given existing evidence of associations between simple reaction time and cognitive function, their performance in the visual memory test could also be related to enhanced visual attention ability, as has been suggested by previous studies, but this hypothesis deserves more

  14. Portable programming on parallel/networked computers using the Application Portable Parallel Library (APPL)

    Science.gov (United States)

    Quealy, Angela; Cole, Gary L.; Blech, Richard A.

    1993-01-01

    The Application Portable Parallel Library (APPL) is a subroutine-based library of communication primitives that is callable from applications written in FORTRAN or C. APPL provides a consistent programmer interface to a variety of distributed and shared-memory multiprocessor MIMD machines. The objective of APPL is to minimize the effort required to move parallel applications from one machine to another, or to a network of homogeneous machines. APPL encompasses many of the message-passing primitives that are currently available on commercial multiprocessor systems. This paper describes APPL (version 2.3.1) and its usage, reports the status of the APPL project, and indicates possible directions for the future. Several applications using APPL are discussed, as well as performance and overhead results.

  15. A semi-floating gate memory based on van der Waals heterostructures for quasi-non-volatile applications.

    Science.gov (United States)

    Liu, Chunsen; Yan, Xiao; Song, Xiongfei; Ding, Shijin; Zhang, David Wei; Zhou, Peng

    2018-04-09

    As conventional circuits based on field-effect transistors are approaching their physical limits due to quantum phenomena, semi-floating gate transistors have emerged as an alternative ultrafast and silicon-compatible technology. Here, we show a quasi-non-volatile memory featuring a semi-floating gate architecture with band-engineered van der Waals heterostructures. This two-dimensional semi-floating gate memory demonstrates 156 times longer refresh time with respect to that of dynamic random access memory and ultrahigh-speed writing operations on nanosecond timescales. The semi-floating gate architecture greatly enhances the writing operation performance and is approximately 10 6 times faster than other memories based on two-dimensional materials. The demonstrated characteristics suggest that the quasi-non-volatile memory has the potential to bridge the gap between volatile and non-volatile memory technologies and decrease the power consumption required for frequent refresh operations, enabling a high-speed and low-power random access memory.

  16. Interactive affective sharing versus non-interactive affective sharing in work groups : Comparative effects of group affect on work group performance and dynamics

    NARCIS (Netherlands)

    Klep, Annefloor; Wisse, Barbara; Van Der Flier, Henk

    This study explores whether the dynamic path to group affect, which is characterized by interactive affective sharing processes, yields different effects on task performance and group dynamics than the static path to group affect, which arises from non-interactive affective sharing. The results of

  17. Interactive affective sharing versus non-interactive affective sharing in work groups: Comparative effects of group affect on work group performance and dynamics

    NARCIS (Netherlands)

    Klep, A.H.M.; Wisse, B.M.; van der Flier, H.

    2011-01-01

    This study explores whether the dynamic path to group affect, which is characterized by interactive affective sharing processes, yields different effects on task performance and group dynamics than the static path to group affect, which arises from non-interactive affective sharing. The results of

  18. A room-temperature non-volatile CNT-based molecular memory cell

    Science.gov (United States)

    Ye, Senbin; Jing, Qingshen; Han, Ray P. S.

    2013-04-01

    Recent experiments with a carbon nanotube (CNT) system confirmed that the innertube can oscillate back-and-forth even under a room-temperature excitation. This demonstration of relative motion suggests that it is now feasible to build a CNT-based molecular memory cell (MC), and the key to bring the concept to reality is the precision control of the moving tube for sustained and reliable read/write (RW) operations. Here, we show that by using a 2-section outertube design, we are able to suitably recalibrate the system energetics and obtain the designed performance characteristics of a MC. Further, the resulting energy modification enables the MC to operate as a non-volatile memory element at room temperatures. Our paper explores a fundamental understanding of a MC and its response at the molecular level to roadmap a novel approach in memory technologies that can be harnessed to overcome the miniaturization limit and memory volatility in memory technologies.

  19. Parallel processing in nuclear applications

    International Nuclear Information System (INIS)

    Muniz, Francisco Junqueira

    1995-01-01

    This paper summarizes some investigations on effective and scalable dynamic load-balancing mechanisms suitable for distributed-memory (loosely-coupled) MIMD systems. The selected implementation environment is composed of T800 transputers programed in the occam and C languages and an automatic routing package communication software mechanism (the virtual channel router). Tasks were generated, at execution time, using a multiple-spawning mechanism based on a set of remote procedure calls primitives. The objective is to improve maximum resource utilization. In particular, the investigation described here facilitate portability of the user application, since it concentrates on system-level load balancing mechanisms. The load-balancing mechanisms studies are also suitable for systems that can vary in size, concentrating on methods with potential for scalability. Two possible application examples, chosen from the nuclear area, where distributed-memory MIMD machines can be utilized, are mentioned. (author). 24 refs., 1 fig

  20. Non-monotonic relationships between emotional arousal and memory for color and location.

    Science.gov (United States)

    Boywitt, C Dennis

    2015-01-01

    Recent research points to the decreased diagnostic value of subjective retrieval experience for memory accuracy for emotional stimuli. While for neutral stimuli rich recollective experiences are associated with better context memory than merely familiar memories this association appears questionable for emotional stimuli. The present research tested the implicit assumption that the effect of emotional arousal on memory is monotonic, that is, steadily increasing (or decreasing) with increasing arousal. In two experiments emotional arousal was manipulated in three steps using emotional pictures and subjective retrieval experience as well as context memory were assessed. The results show an inverted U-shape relationship between arousal and recognition memory but for context memory and retrieval experience the relationship was more complex. For frame colour, context memory decreased linearly while for spatial location it followed the inverted U-shape function. The complex, non-monotonic relationships between arousal and memory are discussed as possible explanations for earlier divergent findings.

  1. Scalable printed electronics: an organic decoder addressing ferroelectric non-volatile memory

    Science.gov (United States)

    Ng, Tse Nga; Schwartz, David E.; Lavery, Leah L.; Whiting, Gregory L.; Russo, Beverly; Krusor, Brent; Veres, Janos; Bröms, Per; Herlogsson, Lars; Alam, Naveed; Hagel, Olle; Nilsson, Jakob; Karlsson, Christer

    2012-01-01

    Scalable circuits of organic logic and memory are realized using all-additive printing processes. A 3-bit organic complementary decoder is fabricated and used to read and write non-volatile, rewritable ferroelectric memory. The decoder-memory array is patterned by inkjet and gravure printing on flexible plastics. Simulation models for the organic transistors are developed, enabling circuit designs tolerant of the variations in printed devices. We explain the key design rules in fabrication of complex printed circuits and elucidate the performance requirements of materials and devices for reliable organic digital logic. PMID:22900143

  2. Non-Hebbian learning implementation in light-controlled resistive memory devices.

    Science.gov (United States)

    Ungureanu, Mariana; Stoliar, Pablo; Llopis, Roger; Casanova, Fèlix; Hueso, Luis E

    2012-01-01

    Non-Hebbian learning is often encountered in different bio-organisms. In these processes, the strength of a synapse connecting two neurons is controlled not only by the signals exchanged between the neurons, but also by an additional factor external to the synaptic structure. Here we show the implementation of non-Hebbian learning in a single solid-state resistive memory device. The output of our device is controlled not only by the applied voltages, but also by the illumination conditions under which it operates. We demonstrate that our metal/oxide/semiconductor device learns more efficiently at higher applied voltages but also when light, an external parameter, is present during the information writing steps. Conversely, memory erasing is more efficiently at higher applied voltages and in the dark. Translating neuronal activity into simple solid-state devices could provide a deeper understanding of complex brain processes and give insight into non-binary computing possibilities.

  3. Transparent meta-analysis: does aging spare prospective memory with focal vs. non-focal cues?

    Directory of Open Access Journals (Sweden)

    Bob Uttl

    Full Text Available BACKGROUND: Prospective memory (ProM is the ability to become aware of a previously-formed plan at the right time and place. For over twenty years, researchers have been debating whether prospective memory declines with aging or whether it is spared by aging and, most recently, whether aging spares prospective memory with focal vs. non-focal cues. Two recent meta-analyses examining these claims did not include all relevant studies and ignored prevalent ceiling effects, age confounds, and did not distinguish between prospective memory subdomains (e.g., ProM proper, vigilance, habitual ProM (see Uttl, 2008, PLoS ONE. The present meta-analysis focuses on the following questions: Does prospective memory decline with aging? Does prospective memory with focal vs. non-focal cues decline with aging? Does the size of age-related declines with focal vs. non-focal cues vary across ProM subdomains? And are age-related declines in ProM smaller than age-related declines in retrospective memory? METHODS AND FINDINGS: A meta-analysis of event-cued ProM using data visualization and modeling, robust count methods, and conventional meta-analysis techniques revealed that first, the size of age-related declines in ProM with both focal and non-focal cues are large. Second, age-related declines in ProM with focal cues are larger in ProM proper and smaller in vigilance. Third, age-related declines in ProM proper with focal cues are as large as age-related declines in recall measures of retrospective memory. CONCLUSIONS: The results are consistent with Craik's (1983 proposal that age-related declines on ProM tasks are generally large, support the distinction between ProM proper vs. vigilance, and directly contradict widespread claims that ProM, with or without focal cues, is spared by aging.

  4. Non-volatile memory devices with redox-active diruthenium molecular compound

    International Nuclear Information System (INIS)

    Pookpanratana, S; Zhu, H; Bittle, E G; Richter, C A; Li, Q; Hacker, C A; Natoli, S N; Ren, T

    2016-01-01

    Reduction-oxidation (redox) active molecules hold potential for memory devices due to their many unique properties. We report the use of a novel diruthenium-based redox molecule incorporated into a non-volatile Flash-based memory device architecture. The memory capacitor device structure consists of a Pd/Al 2 O 3 /molecule/SiO 2 /Si structure. The bulky ruthenium redox molecule is attached to the surface by using a ‘click’ reaction and the monolayer structure is characterized by x-ray photoelectron spectroscopy to verify the Ru attachment and molecular density. The ‘click’ reaction is particularly advantageous for memory applications because of (1) ease of chemical design and synthesis, and (2) provides an additional spatial barrier between the oxide/silicon to the diruthenium molecule. Ultraviolet photoelectron spectroscopy data identified the energy of the electronic levels of the surface before and after surface modification. The molecular memory devices display an unsaturated charge storage window attributed to the intrinsic properties of the redox-active molecule. Our findings demonstrate the strengths and challenges with integrating molecular layers within solid-state devices, which will influence the future design of molecular memory devices. (paper)

  5. The role of personal values in children's costly sharing and non-costly giving.

    Science.gov (United States)

    Abramson, Lior; Daniel, Ella; Knafo-Noam, Ariel

    2018-01-01

    This study examined whether children's values, global and abstract motivations serving as guiding principles, are organized similarly to those of adults, whether values can predict individual differences in children's sharing behaviors, and whether the normative nature of the situation influences the expression of these individual differences. Children (N=243, ages 5-12years) participated in a values ranking task as part of a visit to a science museum. The majority of children (n=150) also participated in a task examining costly sharing (i.e., sharing that results in giving up part of one's own resources) and non-costly giving (i.e., giving that does not influence one's own share). Starting from 5years of age, children showed a structure of values similar to that of adolescents and adults, specifically contrasting preferences for opposing values (i.e., self-transcendence with self-enhancement and openness to change with conservation). Importance given to self-transcendence values related positively to costly sharing but not to non-costly giving, indicating that in situations where it is more normative to share, individual differences in values are less expressed in children's actual sharing. In addition, children's sex and age moderated the relation between values and behavior. Children's values are an important aspect of their developing personalities. Taking them into consideration can greatly promote the research of prosocial and normative development as well as our understanding of individual differences in children's behavior. Copyright © 2017 Elsevier Inc. All rights reserved.

  6. Factor structure of overall autobiographical memory usage: the directive, self and social functions revisited.

    Science.gov (United States)

    Rasmussen, Anne S; Habermas, Tilmann

    2011-08-01

    According to theory, autobiographical memory serves three broad functions of overall usage: directive, self, and social. However, there is evidence to suggest that the tripartite model may be better conceptualised in terms of a four-factor model with two social functions. In the present study we examined the two models in Danish and German samples, using the Thinking About Life Experiences Questionnaire (TALE; Bluck, Alea, Habermas, & Rubin, 2005), which measures the overall usage of the three functions generalised across concrete memories. Confirmatory factor analysis supported the four-factor model and rejected the theoretical three-factor model in both samples. The results are discussed in relation to cultural differences in overall autobiographical memory usage as well as sharing versus non-sharing aspects of social remembering.

  7. Non-volatile main memory management methods based on a file system.

    Science.gov (United States)

    Oikawa, Shuichi

    2014-01-01

    There are upcoming non-volatile (NV) memory technologies that provide byte addressability and high performance. PCM, MRAM, and STT-RAM are such examples. Such NV memory can be used as storage because of its data persistency without power supply while it can be used as main memory because of its high performance that matches up with DRAM. There are a number of researches that investigated its uses for main memory and storage. They were, however, conducted independently. This paper presents the methods that enables the integration of the main memory and file system management for NV memory. Such integration makes NV memory simultaneously utilized as both main memory and storage. The presented methods use a file system as their basis for the NV memory management. We implemented the proposed methods in the Linux kernel, and performed the evaluation on the QEMU system emulator. The evaluation results show that 1) the proposed methods can perform comparably to the existing DRAM memory allocator and significantly better than the page swapping, 2) their performance is affected by the internal data structures of a file system, and 3) the data structures appropriate for traditional hard disk drives do not always work effectively for byte addressable NV memory. We also performed the evaluation of the effects caused by the longer access latency of NV memory by cycle-accurate full-system simulation. The results show that the effect on page allocation cost is limited if the increase of latency is moderate.

  8. Twins and non-twin siblings: different estimates of shared environmental influence in early childhood.

    Science.gov (United States)

    Koeppen-Schomerus, Gesina; Spinath, Frank M; Plomin, Robert

    2003-04-01

    Twin studies typically indicate shared environmental influence for cognitive abilities, especially in early childhood. However, across studies, DZ twin correlations tend to be greater than non-twin sibling correlations, suggesting that twin estimates of shared environment are to some extent specific to twins. We tested this hypothesis in a sample of more than 1800 MZ and 1800 same-sex DZ pairs from the Twins Early Development Study (TEDS), a study of twins born in England and Wales in 1994 and 1995. For this analysis, we obtained comparable data from more than 130 same-sex younger siblings of the twins. Twins and their younger siblings were assessed for language, cognitive abilities and behavior problems by their parents at 2 and 3 years of age. For language and cognitive measures at both 2 and 3 years, but not for behavior problems, estimates of shared environment were more than twice as large for twins as compared to non-twin siblings. We conclude that about half of twin study estimates of shared environment for cognitive abilities in early childhood are specific to twins. Although many possibilities exist for explaining the special shared environment effect for twins, we suggest that cognitive-relevant experiences that are not shared by siblings are shared by twins because they are exactly the same age.

  9. A shared representation of order between encoding and recognition in visual short-term memory.

    Science.gov (United States)

    Kalm, Kristjan; Norris, Dennis

    2017-07-15

    Many complex tasks require people to bind individual events into a sequence that can be held in short term memory (STM). For this purpose information about the order of the individual events in the sequence needs to be maintained in an active and accessible form in STM over a period of few seconds. Here we investigated how the temporal order information is shared between the presentation and response phases of an STM task. We trained a classification algorithm on the fMRI activity patterns from the presentation phase of the STM task to predict the order of the items during the subsequent recognition phase. While voxels in a number of brain regions represented positional information during either presentation and recognition phases, only voxels in the lateral prefrontal cortex (PFC) and the anterior temporal lobe (ATL) represented position consistently across task phases. A shared positional code in the ATL might reflect verbal recoding of visual sequences to facilitate the maintenance of order information over several seconds. Copyright © 2017 The Authors. Published by Elsevier Inc. All rights reserved.

  10. Shared social and emotional activities within adolescent romantic and non-romantic sexual relationships.

    Science.gov (United States)

    Williams, Lela Rankin; Russell, Stephen T

    2013-05-01

    Typically, "non-romantic" sexual relationships are assumed to be casual; however, the emotional and social distinctions between romantic and non-romantic contexts are not well understood, particularly in adolescence. Data from the National Longitudinal Study of Adolescent Health (Add Health) was used to compare shared emotional (e.g., telling partner that they love her/him) and social (e.g., going out in a group) activities within romantic and non-romantic sexual relationships. Adolescents who reported exclusively romantic sexual relationships (n = 1,891) shared more emotional, but not social, activities with their partners than adolescents who were in non-romantic sexual relationships (n = 315; small effect size, r = .07-.13), akin to adolescents who experienced both relationship types (n = 519; small-to-medium effect size, r = .18-.38). Girls shared more emotional and social activities with their partners than boys when in romantic relationships (small effect size, r = .06-.10); there were no significant gender differences within non-romantic sexual relationships. Findings suggest that gendered scripts remain for sexual relationships that are romantic but not for those that are non-romantic. Notably, for the majority of adolescents, non-romantic relationships still held many emotional and social dimensions typical of romantic relationships and differences between relationship types were small. Although non-romantic relationships were less intimate than romantic sexual relationships, there was remarkable heterogeneity within this relationship type. Caution is advised when working with adolescents engaged in "casual" sexual relationships. Understanding the complexity of adolescent sexual relationships is critical for the advancement of effective sex education programming.

  11. Extensive shared polymorphism at non-MHC immune genes in recently diverged North American prairie grouse

    Science.gov (United States)

    Minias, Piotr; Bateson, Zachary W.; Whittingham, Linda A.; Johnson, Jeff A.; Oyler-McCance, Sara J.; Dunn, Peter O.

    2018-01-01

    Gene polymorphisms shared between recently diverged species are thought to be widespread and most commonly reflect introgression from hybridization or retention of ancestral polymorphism through incomplete lineage sorting. Shared genetic diversity resulting from incomplete lineage sorting is usually maintained for a relatively short period of time, but under strong balancing selection it may persist for millions of years beyond species divergence (balanced trans-species polymorphism), as in the case of the major histocompatibility complex (MHC) genes. However, balancing selection is much less likely to act on non-MHC immune genes. The aim of this study was to investigate the patterns of shared polymorphism and selection at non-MHC immune genes in five grouse species from Centrocercus and Tympanuchus genera. For this purpose, we genotyped five non-MHC immune genes that do not interact directly with pathogens, but are involved in signaling and regulate immune cell growth. In contrast to previous studies with MHC, we found no evidence for balancing selection or balanced trans-species polymorphism among the non-MHC immune genes. No haplotypes were shared between genera and in most cases more similar allelic variants sorted by genus. Between species within genera, however, we found extensive shared polymorphism, which was most likely attributable to introgression or incomplete lineage sorting following recent divergence and large ancestral effective population size (i.e., weak genetic drift). Our study suggests that North American prairie grouse may have attained relatively low degree of reciprocal monophyly at nuclear loci and reinforces the rarity of balancing selection in non-MHC immune genes.

  12. Distinctiveness enhances long-term event memory in non-human primates, irrespective of reinforcement.

    Science.gov (United States)

    Lewis, Amy; Call, Josep; Berntsen, Dorthe

    2017-08-01

    Non-human primates are capable of recalling events that occurred as long as 3 years ago, and are able to distinguish between similar events; akin to human memory. In humans, distinctiveness enhances memory for events, however, it is unknown whether the same occurs in non-human primates. As such, we tested three great ape species on their ability to remember an event that varied in distinctiveness. Across three experiments, apes witnessed a baiting event in which one of three identical containers was baited with food. After a delay of 2 weeks, we tested their memory for the location of the baited container. Apes failed to recall the baited container when the event was undistinctive (Experiment 1), but were successful when it was distinctive (Experiment 2), although performance was equally good in a less-distinctive condition. A third experiment (Experiment 3) confirmed that distinctiveness, independent of reinforcement, was a consistent predictor of performance. These findings suggest that distinctiveness may enhance memory for events in non-human primates in the same way as in humans, and provides further evidence of basic similarities between the ways apes and humans remember past events. © 2017 Wiley Periodicals, Inc.

  13. Growth and characterization of 2-Methylimidazolium D-tartrate single crystal

    Science.gov (United States)

    Srinivasan, T. P.; Anandhi, S.; Gopalakrishnan, R.

    2011-03-01

    Single crystal of 2-Methylimidazolium D-tartrate (2MImdT; C8N2O6H12) has been grown by slow evaporation solution growth technique at room temperature using mixed solvents of ethanol and deionized water. Single crystal X-ray diffraction study confirms that 2-Methylimidazolium D-tartrate belongs to monoclinic crystal system with non-centrosymmetric space group P21. The Fourier transform infrared spectrum of 2-Methylimidazolium D-tartrate reveals the presence of methyl and carboxyl functional groups in the compound. The mechanical properties of 2MImdT crystal were studied. The theoretical factor group analysis predicts 168 optical modes in the title compound. The dielectric behavior of 2MImdT crystals was studied at different frequencies and temperatures. Decomposition and melting point of 2MImdT were found using thermal measurements. SHG behavior of the title compound was demonstrated using Q-switched Nd:YAG laser.

  14. High-performance non-volatile organic ferroelectric memory on banknotes

    KAUST Repository

    Khan, Yasser

    2012-03-21

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage, high mobility, and long retention times. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. High-performance non-volatile organic ferroelectric memory on banknotes.

    Science.gov (United States)

    Khan, M A; Bhansali, Unnat S; Alshareef, H N

    2012-04-24

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage, high mobility, and long retention times. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. A direct metal transfer method for cross-bar type polymer non-volatile memory applications

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Lee, Kyeongmi; Oh, Seung-Hwan; Wang, Gunuk; Kim, Dong-Yu; Jung, Gun-Young; Lee, Takhee

    2008-01-01

    Polymer non-volatile memory devices in 8 x 8 array cross-bar architecture were fabricated by a non-aqueous direct metal transfer (DMT) method using a two-step thermal treatment. Top electrodes with a linewidth of 2 μm were transferred onto the polymer layer by the DMT method. The switching behaviour of memory devices fabricated by the DMT method was very similar to that of devices fabricated by the conventional shadow mask method. The devices fabricated using the DMT method showed three orders of magnitude of on/off ratio with stable resistance switching, demonstrating that the DMT method can be a simple process to fabricate organic memory array devices

  17. The Developmental Influence of Primary Memory Capacity on Working Memory and Academic Achievement

    Science.gov (United States)

    2015-01-01

    In this study, we investigate the development of primary memory capacity among children. Children between the ages of 5 and 8 completed 3 novel tasks (split span, interleaved lists, and a modified free-recall task) that measured primary memory by estimating the number of items in the focus of attention that could be spontaneously recalled in serial order. These tasks were calibrated against traditional measures of simple and complex span. Clear age-related changes in these primary memory estimates were observed. There were marked individual differences in primary memory capacity, but each novel measure was predictive of simple span performance. Among older children, each measure shared variance with reading and mathematics performance, whereas for younger children, the interleaved lists task was the strongest single predictor of academic ability. We argue that these novel tasks have considerable potential for the measurement of primary memory capacity and provide new, complementary ways of measuring the transient memory processes that predict academic performance. The interleaved lists task also shared features with interference control tasks, and our findings suggest that young children have a particular difficulty in resisting distraction and that variance in the ability to resist distraction is also shared with measures of educational attainment. PMID:26075630

  18. The market share of non-US uranium producers under different US embargo scenarios

    International Nuclear Information System (INIS)

    Franks, W.A.

    1988-01-01

    The imposition of US restrictions on the use of foreign uranium has a potentially far reaching impact. This analysis examines various US import restriction scenarios and their impact on the market both inside and outside the USA. Specifically, the market share that the non-US producers would lose is estimated for a 50% embargo, a 62.5% embargo, and a 100% embargo, with and without the grandfathering of US utilities' foreign contracts. These results are then compared to what the market share would have been in a free market. The increase in the US market share is also briefly discussed. (author)

  19. Optimization and parallelization of B-spline based orbital evaluations in QMC on multi/many-core shared memory processors

    OpenAIRE

    Mathuriya, Amrita; Luo, Ye; Benali, Anouar; Shulenburger, Luke; Kim, Jeongnim

    2016-01-01

    B-spline based orbital representations are widely used in Quantum Monte Carlo (QMC) simulations of solids, historically taking as much as 50% of the total run time. Random accesses to a large four-dimensional array make it challenging to efficiently utilize caches and wide vector units of modern CPUs. We present node-level optimizations of B-spline evaluations on multi/many-core shared memory processors. To increase SIMD efficiency and bandwidth utilization, we first apply data layout transfo...

  20. Specification and development of the sharing memory data management module for a nuclear processes simulator; Especificacion y desarrollo del modulo de administracion de datos de memoria compartida para un simulador de procesos nucleares

    Energy Technology Data Exchange (ETDEWEB)

    Telesforo R, D. [UNAM, DEPFI, Campus Morelos, Jiutepec, Morelos (Mexico)]. e-mail: cchavez2@cableonline.com.mx

    2003-07-01

    Actually it is developed in the Engineering Faculty of UNAM a simulator of nuclear processes with research and teaching purposes. It consists of diverse modules, included the one that is described in the present work that is the shared memory module. It uses the IPC mechanisms of the UNIX System V operative system, and it was codified with C language. To model the diverse components of the simulator the RELAP code is used. The function of the module is to generate locations of shared memory for to deposit in these the necessary variables for the interaction among the diverse ones processes of the simulator. In its it will be able read and to write the information that generate the running of the simulation program, besides being able to interact with the internal variables of the code in execution time. The graphic unfolding (mimic, pictorials, tendency graphics, virtual instrumentation, etc.) they also obtain information of the shared memory. In turn, actions of the user in interactive unfolding, they modify the segments of shared memory, and the information is sent to the RELAP code to modify the simulation course. The program has two beginning modes: automatic and manual. In automatic mode taking an enter file of RELAP (indta) and it joins in shared memory, the control variables that in this appear. In manual mode the user joins, he reads and he writes the wanted control variables, whenever they exist in the enter file (indta). This is a dynamic mode of interacting with the simulator in a direct way and of even altering the values as when its don't exist in the board elements associated to the variables. (Author)

  1. Dissociation of neural correlates of verbal and non-verbal visual working memory with different delays

    Directory of Open Access Journals (Sweden)

    Endestad Tor

    2007-10-01

    Full Text Available Abstract Background Dorsolateral prefrontal cortex (DLPFC, posterior parietal cortex, and regions in the occipital cortex have been identified as neural sites for visual working memory (WM. The exact involvement of the DLPFC in verbal and non-verbal working memory processes, and how these processes depend on the time-span for retention, remains disputed. Methods We used functional MRI to explore the neural correlates of the delayed discrimination of Gabor stimuli differing in orientation. Twelve subjects were instructed to code the relative orientation either verbally or non-verbally with memory delays of short (2 s or long (8 s duration. Results Blood-oxygen level dependent (BOLD 3-Tesla fMRI revealed significantly more activity for the short verbal condition compared to the short non-verbal condition in bilateral superior temporal gyrus, insula and supramarginal gyrus. Activity in the long verbal condition was greater than in the long non-verbal condition in left language-associated areas (STG and bilateral posterior parietal areas, including precuneus. Interestingly, right DLPFC and bilateral superior frontal gyrus was more active in the non-verbal long delay condition than in the long verbal condition. Conclusion The results point to a dissociation between the cortical sites involved in verbal and non-verbal WM for long and short delays. Right DLPFC seems to be engaged in non-verbal WM tasks especially for long delays. Furthermore, the results indicate that even slightly different memory maintenance intervals engage largely differing networks and that this novel finding may explain differing results in previous verbal/non-verbal WM studies.

  2. Alternans by non-monotonic conduction velocity restitution, bistability and memory

    International Nuclear Information System (INIS)

    Kim, Tae Yun; Hong, Jin Hee; Heo, Ryoun; Lee, Kyoung J

    2013-01-01

    Conduction velocity (CV) restitution is a key property that characterizes any medium supporting traveling waves. It reflects not only the dynamics of the individual constituents but also the coupling mechanism that mediates their interaction. Recent studies have suggested that cardiac tissues, which have a non-monotonic CV-restitution property, can support alternans, a period-2 oscillatory response of periodically paced cardiac tissue. This study finds that single-hump, non-monotonic, CV-restitution curves are a common feature of in vitro cultures of rat cardiac cells. We also find that the Fenton–Karma model, one of the well-established mathematical models of cardiac tissue, supports a very similar non-monotonic CV restitution in a physiologically relevant parameter regime. Surprisingly, the mathematical model as well as the cell cultures support bistability and show cardiac memory that tends to work against the generation of an alternans. Bistability was realized by adopting two different stimulation protocols, ‘S1S2’, which produces a period-1 wave train, and ‘alternans-pacing’, which favors a concordant alternans. Thus, we conclude that the single-hump non-monotonicity in the CV-restitution curve is not sufficient to guarantee a cardiac alternans, since cardiac memory interferes and the way the system is paced matters. (paper)

  3. Non-invasive brain stimulation targeting the right fusiform gyrus selectively increases working memory for faces.

    Science.gov (United States)

    Brunyé, Tad T; Moran, Joseph M; Holmes, Amanda; Mahoney, Caroline R; Taylor, Holly A

    2017-04-01

    The human extrastriate cortex contains a region critically involved in face detection and memory, the right fusiform gyrus. The present study evaluated whether transcranial direct current stimulation (tDCS) targeting this anatomical region would selectively influence memory for faces versus non-face objects (houses). Anodal tDCS targeted the right fusiform gyrus (Brodmann's Area 37), with the anode at electrode site PO10, and cathode at FP2. Two stimulation conditions were compared in a repeated-measures design: 0.5mA versus 1.5mA intensity; a separate control group received no stimulation. Participants completed a working memory task for face and house stimuli, varying in memory load from 1 to 4 items. Individual differences measures assessed trait-based differences in facial recognition skills. Results showed 1.5mA intensity stimulation (versus 0.5mA and control) increased performance at high memory loads, but only with faces. Lower overall working memory capacity predicted a positive impact of tDCS. Results provide support for the notion of functional specialization of the right fusiform regions for maintaining face (but not non-face object) stimuli in working memory, and further suggest that low intensity electrical stimulation of this region may enhance demanding face working memory performance particularly in those with relatively poor baseline working memory skills. Published by Elsevier Inc.

  4. Assessing the effect of knowledge sharing on Employees\\' Psychological Empowerment by Clarifying Mediating Role of organizational memory and learning collaborative electronic in National Library and Archives of I.R of Iran

    Directory of Open Access Journals (Sweden)

    Davood Feiz

    2017-06-01

    Full Text Available Nowadays knowledge has been enumerated as a valuable and important source in libraries. Knowledge sharing among employees is necessary for libraries’ survive and goal achievement. On the other hand, empowerment people with high moral are an important factor in the libraries’ survival and life. In other words, the importance of human resources is far from the new technology and material and financial resources. As a result, this study aimed at evaluating the effect of knowledge sharing on psychological empowerment with regard to organizational memory and learning electronic participation the role of the mediator. The research data were gathered from four areas named at organizing; communicating; education and logistic by questioner. Construct validity and cronbach's alpha coefficient were used for assessing the validity and reliability respectively. To hypotheses test, structural equation modeling and Lisrel software were used. The results show that knowledge sharing has a directly significant impact on psychological empowerment. While knowledge sharing has an indirect impact on psychological empowerment, this impact via organizational memory and electronic participation learning is far greater than its direct impact. The results also show that organizational memory has not any effect on the psychological empowerment.

  5. Unique and shared validity of the "Wechsler logical memory test", the "California verbal learning test", and the "verbal learning and memory test" in patients with epilepsy.

    Science.gov (United States)

    Helmstaedter, Christoph; Wietzke, Jennifer; Lutz, Martin T

    2009-12-01

    This study was set-up to evaluate the construct validity of three verbal memory tests in epilepsy patients. Sixty-one consecutively evaluated patients with temporal lobe epilepsy (TLE) or extra-temporal epilepsy (E-TLE) underwent testing with the verbal learning and memory test (VLMT, the German equivalent of the Rey auditory verbal learning test, RAVLT); the California verbal learning test (CVLT); the logical memory and digit span subtests of the Wechsler memory scale, revised (WMS-R); and testing of intelligence, attention, speech and executive functions. Factor analysis of the memory tests resulted in test-specific rather than test over-spanning factors. Parameters of the CVLT and WMS-R, and to a much lesser degree of the VLMT, were highly correlated with attention, language function and vocabulary. Delayed recall measures of logical memory and the VLMT differentiated TLE from E-TLE. Learning and memory scores off all three tests differentiated mesial temporal sclerosis from other pathologies. A lateralization of the epilepsy was possible only for a subsample of 15 patients with mesial TLE. Although the three tests provide overlapping indicators for a temporal lobe epilepsy or a mesial pathology, they can hardly be taken in exchange. The tests have different demands on semantic processing and memory organization, and they appear differentially sensitive to performance in non-memory domains. The tests capability to lateralize appears to be poor. The findings encourage the further discussion of the dependency of memory outcomes on test selection.

  6. Memory for non-native language: the role of lexical processing in the retention of surface form.

    Science.gov (United States)

    Sampaio, Cristina; Konopka, Agnieszka E

    2013-01-01

    Research on memory for native language (L1) has consistently shown that retention of surface form is inferior to that of gist (e.g., Sachs, 1967). This paper investigates whether the same pattern is found in memory for non-native language (L2). We apply a model of bilingual word processing to more complex linguistic structures and predict that memory for L2 sentences ought to contain more surface information than L1 sentences. Native and non-native speakers of English were tested on a set of sentence pairs with different surface forms but the same meaning (e.g., "The bullet hit/struck the bull's eye"). Memory for these sentences was assessed with a cued recall procedure. Responses showed that native and non-native speakers did not differ in the accuracy of gist-based recall but that non-native speakers outperformed native speakers in the retention of surface form. The results suggest that L2 processing involves more intensive encoding of lexical level information than L1 processing.

  7. A shared resource between declarative memory and motor memory

    OpenAIRE

    Keisler, Aysha; Shadmehr, Reza

    2010-01-01

    The neural systems that support motor adaptation in humans are thought to be distinct from those that support the declarative system. Yet, during motor adaptation changes in motor commands are supported by a fast adaptive process that has important properties (rapid learning, fast decay) that are usually associated with the declarative system. The fast process can be contrasted to a slow adaptive process that also supports motor memory, but learns gradually and shows resistance to forgetting....

  8. Effects of Ease-of-Pronunciation on Non-Word Memory and Product Judgments

    DEFF Research Database (Denmark)

    Erz, Antonia; Christensen, Bo

    The ease or difficulty of pronunciation can affect judgments. We experimentally show that linguistic fluency has a direct effect on liking and a U-shaped effect on memory of non-words. We further demonstrate that the fluency of novel, fictitious non-word brand names affects novelty and performance...

  9. Knowledge sharing behaviour among non-academic staff in higher learning institutes: The role of trust and perceived risk

    Directory of Open Access Journals (Sweden)

    Muhammad Sabbir Rahman

    2018-03-01

    Full Text Available The purpose of the paper is to analyse knowledge sharing behaviour among non-academic staff of higher learning institutions. This research focuses on the mediation impact of perceived risk on trust and knowledge sharing behaviour. The research also proposes actions that can be taken by higher learning institutions to enhance trust among the staff in order to create a knowledge sharing environment at the workplace. This research applied confirmatory factor analysis and Structural Equation Modeling (SEM to evaluate the proposed measurement model and proved the research hypotheses. The findings from the research show that perceived risk plays a strong mediating role between trust and knowledge sharing behaviour among the non-academic staff of higher learning institutions. The SEM analysis also confirmed that the research model shows a good fit. This research highlights issues concerning knowledge sharing practices among non-academic staff and provides some recommendations to the managers to address these issues. The researchers agreed that more research needs to be done in this area as there are aspects that are yet to be explored. The findings of this research serve to add to the literature on knowledge sharing focussing on non-academic staff of higher learning institutions.

  10. Controlling quantum memory-assisted entropic uncertainty in non-Markovian environments

    Science.gov (United States)

    Zhang, Yanliang; Fang, Maofa; Kang, Guodong; Zhou, Qingping

    2018-03-01

    Quantum memory-assisted entropic uncertainty relation (QMA EUR) addresses that the lower bound of Maassen and Uffink's entropic uncertainty relation (without quantum memory) can be broken. In this paper, we investigated the dynamical features of QMA EUR in the Markovian and non-Markovian dissipative environments. It is found that dynamical process of QMA EUR is oscillation in non-Markovian environment, and the strong interaction is favorable for suppressing the amount of entropic uncertainty. Furthermore, we presented two schemes by means of prior weak measurement and posterior weak measurement reversal to control the amount of entropic uncertainty of Pauli observables in dissipative environments. The numerical results show that the prior weak measurement can effectively reduce the wave peak values of the QMA-EUA dynamic process in non-Markovian environment for long periods of time, but it is ineffectual on the wave minima of dynamic process. However, the posterior weak measurement reversal has an opposite effects on the dynamic process. Moreover, the success probability entirely depends on the quantum measurement strength. We hope that our proposal could be verified experimentally and might possibly have future applications in quantum information processing.

  11. Technology breakthroughs in high performance metal-oxide-semiconductor devices for ultra-high density, low power non-volatile memory applications

    Science.gov (United States)

    Hong, Augustin Jinwoo

    Non-volatile memory devices have attracted much attention because data can be retained without power consumption more than a decade. Therefore, non-volatile memory devices are essential to mobile electronic applications. Among state of the art non-volatile memory devices, NAND flash memory has earned the highest attention because of its ultra-high scalability and therefore its ultra-high storage capacity. However, human desire as well as market competition requires not only larger storage capacity but also lower power consumption for longer battery life time. One way to meet this human desire and extend the benefits of NAND flash memory is finding out new materials for storage layer inside the flash memory, which is called floating gate in the state of the art flash memory device. In this dissertation, we study new materials for the floating gate that can lower down the power consumption and increase the storage capacity at the same time. To this end, we employ various materials such as metal nanodot, metal thin film and graphene incorporating complementary-metal-oxide-semiconductor (CMOS) compatible processes. Experimental results show excellent memory effects at relatively low operating voltages. Detailed physics and analysis on experimental results are discussed. These new materials for data storage can be promising candidates for future non-volatile memory application beyond the state of the art flash technologies.

  12. Rad Hard Non Volatile Memory for FPGA BootLoading, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Radiation-hardened non volatile memory (NVM) is needed to store the golden copy of the image(s) has not kept pace with the advances in FPGAs. Consider that a single...

  13. Shared neuroanatomical substrates of impaired phonological working memory across reading disability and autism.

    Science.gov (United States)

    Lu, Chunming; Qi, Zhenghan; Harris, Adrianne; Weil, Lisa Wisman; Han, Michelle; Halverson, Kelly; Perrachione, Tyler K; Kjelgaard, Margaret; Wexler, Kenneth; Tager-Flusberg, Helen; Gabrieli, John D E

    2016-03-01

    Individuals with reading disability or individuals with autism spectrum disorder (ASD) are characterized, respectively, by their difficulties in reading or social communication, but both groups often have impaired phonological working memory (PWM). It is not known whether the impaired PWM reflects distinct or shared neuroanatomical abnormalities in these two diagnostic groups. White-matter structural connectivity via diffusion weighted imaging was examined in sixty-four children, ages 5-17 years, with reading disability, ASD, or typical development (TD), who were matched in age, gender, intelligence, and diffusion data quality. Children with reading disability and children with ASD exhibited reduced PWM compared to children with TD. The two diagnostic groups showed altered white-matter microstructure in the temporo-parietal portion of the left arcuate fasciculus (AF) and in the temporo-occipital portion of the right inferior longitudinal fasciculus (ILF), as indexed by reduced fractional anisotropy and increased radial diffusivity. Moreover, the structural integrity of the right ILF was positively correlated with PWM ability in the two diagnostic groups, but not in the TD group. These findings suggest that impaired PWM is transdiagnostically associated with shared neuroanatomical abnormalities in ASD and reading disability. Microstructural characteristics in left AF and right ILF may play important roles in the development of PWM. The right ILF may support a compensatory mechanism for children with impaired PWM.

  14. Destination memory impairment in older people.

    Science.gov (United States)

    Gopie, Nigel; Craik, Fergus I M; Hasher, Lynn

    2010-12-01

    Older adults are assumed to have poor destination memory-knowing to whom they tell particular information-and anecdotes about them repeating stories to the same people are cited as informal evidence for this claim. Experiment 1 assessed young and older adults' destination memory by having participants tell facts (e.g., "A dime has 118 ridges around its edge") to pictures of famous people (e.g., Oprah Winfrey). Surprise recognition memory tests, which also assessed confidence, revealed that older adults, compared to young adults, were disproportionately impaired on destination memory relative to spared memory for the individual components (i.e., facts, faces) of the episode. Older adults also were more confident that they had not told a fact to a particular person when they actually had (i.e., a miss); this presumably causes them to repeat information more often than young adults. When the direction of information transfer was reversed in Experiment 2, such that the famous people shared information with the participants (i.e., a source memory experiment), age-related memory differences disappeared. In contrast to the destination memory experiment, older adults in the source memory experiment were more confident than young adults that someone had shared a fact with them when a different person actually had shared the fact (i.e., a false alarm). Overall, accuracy and confidence jointly influence age-related changes to destination memory, a fundamental component of successful communication. (c) 2010 APA, all rights reserved).

  15. Shared memory parallelism for 3D cartesian discrete ordinates solver

    International Nuclear Information System (INIS)

    Moustafa, S.; Dutka-Malen, I.; Plagne, L.; Poncot, A.; Ramet, P.

    2013-01-01

    This paper describes the design and the performance of DOMINO, a 3D Cartesian SN solver that implements two nested levels of parallelism (multi-core + SIMD - Single Instruction on Multiple Data) on shared memory computation nodes. DOMINO is written in C++, a multi-paradigm programming language that enables the use of powerful and generic parallel programming tools such as Intel TBB and Eigen. These two libraries allow us to combine multi-thread parallelism with vector operations in an efficient and yet portable way. As a result, DOMINO can exploit the full power of modern multi-core processors and is able to tackle very large simulations, that usually require large HPC clusters, using a single computing node. For example, DOMINO solves a 3D full core PWR eigenvalue problem involving 26 energy groups, 288 angular directions (S16), 46*10 6 spatial cells and 1*10 12 DoFs within 11 hours on a single 32-core SMP node. This represents a sustained performance of 235 GFlops and 40.74% of the SMP node peak performance for the DOMINO sweep implementation. The very high Flops/Watt ratio of DOMINO makes it a very interesting building block for a future many-nodes nuclear simulation tool. (authors)

  16. Non-Markovianity and memory of the initial state

    Science.gov (United States)

    Hinarejos, Margarida; Bañuls, Mari-Carmen; Pérez, Armando; de Vega, Inés

    2017-08-01

    We explore in a rigorous manner the intuitive connection between the non-Markovianity of the evolution of an open quantum system and the performance of the system as a quantum memory. Using the paradigmatic case of a two-level open quantum system coupled to a bosonic bath, we compute the recovery fidelity, which measures the best possible performance of the system to store a qubit of information. We deduce that this quantity is connected, but not uniquely determined, by the non-Markovianity, for which we adopt the Breuer-Laine-Piilo measure proposed in Breuer et al (2009 Phys. Rev. Lett. 103 210401). We illustrate our findings with explicit calculations for the case of a structured environment.

  17. Non-stationary and relaxation phenomena in cavity-assisted quantum memories

    Science.gov (United States)

    Veselkova, N. G.; Sokolov, I. V.

    2017-12-01

    We investigate the non-stationary and relaxation phenomena in cavity-assisted quantum memories for light. As a storage medium we consider an ensemble of cold atoms with standard Lambda-scheme of working levels. Some theoretical aspects of the problem were treated previously by many authors, and recent experiments stimulate more deep insight into the ultimate ability and limitations of the device. Since quantum memories can be used not only for the storage of quantum information, but also for a substantial manipulation of ensembles of quantum states, the speed of such manipulation and hence the ability to write and retrieve the signals of relatively short duration becomes important. In our research we do not apply the so-called bad cavity limit, and consider the memory operation of the signals whose duration is not much larger than the cavity field lifetime, accounting also for the finite lifetime of atomic coherence. In our paper we present an effective approach that makes it possible to find the non-stationary amplitude and phase behavior of strong classical control field, that matches the desirable time profile of both the envelope and the phase of the retrieved quantized signal. The phase properties of the retrieved quantized signals are of importance for the detection and manipulation of squeezing, entanglement, etc by means of optical mixing and homodyning.

  18. Genetic dissection of memory for associative and non-associative learning in Caenorhabditis elegans.

    Science.gov (United States)

    Lau, H L; Timbers, T A; Mahmoud, R; Rankin, C H

    2013-03-01

    The distinction between non-associative and associative forms of learning has historically been based on the behavioral training paradigm. Through discovering the molecular mechanisms that mediate learning, we can develop a deeper understanding of the relationships between different forms of learning. Here, we genetically dissect short- and long-term memory for a non-associative form of learning, habituation and an associative form of learning, context conditioning for habituation, in the nematode Caenorhabditis elegans. In short-term chemosensory context conditioning for habituation, worms trained and tested in the presence of either a taste (sodium acetate) or smell (diacetyl) context cue show greater retention of habituation to tap stimuli when compared with animals trained and tested without a salient cue. Long-term memory for olfactory context conditioning was observed 24 h after a training procedure that does not normally induce 24 h memory. Like long-term habituation, this long-term memory was dependent on the transcription factor cyclic AMP-response element-binding protein. Worms with mutations in glr-1 [a non-N-methyl-d-aspartate (NMDA)-type glutamate receptor subunit] showed short-term but not long-term habituation or short- or long-term context conditioning. Worms with mutations in nmr-1 (an NMDA-receptor subunit) showed normal short- and long-term memory for habituation but did not show either short- or long-term context conditioning. Rescue of nmr-1 in the RIM interneurons rescued short- and long-term olfactory context conditioning leading to the hypothesis that these interneurons function to integrate information from chemosensory and mechanosensory systems for associative learning. © 2012 The Authors. Genes, Brain and Behavior © 2012 Blackwell Publishing Ltd and International Behavioural and Neural Genetics Society.

  19. Centrally managed unified shared virtual address space

    Science.gov (United States)

    Wilkes, John

    2018-02-13

    Systems, apparatuses, and methods for managing a unified shared virtual address space. A host may execute system software and manage a plurality of nodes coupled to the host. The host may send work tasks to the nodes, and for each node, the host may externally manage the node's view of the system's virtual address space. Each node may have a central processing unit (CPU) style memory management unit (MMU) with an internal translation lookaside buffer (TLB). In one embodiment, the host may be coupled to a given node via an input/output memory management unit (IOMMU) interface, where the IOMMU frontend interface shares the TLB with the given node's MMU. In another embodiment, the host may control the given node's view of virtual address space via memory-mapped control registers.

  20. Unobtrusive Software and System Health Management with R2U2 on a Parallel MIMD Coprocessor

    Science.gov (United States)

    Schumann, Johann; Moosbrugger, Patrick

    2017-01-01

    Dynamic monitoring of software and system health of a complex cyber-physical system requires observers that continuously monitor variables of the embedded software in order to detect anomalies and reason about root causes. There exists a variety of techniques for code instrumentation, but instrumentation might change runtime behavior and could require costly software re-certification. In this paper, we present R2U2E, a novel realization of our real-time, Realizable, Responsive, and Unobtrusive Unit (R2U2). The R2U2E observers are executed in parallel on a dedicated 16-core EPIPHANY co-processor, thereby avoiding additional computational overhead to the system under observation. A DMA-based shared memory access architecture allows R2U2E to operate without any code instrumentation or program interference.

  1. Principles of Transactional Memory The Theory

    CERN Document Server

    Guerraoui, Rachid

    2010-01-01

    Transactional memory (TM) is an appealing paradigm for concurrent programming on shared memory architectures. With a TM, threads of an application communicate, and synchronize their actions, via in-memory transactions. Each transaction can perform any number of operations on shared data, and then either commit or abort. When the transaction commits, the effects of all its operations become immediately visible to other transactions; when it aborts, however, those effects are entirely discarded. Transactions are atomic: programmers get the illusion that every transaction executes all its operati

  2. Caffeine Enhances Memory Performance in Young Adults during Their Non-optimal Time of Day.

    Science.gov (United States)

    Sherman, Stephanie M; Buckley, Timothy P; Baena, Elsa; Ryan, Lee

    2016-01-01

    Many college students struggle to perform well on exams in the early morning. Although students drink caffeinated beverages to feel more awake, it is unclear whether these actually improve performance. After consuming coffee (caffeinated or decaffeinated), college-age adults completed implicit and explicit memory tasks in the early morning and late afternoon (Experiment 1). During the morning, participants ingesting caffeine demonstrated a striking improvement in explicit memory, but not implicit memory. Caffeine did not alter memory performance in the afternoon. In Experiment 2, participants engaged in cardiovascular exercise in order to examine whether increases in physiological arousal similarly improved memory. Despite clear increases in physiological arousal, exercise did not improve memory performance compared to a stretching control condition. These results suggest that caffeine has a specific benefit for memory during students' non-optimal time of day - early morning. These findings have real-world implications for students taking morning exams.

  3. A Survey of Soft-Error Mitigation Techniques for Non-Volatile Memories

    Directory of Open Access Journals (Sweden)

    Sparsh Mittal

    2017-02-01

    Full Text Available Non-volatile memories (NVMs offer superior density and energy characteristics compared to the conventional memories; however, NVMs suffer from severe reliability issues that can easily eclipse their energy efficiency advantages. In this paper, we survey architectural techniques for improving the soft-error reliability of NVMs, specifically PCM (phase change memory and STT-RAM (spin transfer torque RAM. We focus on soft-errors, such as resistance drift and write disturbance, in PCM and read disturbance and write failures in STT-RAM. By classifying the research works based on key parameters, we highlight their similarities and distinctions. We hope that this survey will underline the crucial importance of addressing NVM reliability for ensuring their system integration and will be useful for researchers, computer architects and processor designers.

  4. Strengthening of Existing Episodic Memories Through Non-invasive Stimulation of Prefrontal Cortex in Older Adults with Subjective Memory Complaints

    Directory of Open Access Journals (Sweden)

    Rosa Manenti

    2017-12-01

    Full Text Available Episodic memory is critical to daily life functioning. This type of declarative memory declines with age and is the earliest cognitive function to be compromised in Alzheimer’s disease (AD. Subjective memory complaints are commonly reported by older adults and have been considered a risk factor for developing AD. The possibilities for prevention of memory disorders in older adults have increased substantially in recent years. Previous studies have shown that anodal transcranial Direct Current Stimulation (tDCS applied over the left lateral prefrontal cortex (PFC after a contextual reminder strengthened existing verbal episodic memories, conceivably through reconsolidation, in elderly people. In this study, we hypothesized that anodal tDCS applied over the left lateral PFC after a contextual reminder would improve delayed memory retrieval relative to placebo (sham stimulation in elderly individuals with SMC. Twenty-two subjects learned a list of words. Twenty-four hour later, tDCS (anodal or placebo was applied over the left lateral PFC after a contextual reminder. Memory retrieval was tested 48h and 30 days later. These findings showed that anodal tDCS over the left lateral PFC strengthened existing episodic memories, a behavioral effect documented by improved recognition up to 30 days, relative to placebo stimulation. This study suggests that tDCS after a contextual reminder can induce long-lasting beneficial effects by facilitating the consolidation processes and opens up the possibility to design specific non-invasive interventions aimed at preventing memory decline in this at-risk population.

  5. Superdiffusion in a non-Markovian random walk model with a Gaussian memory profile

    Science.gov (United States)

    Borges, G. M.; Ferreira, A. S.; da Silva, M. A. A.; Cressoni, J. C.; Viswanathan, G. M.; Mariz, A. M.

    2012-09-01

    Most superdiffusive Non-Markovian random walk models assume that correlations are maintained at all time scales, e.g., fractional Brownian motion, Lévy walks, the Elephant walk and Alzheimer walk models. In the latter two models the random walker can always "remember" the initial times near t = 0. Assuming jump size distributions with finite variance, the question naturally arises: is superdiffusion possible if the walker is unable to recall the initial times? We give a conclusive answer to this general question, by studying a non-Markovian model in which the walker's memory of the past is weighted by a Gaussian centered at time t/2, at which time the walker had one half the present age, and with a standard deviation σt which grows linearly as the walker ages. For large widths we find that the model behaves similarly to the Elephant model, but for small widths this Gaussian memory profile model behaves like the Alzheimer walk model. We also report that the phenomenon of amnestically induced persistence, known to occur in the Alzheimer walk model, arises in the Gaussian memory profile model. We conclude that memory of the initial times is not a necessary condition for generating (log-periodic) superdiffusion. We show that the phenomenon of amnestically induced persistence extends to the case of a Gaussian memory profile.

  6. Phase-change materials for non-volatile memory devices: from technological challenges to materials science issues

    Science.gov (United States)

    Noé, Pierre; Vallée, Christophe; Hippert, Françoise; Fillot, Frédéric; Raty, Jean-Yves

    2018-01-01

    Chalcogenide phase-change materials (PCMs), such as Ge-Sb-Te alloys, have shown outstanding properties, which has led to their successful use for a long time in optical memories (DVDs) and, recently, in non-volatile resistive memories. The latter, known as PCM memories or phase-change random access memories (PCRAMs), are the most promising candidates among emerging non-volatile memory (NVM) technologies to replace the current FLASH memories at CMOS technology nodes under 28 nm. Chalcogenide PCMs exhibit fast and reversible phase transformations between crystalline and amorphous states with very different transport and optical properties leading to a unique set of features for PCRAMs, such as fast programming, good cyclability, high scalability, multi-level storage capability, and good data retention. Nevertheless, PCM memory technology has to overcome several challenges to definitively invade the NVM market. In this review paper, we examine the main technological challenges that PCM memory technology must face and we illustrate how new memory architecture, innovative deposition methods, and PCM composition optimization can contribute to further improvements of this technology. In particular, we examine how to lower the programming currents and increase data retention. Scaling down PCM memories for large-scale integration means the incorporation of the PCM into more and more confined structures and raises materials science issues in order to understand interface and size effects on crystallization. Other materials science issues are related to the stability and ageing of the amorphous state of PCMs. The stability of the amorphous phase, which determines data retention in memory devices, can be increased by doping the PCM. Ageing of the amorphous phase leads to a large increase of the resistivity with time (resistance drift), which has up to now hindered the development of ultra-high multi-level storage devices. A review of the current understanding of all these

  7. Non Volatile Flash Memory Radiation Tests

    Science.gov (United States)

    Irom, Farokh; Nguyen, Duc N.; Allen, Greg

    2012-01-01

    Commercial flash memory industry has experienced a fast growth in the recent years, because of their wide spread usage in cell phones, mp3 players and digital cameras. On the other hand, there has been increased interest in the use of high density commercial nonvolatile flash memories in space because of ever increasing data requirements and strict power requirements. Because of flash memories complex structure; they cannot be treated as just simple memories in regards to testing and analysis. It becomes quite challenging to determine how they will respond in radiation environments.

  8. Caffeine enhances memory performance in young adults during their non-optimal time of day

    Directory of Open Access Journals (Sweden)

    Stephanie M Sherman

    2016-11-01

    Full Text Available Many college students struggle to perform well on exams in the early morning. Although students drink caffeinated beverages to feel more awake, it is unclear whether these actually improve performance. After consuming coffee (caffeinated or decaffeinated, college-age adults completed implicit and explicit memory tasks in the early morning and late afternoon (Experiment 1. During the morning, participants ingesting caffeine demonstrated a striking improvement in explicit memory, but not implicit memory. Caffeine did not alter memory performance in the afternoon. In Experiment 2, participants engaged in cardiovascular exercise in order to examine whether increases in physiological arousal similarly improved memory. Despite clear increases in arousal, exercise did not improve memory performance compared to a stretching control condition. These results suggest that caffeine has a specific benefit for memory during students’ non-optimal time of day – early morning. These findings have real-world implications for students taking morning exams.

  9. The effects of non-contingent extrinsic and intrinsic rewards on memory consolidation.

    Science.gov (United States)

    Nielson, Kristy A; Bryant, Ted

    2005-07-01

    Emotional and arousing treatments given shortly after learning enhance delayed memory retrieval in animal and human studies. Positive affect and reward induced prior to a variety of cognitive tasks enhance performance, but their ability to affect memory consolidation has not been investigated before. Therefore, we investigated the effects of a small, non-contingent, intrinsic or extrinsic reward on delayed memory retrieval. Participants (n=108) studied and recalled a list of 30 affectively neutral, imageable nouns. Experimental groups were then given either an intrinsic reward (e.g., praise) or an extrinsic reward (e.g., US 1 dollar). After a one-week delay, participants' retrieval performance for the word list was significantly better in the extrinsic reward groups, whether the reward was expected or not, than in controls. Those who received the intrinsic reward performed somewhat better than controls, but the difference was not significant. Thus, at least some forms of arousal and reward, even when semantically unrelated to the learned material, can effectively modulate memory consolidation. These types of treatments might be useful for the development of new memory intervention strategies.

  10. Cellular, molecular, and epigenetic mechanisms in non-associative conditioning: implications for pain and memory.

    Science.gov (United States)

    Rahn, Elizabeth J; Guzman-Karlsson, Mikael C; David Sweatt, J

    2013-10-01

    Sensitization is a form of non-associative conditioning in which amplification of behavioral responses can occur following presentation of an aversive or noxious stimulus. Understanding the cellular and molecular underpinnings of sensitization has been an overarching theme spanning the field of learning and memory as well as that of pain research. In this review we examine how sensitization, both in the context of learning as well as pain processing, shares evolutionarily conserved behavioral, cellular/synaptic, and epigenetic mechanisms across phyla. First, we characterize the behavioral phenomenon of sensitization both in invertebrates and vertebrates. Particular emphasis is placed on long-term sensitization (LTS) of withdrawal reflexes in Aplysia following aversive stimulation or injury, although additional invertebrate models are also covered. In the context of vertebrates, sensitization of mammalian hyperarousal in a model of post-traumatic stress disorder (PTSD), as well as mammalian models of inflammatory and neuropathic pain is characterized. Second, we investigate the cellular and synaptic mechanisms underlying these behaviors. We focus our discussion on serotonin-mediated long-term facilitation (LTF) and axotomy-mediated long-term hyperexcitability (LTH) in reduced Aplysia systems, as well as mammalian spinal plasticity mechanisms of central sensitization. Third, we explore recent evidence implicating epigenetic mechanisms in learning- and pain-related sensitization. This review illustrates the fundamental and functional overlay of the learning and memory field with the pain field which argues for homologous persistent plasticity mechanisms in response to sensitizing stimuli or injury across phyla. Copyright © 2013 Elsevier Inc. All rights reserved.

  11. Blurring of emotional and non-emotional memories by taxing working memory during recall.

    Science.gov (United States)

    van den Hout, Marcel A; Eidhof, Marloes B; Verboom, Jesse; Littel, Marianne; Engelhard, Iris M

    2014-01-01

    Memories that are recalled while working memory (WM) is taxed, e.g., by making eye movements (EM), become blurred during the recall + EM and later recall, without EM. This may help to explain the effects of Eye Movement and Desensitisation and Reprocessing (EMDR) in the treatment of post-traumatic stress disorder (PTSD) in which patients make EM during trauma recall. Earlier experimental studies on recall + EM have focused on emotional memories. WM theory suggests that recall + EM is superior to recall only but is silent about effects of memory emotionality. Based on the emotion and memory literature, we examined whether recall + EM has superior effects in blurring emotional memories relative to neutral memories. Healthy volunteers recalled negative or neutral memories, matched for vividness, while visually tracking a dot that moved horizontally ("recall + EM") or remained stationary ("recall only"). Compared to a pre-test, a post-test (without concentrating on the dot) replicated earlier findings: negative memories are rated as less vivid after "recall + EM" but not after "recall only". This was not found for neutral memories. Emotional memories are more taxing than neutral memories, which may explain the findings. Alternatively, transient arousal induced by recall of aversive memories may promote reconsolidation of the blurred memory image that is provoked by EM.

  12. Centrally managed unified shared virtual address space

    Energy Technology Data Exchange (ETDEWEB)

    Wilkes, John

    2018-02-13

    Systems, apparatuses, and methods for managing a unified shared virtual address space. A host may execute system software and manage a plurality of nodes coupled to the host. The host may send work tasks to the nodes, and for each node, the host may externally manage the node's view of the system's virtual address space. Each node may have a central processing unit (CPU) style memory management unit (MMU) with an internal translation lookaside buffer (TLB). In one embodiment, the host may be coupled to a given node via an input/output memory management unit (IOMMU) interface, where the IOMMU frontend interface shares the TLB with the given node's MMU. In another embodiment, the host may control the given node's view of virtual address space via memory-mapped control registers.

  13. Memory Management for Safety-Critical Java

    DEFF Research Database (Denmark)

    Schoeberl, Martin

    2011-01-01

    Safety-Critical Java (SCJ) is based on the Real-Time Specification for Java. To simplify the certification of Java programs, SCJ supports only a restricted scoped memory model. Individual threads share only immortal memory and the newly introduced mission memory. All other scoped memories...... implementation is evaluated on an embedded Java processor....

  14. Microwave oven fabricated hybrid memristor devices for non-volatile memory storage

    International Nuclear Information System (INIS)

    Verrelli, E; Gray, R J; O’Neill, M; Kemp, N T; Kelly, S M

    2014-01-01

    Novel hybrid non-volatile memories made using an ultra-fast microwave heating method are reported for the first time. The devices, consisting of aligned ZnO nanorods embedded in poly (methyl methacrylate), require no forming step and exhibit reliable and reproducible bipolar resistive switching at low voltages and with low power usage. We attribute these properties to a combination of the high aspect ratio of the nanorods and the polymeric hybrid structure of the device. The extremely easy, fast and low-cost solution based method of fabrication makes possible the simple and quick production of cheap memory cells. (paper)

  15. The twentieth century in European Memory

    DEFF Research Database (Denmark)

    The Twentieth Century in European Memory investigates contested and divisive memories of conflicts, world wars, dictatorship, genocide and mass killing. Focusing on the questions of transculturality and reception, the book looks at the ways in which such memories are being shared, debated...

  16. Recognition memory for social and non-social odors: differential effects of neurotoxic lesions to the hippocampus and perirhinal cortex.

    Science.gov (United States)

    Feinberg, Leila M; Allen, Timothy A; Ly, Denise; Fortin, Norbert J

    2012-01-01

    The contributions of the hippocampus (HC) and perirhinal cortex (PER) to recognition memory are currently topics of debate in neuroscience. Here we used a rapidly-learned (seconds) spontaneous novel odor recognition paradigm to assess the effects of pre-training N-methyl-D-aspartate lesions to the HC or PER on odor recognition memory. We tested memory for both social and non-social odor stimuli. Social odors were acquired from conspecifics, while non-social odors were household spices. Conspecific odor stimuli are ethologically-relevant and have a high degree of overlapping features compared to non-social household spices. Various retention intervals (5 min, 20 min, 1h, 24h, or 48 h) were used between study and test phases, each with a unique odor pair, to assess changes in novelty preference over time. Consistent with findings in other paradigms, modalities, and species, we found that HC lesions yielded no significant recognition memory deficits. In contrast, PER lesions caused significant deficits for social odor recognition memory at long retention intervals, demonstrating a critical role for PER in long-term memory for social odors. PER lesions had no effect on memory for non-social odors. The results are consistent with a general role for PER in long-term recognition memory for stimuli that have a high degree of overlapping features, which must be distinguished by conjunctive representations. Copyright © 2011 Elsevier Inc. All rights reserved.

  17. Experiments with the auction algorithm for the shortest path problem

    DEFF Research Database (Denmark)

    Larsen, Jesper; Pedersen, Ib

    1999-01-01

    The auction approach for the shortest path problem (SPP) as introduced by Bertsekas is tested experimentally. Parallel algorithms using the auction approach are developed and tested. Both the sequential and parallel auction algorithms perform significantly worse than a state-of-the-art Dijkstra-l......-like reference algorithm. Experiments are run on a distributed-memory MIMD class Meiko parallel computer....

  18. Targeted Memory Reactivation during Sleep Adaptively Promotes the Strengthening or Weakening of Overlapping Memories.

    Science.gov (United States)

    Oyarzún, Javiera P; Morís, Joaquín; Luque, David; de Diego-Balaguer, Ruth; Fuentemilla, Lluís

    2017-08-09

    System memory consolidation is conceptualized as an active process whereby newly encoded memory representations are strengthened through selective memory reactivation during sleep. However, our learning experience is highly overlapping in content (i.e., shares common elements), and memories of these events are organized in an intricate network of overlapping associated events. It remains to be explored whether and how selective memory reactivation during sleep has an impact on these overlapping memories acquired during awake time. Here, we test in a group of adult women and men the prediction that selective memory reactivation during sleep entails the reactivation of associated events and that this may lead the brain to adaptively regulate whether these associated memories are strengthened or pruned from memory networks on the basis of their relative associative strength with the shared element. Our findings demonstrate the existence of efficient regulatory neural mechanisms governing how complex memory networks are shaped during sleep as a function of their associative memory strength. SIGNIFICANCE STATEMENT Numerous studies have demonstrated that system memory consolidation is an active, selective, and sleep-dependent process in which only subsets of new memories become stabilized through their reactivation. However, the learning experience is highly overlapping in content and thus events are encoded in an intricate network of related memories. It remains to be explored whether and how memory reactivation has an impact on overlapping memories acquired during awake time. Here, we show that sleep memory reactivation promotes strengthening and weakening of overlapping memories based on their associative memory strength. These results suggest the existence of an efficient regulatory neural mechanism that avoids the formation of cluttered memory representation of multiple events and promotes stabilization of complex memory networks. Copyright © 2017 the authors 0270-6474/17/377748-11$15.00/0.

  19. Double dissociation of working memory and attentional processes in smokers and non-smokers with and without nicotine.

    Science.gov (United States)

    Grundey, Jessica; Amu, Rosa; Ambrus, Géza Gergely; Batsikadze, Georgi; Paulus, Walter; Nitsche, Michael A

    2015-07-01

    Nicotine has been shown to affect cortical excitability measured using transcranial magnetic stimulation in smoking and non-smoking subjects in different ways. In tobacco-deprived smokers, administration of nicotine restores compromised cortical facilitation while in non-smokers, it enhances cortical inhibition. As cortical excitability and activity are closely linked to cognitive processes, we aimed to explore whether nicotine-induced physiological alterations in non-smokers and smokers are associated with cognitive changes. Specifically, we assessed the impact of nicotine on working memory performance (n-back letter task) and on attentional processes (Stroop interference test) in healthy smokers and non-smokers. Both tasks have been shown to rely on prefrontal areas, and nicotinic receptors are relevantly involved in prefrontal function. Sixteen smoking and 16 non-smoking subjects participated in the 3-back letter task and 21 smoking and 21 non-smoking subjects in the Stroop test after the respective application of placebo or nicotine patches. The results show that working memory and attentional processes are compromised in nicotine-deprived smokers compared to non-smoking individuals. After administration of nicotine, working memory performance in smokers improved, while non-smoking subjects displayed decreased accuracy with increased number of errors. The effects have been shown to be more apparent for working memory performance than attentional processes. In summary, cognitive functions can be restored by nicotine in deprived smokers, whereas non-smokers do not gain additional benefit. The respective changes are in accordance with related effects of nicotine on cortical excitability in both groups.

  20. If you can't beat 'em, join 'em: Cooperative and non-cooperative games in network sharing

    NARCIS (Netherlands)

    Offergelt, F.H.S.; Berkers, F.T.H.M.; Hendrix, G.P.

    2011-01-01

    No Mobile Network Operator (MNO) will make the decision to share its network lightly. There are many financial and strategic considerations to this question. In this paper we outline a non-cooperative game which can be used to model the strategic decision of an MNO regarding mobile network sharing

  1. Grouping and binding in visual short-term memory.

    Science.gov (United States)

    Quinlan, Philip T; Cohen, Dale J

    2012-09-01

    Findings of 2 experiments are reported that challenge the current understanding of visual short-term memory (VSTM). In both experiments, a single study display, containing 6 colored shapes, was presented briefly and then probed with a single colored shape. At stake is how VSTM retains a record of different objects that share common features: In the 1st experiment, 2 study items sometimes shared a common feature (either a shape or a color). The data revealed a color sharing effect, in which memory was much better for items that shared a common color than for items that did not. The 2nd experiment showed that the size of the color sharing effect depended on whether a single pair of items shared a common color or whether 2 pairs of items were so defined-memory for all items improved when 2 color groups were presented. In explaining performance, an account is advanced in which items compete for a fixed number of slots, but then memory recall for any given stored item is prone to error. A critical assumption is that items that share a common color are stored together in a slot as a chunk. The evidence provides further support for the idea that principles of perceptual organization may determine the manner in which items are stored in VSTM. PsycINFO Database Record (c) 2012 APA, all rights reserved.

  2. A general model for memory interference in a multiprocessor system with memory hierarchy

    Science.gov (United States)

    Taha, Badie A.; Standley, Hilda M.

    1989-01-01

    The problem of memory interference in a multiprocessor system with a hierarchy of shared buses and memories is addressed. The behavior of the processors is represented by a sequence of memory requests with each followed by a determined amount of processing time. A statistical queuing network model for determining the extent of memory interference in multiprocessor systems with clusters of memory hierarchies is presented. The performance of the system is measured by the expected number of busy memory clusters. The results of the analytic model are compared with simulation results, and the correlation between them is found to be very high.

  3. An Empirical Study on Android for Saving Non-shared Data on Public Storage

    OpenAIRE

    Liu, Xiangyu; Zhou, Zhe; Diao, Wenrui; Li, Zhou; Zhang, Kehuan

    2014-01-01

    With millions of apps that can be downloaded from official or third-party market, Android has become one of the most popular mobile platforms today. These apps help people in all kinds of ways and thus have access to lots of user's data that in general fall into three categories: sensitive data, data to be shared with other apps, and non-sensitive data not to be shared with others. For the first and second type of data, Android has provided very good storage models: an app's private sensitive...

  4. Reliability analysis of load-sharing systems with memory.

    Science.gov (United States)

    Wang, Dewei; Jiang, Chendi; Park, Chanseok

    2018-02-22

    The load-sharing model has been studied since the early 1940s to account for the stochastic dependence of components in a parallel system. It assumes that, as components fail one by one, the total workload applied to the system is shared by the remaining components and thus affects their performance. Such dependent systems have been studied in many engineering applications which include but are not limited to fiber composites, manufacturing, power plants, workload analysis of computing, software and hardware reliability, etc. Many statistical models have been proposed to analyze the impact of each redistribution of the workload; i.e., the changes on the hazard rate of each remaining component. However, they do not consider how long a surviving component has worked for prior to the redistribution. We name such load-sharing models as memoryless. To remedy this potential limitation, we propose a general framework for load-sharing models that account for the work history. Through simulation studies, we show that an inappropriate use of the memoryless assumption could lead to inaccurate inference on the impact of redistribution. Further, a real-data example of plasma display devices is analyzed to illustrate our methods.

  5. Wealth Share Analysis with “Fundamentalist/Chartist” Heterogeneous Agents

    Directory of Open Access Journals (Sweden)

    Hai-Chuan Xu

    2014-01-01

    Full Text Available We build a multiassets heterogeneous agents model with fundamentalists and chartists, who make investment decisions by maximizing the constant relative risk aversion utility function. We verify that the model can reproduce the main stylized facts in real markets, such as fat-tailed return distribution and long-term memory in volatility. Based on the calibrated model, we study the impacts of the key strategies’ parameters on investors’ wealth shares. We find that, as chartists’ exponential moving average periods increase, their wealth shares also show an increasing trend. This means that higher memory length can help to improve their wealth shares. This effect saturates when the exponential moving average periods are sufficiently long. On the other hand, the mean reversion parameter has no obvious impacts on wealth shares of either type of traders. It suggests that no matter whether fundamentalists take moderate strategy or aggressive strategy on the mistake of stock prices, it will have no different impact on their wealth shares in the long run.

  6. Certain non-linear differential polynomials sharing a non zero polynomial

    Directory of Open Access Journals (Sweden)

    Majumder Sujoy

    2015-10-01

    functions sharing a nonzero polynomial and obtain two results which improves and generalizes the results due to L. Liu [Uniqueness of meromorphic functions and differential polynomials, Comput. Math. Appl., 56 (2008, 3236-3245.] and P. Sahoo [Uniqueness and weighted value sharing of meromorphic functions, Applied. Math. E-Notes., 11 (2011, 23-32.].

  7. Low-temperature process steps for realization of non-volatile memory devices

    NARCIS (Netherlands)

    Brunets, I.; Boogaard, A.; Aarnink, Antonius A.I.; Kovalgin, Alexeij Y.; Wolters, Robertus A.M.; Holleman, J.; Schmitz, Jurriaan

    2007-01-01

    In this work, the low-temperature process steps required for the realization of nano-crystal non-volatile memory cells are discussed. An amorphous silicon film, crystallized using a diode pumped solid state green laser irradiating at 532 nm, is proposed as an active layer. The deposition of the

  8. Shared antenatal care fails to rate well with women of non-English-speaking backgrounds.

    Science.gov (United States)

    Small, R; Lumley, J; Yelland, J; Rice, P L

    1998-01-05

    To compare the views of women from non-English-speaking backgrounds who received antenatal care at a public hospital clinic with those whose care was shared between a public hospital clinic and a general practitioner. Structured interviews in the language of the woman's choice. Women were recruited from the postnatal wards of three maternity teaching hospitals in Melbourne between July 1994 and November 1995, and interviewed six to nine months later. Women born in Vietnam, Turkey and the Philippines who gave birth to a live healthy baby (over 1500 g) were eligible. Of 435 women recruited, 318 (Vietnamese [32.7%], Filipino [33.6%] and Turkish [33.6%]) completed the study. Women's ratings of their antenatal care overall and views on specific aspects of their antenatal care. Women in shared care (n = 151) were not more likely than women in public clinic care (n = 143) to rate their care as "very good" (odds ratio [OR], 1.38; 95% confidence interval [95% CI], 0.72-2.63). Satisfaction with particular aspects of care (waiting times, opportunity to ask questions, whether caregivers were rushed, whether concerns were taken seriously) did not differ significantly between those in shared care and those in public clinic care. Women in shared care were not happier with their medical care than women in public clinic care (OR, 0.83; 95% CI, 0.35-1.96), but were more likely to see a caregiver who spoke their language (OR, 17.69; 95% CI, 6.15-69.06), although two-thirds still saw a GP who spoke only English. Shared antenatal care is not more satisfying than public clinic care for women from non-English-speaking backgrounds. Further evaluation of shared care is clearly needed.

  9. Origami-based tunable truss structures for non-volatile mechanical memory operation.

    Science.gov (United States)

    Yasuda, Hiromi; Tachi, Tomohiro; Lee, Mia; Yang, Jinkyu

    2017-10-17

    Origami has recently received significant interest from the scientific community as a method for designing building blocks to construct metamaterials. However, the primary focus has been placed on their kinematic applications by leveraging the compactness and auxeticity of planar origami platforms. Here, we present volumetric origami cells-specifically triangulated cylindrical origami (TCO)-with tunable stability and stiffness, and demonstrate their feasibility as non-volatile mechanical memory storage devices. We show that a pair of TCO cells can develop a double-well potential to store bit information. What makes this origami-based approach more appealing is the realization of two-bit mechanical memory, in which two pairs of TCO cells are interconnected and one pair acts as a control for the other pair. By assembling TCO-based truss structures, we experimentally verify the tunable nature of the TCO units and demonstrate the operation of purely mechanical one- and two-bit memory storage prototypes.Origami is a popular method to design building blocks for mechanical metamaterials. Here, the authors assemble a volumetric origami-based structure, predict its axial and rotational movements during folding, and demonstrate the operation of mechanical one- and two-bit memory storage.

  10. Artificial Association of Pre-stored Information to Generate a Qualitatively New Memory

    Directory of Open Access Journals (Sweden)

    Noriaki Ohkawa

    2015-04-01

    Full Text Available Memory is thought to be stored in the brain as an ensemble of cells activated during learning. Although optical stimulation of a cell ensemble triggers the retrieval of the corresponding memory, it is unclear how the association of information occurs at the cell ensemble level. Using optogenetic stimulation without any sensory input in mice, we found that an artificial association between stored, non-related contextual, and fear information was generated through the synchronous activation of distinct cell ensembles corresponding to the stored information. This artificial association shared characteristics with physiologically associated memories, such as N-methyl-D-aspartate receptor activity and protein synthesis dependence. These findings suggest that the association of information is achieved through the synchronous activity of distinct cell ensembles. This mechanism may underlie memory updating by incorporating novel information into pre-existing networks to form qualitatively new memories.

  11. Effects of proactive interference on non-verbal working memory.

    Science.gov (United States)

    Cyr, Marilyn; Nee, Derek E; Nelson, Eric; Senger, Thea; Jonides, John; Malapani, Chara

    2017-02-01

    Working memory (WM) is a cognitive system responsible for actively maintaining and processing relevant information and is central to successful cognition. A process critical to WM is the resolution of proactive interference (PI), which involves suppressing memory intrusions from prior memories that are no longer relevant. Most studies that have examined resistance to PI in a process-pure fashion used verbal material. By contrast, studies using non-verbal material are scarce, and it remains unclear whether the effect of PI is domain-general or whether it applies solely to the verbal domain. The aim of the present study was to examine the effect of PI in visual WM using both objects with high and low nameability. Using a Directed-Forgetting paradigm, we varied discriminability between WM items on two dimensions, one verbal (high-nameability vs. low-nameability objects) and one perceptual (colored vs. gray objects). As in previous studies using verbal material, effects of PI were found with object stimuli, even after controlling for verbal labels being used (i.e., low-nameability condition). We also found that the addition of distinctive features (color, verbal label) increased performance in rejecting intrusion probes, most likely through an increase in discriminability between content-context bindings in WM.

  12. A Compute Capable SSD Architecture for Next-Generation Non-volatile Memories

    Energy Technology Data Exchange (ETDEWEB)

    De, Arup [Univ. of California, San Diego, CA (United States)

    2014-01-01

    Existing storage technologies (e.g., disks and ash) are failing to cope with the processor and main memory speed and are limiting the overall perfor- mance of many large scale I/O or data-intensive applications. Emerging fast byte-addressable non-volatile memory (NVM) technologies, such as phase-change memory (PCM), spin-transfer torque memory (STTM) and memristor are very promising and are approaching DRAM-like performance with lower power con- sumption and higher density as process technology scales. These new memories are narrowing down the performance gap between the storage and the main mem- ory and are putting forward challenging problems on existing SSD architecture, I/O interface (e.g, SATA, PCIe) and software. This dissertation addresses those challenges and presents a novel SSD architecture called XSSD. XSSD o oads com- putation in storage to exploit fast NVMs and reduce the redundant data tra c across the I/O bus. XSSD o ers a exible RPC-based programming framework that developers can use for application development on SSD without dealing with the complication of the underlying architecture and communication management. We have built a prototype of XSSD on the BEE3 FPGA prototyping system. We implement various data-intensive applications and achieve speedup and energy ef- ciency of 1.5-8.9 and 1.7-10.27 respectively. This dissertation also compares XSSD with previous work on intelligent storage and intelligent memory. The existing ecosystem and these new enabling technologies make this system more viable than earlier ones.

  13. Enhanced memory architecture for massively parallel vision chip

    Science.gov (United States)

    Chen, Zhe; Yang, Jie; Liu, Liyuan; Wu, Nanjian

    2015-04-01

    Local memory architecture plays an important role in high performance massively parallel vision chip. In this paper, we propose an enhanced memory architecture with compact circuit area designed in a full-custom flow. The memory consists of separate master-stage static latches and shared slave-stage dynamic latches. We use split transmission transistors on the input data path to enhance tolerance for charge sharing and to achieve random read/write capabilities. The memory is designed in a 0.18 μm CMOS process. The area overhead of the memory achieves 16.6 μm2/bit. Simulation results show that the maximum operating frequency reaches 410 MHz and the corresponding peak dynamic power consumption for a 64-bit memory unit is 190 μW under 1.8 V supply voltage.

  14. Identity-related autobiographical memories and cultural life scripts in patients with Borderline Personality Disorder.

    Science.gov (United States)

    Jørgensen, Carsten René; Berntsen, Dorthe; Bech, Morten; Kjølbye, Morten; Bennedsen, Birgit E; Ramsgaard, Stine B

    2012-06-01

    Disturbed identity is one of the defining characteristics of Borderline Personality Disorder manifested in a broad spectrum of dysfunctions related to the self, including disturbances in meaning-generating self-narratives. Autobiographical memories are memories of personal events that provide crucial building-blocks in our construction of a life-story, self-concept, and a meaning-generating narrative identity. The cultural life script represents culturally shared expectations as to the order and timing of life events in a prototypical life course within a given culture. It is used to organize one's autobiographical memories. Here, 17 BPD-patients, 14 OCD-patients, and 23 non-clinical controls generated three important autobiographical memories and their conceptions of the cultural life script. BPD-patients reported substantially more negative memories, fewer of their memories were of prototypical life script events, their memory narratives were less coherent and more disoriented, and the overall typicality of their life scripts was lower as compared with the other two groups. Copyright © 2012 Elsevier Inc. All rights reserved.

  15. Concurrent Operations of O2-Tree on Shared Memory Multicore Architectures

    OpenAIRE

    Daniel Ohene-Kwofie; E. J. Otoo1, Gideon Nimako

    2014-01-01

    Modern computer architectures provide high performance computing capability by having multiple CPU cores. Such systems are also typically associated with very large main-memory capacities, thereby allowing them to be used for fast processing of in-memory database applications. However, most of the concurrency control mechanism associated with the index structures of these memory resident databases do not scale well, under high transaction rates. This paper presents the O2-Tree, a fast main me...

  16. [WMN: a negative ERPs component related to working memory during non-target visual stimuli processing].

    Science.gov (United States)

    Zhao, Lun; Wei, Jin-he

    2003-10-01

    To study non-target stimuli processing in the brain. Features of the event-related potentials (ERPs) from non-target stimuli during selective response task (SR) was compared with that during visual selective discrimination (DR) task in 26 normal subjects. The stimuli consisted of two color LED flashes (red and green) appeared randomly in left (LVF) or right (RVF) visual field with same probability. ERPs were derived at 9 electrode sites on the scalp under 2 task conditions: a) SR, making switch response to the target (NT) stimuli from LVF or RVF in one direction and making no response to the non-target (NT) ones; b) DR, making switching response to T stimuli differentially, i.e., to the left for T from LVF and to the right for T from RVF. 1) the non-target stimuli in DR conditions, compared with that in SR condition, elicited smaller P2 and P3 components and larger N2 component at the frontal brain areas; 2) a significant negative component, named as WMN (working memory negativity), appeared in the non-target ERPs during DR in the period of 100 to 700 ms post stimulation which was predominant at the frontal brain areas. According to the major difference between brain activities for non-target stimuli during SR and DR, the predominant appearance of WMN at the frontal brain areas demonstrated that the non-target stimulus processing was an active process and was related to working memory, i.e., the temporary elimination and the retrieval of the response mode which was stored in working memory.

  17. Recent progress in 3D EM/EM-PIC simulation with ARGUS and parallel ARGUS

    International Nuclear Information System (INIS)

    Mankofsky, A.; Petillo, J.; Krueger, W.; Mondelli, A.; McNamara, B.; Philp, R.

    1994-01-01

    ARGUS is an integrated, 3-D, volumetric simulation model for systems involving electric and magnetic fields and charged particles, including materials embedded in the simulation region. The code offers the capability to carry out time domain and frequency domain electromagnetic simulations of complex physical systems. ARGUS offers a boolean solid model structure input capability that can include essentially arbitrary structures on the computational domain, and a modular architecture that allows multiple physics packages to access the same data structure and to share common code utilities. Physics modules are in place to compute electrostatic and electromagnetic fields, the normal modes of RF structures, and self-consistent particle-in-cell (PIC) simulation in either a time dependent mode or a steady state mode. The PIC modules include multiple particle species, the Lorentz equations of motion, and algorithms for the creation of particles by emission from material surfaces, injection onto the grid, and ionization. In this paper, we present an updated overview of ARGUS, with particular emphasis given in recent algorithmic and computational advances. These include a completely rewritten frequency domain solver which efficiently treats lossy materials and periodic structures, a parallel version of ARGUS with support for both shared memory parallel vector (i.e. CRAY) machines and distributed memory massively parallel MIMD systems, and numerous new applications of the code

  18. A Stream Tilling Approach to Surface Area Estimation for Large Scale Spatial Data in a Shared Memory System

    Directory of Open Access Journals (Sweden)

    Liu Jiping

    2017-12-01

    Full Text Available Surface area estimation is a widely used tool for resource evaluation in the physical world. When processing large scale spatial data, the input/output (I/O can easily become the bottleneck in parallelizing the algorithm due to the limited physical memory resources and the very slow disk transfer rate. In this paper, we proposed a stream tilling approach to surface area estimation that first decomposed a spatial data set into tiles with topological expansions. With these tiles, the one-to-one mapping relationship between the input and the computing process was broken. Then, we realized a streaming framework towards the scheduling of the I/O processes and computing units. Herein, each computing unit encapsulated a same copy of the estimation algorithm, and multiple asynchronous computing units could work individually in parallel. Finally, the performed experiment demonstrated that our stream tilling estimation can efficiently alleviate the heavy pressures from the I/O-bound work, and the measured speedup after being optimized have greatly outperformed the directly parallel versions in shared memory systems with multi-core processors.

  19. A non-destructive crossbar architecture of multi-level memory-based resistor

    Science.gov (United States)

    Sahebkarkhorasani, Seyedmorteza

    Nowadays, researchers are trying to shrink the memory cell in order to increase the capacity of the memory system and reduce the hardware costs. In recent years, there has been a revolution in electronics by using fundamentals of physics to build a new memory for computer application in order to increase the capacity and decrease the power consumption. Increasing the capacity of the memory causes a growth in the chip area. From 1971 to 2012 semiconductor manufacturing process improved from 6mum to 22 mum. In May 2008, S.Williams stated that "it is time to stop shrinking". In his paper, he declared that the process of shrinking memory element has recently become very slow and it is time to use another alternative in order to create memory elements [9]. In this project, we present a new design of a memory array using the new element named Memristor [3]. Memristor is a two-terminal passive electrical element that relates the charge and magnetic flux to each other. The device remained unknown since 1971 when it was discovered by Chua and introduced as the fourth fundamental passive element like capacitor, inductor and resistor [3]. Memristor has a dynamic resistance and it can retain its previous value even after disconnecting the power supply. Due to this interesting behavior of the Memristor, it can be a good replacement for all of the Non-Volatile Memories (NVMs) in the near future. Combination of this newly introduced element with the nanowire crossbar architecture would be a great structure which is called Crossbar Memristor. Some frameworks have recently been introduced in literature that utilized Memristor crossbar array, but there are many challenges to implement the Memristor crossbar array due to fabrication and device limitations. In this work, we proposed a simple design of Memristor crossbar array architecture which uses input feedback in order to preserve its data after each read operation.

  20. Non-Dependent and Dependent Daily Cannabis Users Differ in Mental Health but Not Prospective Memory Ability

    OpenAIRE

    Ruth Braidwood; Samantha Mansell; Jon Waldron; Peter G. Rendell; Sunjeev K. Kamboj; H. Valerie Curran

    2018-01-01

    Research suggests that daily cannabis users have impaired memory for past events, but it is not clear whether they are also impaired in prospective memory (PM) for future events. The present study examined PM in daily cannabis users who were either dependent (n = 18) or non-dependent (n = 18), and compared them with non-using controls (n = 18). The effect of future event simulation (FES) on PM performance was also examined. Participants were matched across groups on age, gender, and highest l...

  1. Shared reality in interpersonal relationships.

    Science.gov (United States)

    Andersen, Susan M; Przybylinski, Elizabeth

    2017-11-24

    Close relationships afford us opportunities to create and maintain meaning systems as shared perceptions of ourselves and the world. Establishing a sense of mutual understanding allows for creating and maintaining lasting social bonds, and as such, is important in human relations. In a related vein, it has long been known that knowledge of significant others in one's life is stored in memory and evoked with new persons-in the social-cognitive process of 'transference'-imbuing new encounters with significance and leading to predictable cognitive, evaluative, motivational, and behavioral consequences, as well as shifts in the self and self-regulation, depending on the particular significant other evoked. In these pages, we briefly review the literature on meaning as interpersonally defined and then selectively review research on transference in interpersonal perception. Based on this, we then highlight a recent series of studies focused on shared meaning systems in transference. The highlighted studies show that values and beliefs that develop in close relationships (as shared reality) are linked in memory to significant-other knowledge, and thus, are indirectly activated (made accessible) when cues in a new person implicitly activate that significant-other knowledge (in transference), with these shared beliefs then actively pursued with the new person and even protected against threat. This also confers a sense of mutual understanding, and all told, serves both relational and epistemic functions. In concluding, we consider as well the relevance of co-construction of shared reality n such processes. Copyright © 2017 Elsevier Ltd. All rights reserved.

  2. Working memory in cigarette smokers: Comparison to non-smokers and effects of abstinence☆

    OpenAIRE

    Mendrek, Adrianna; Monterosso, John; Simon, Sara L.; Jarvik, Murray; Brody, Arthur; Olmstead, Richard; Domier, Catherine P.; Cohen, Mark S.; Ernst, Monique; London, Edythe D.

    2005-01-01

    The present study was designed to examine the effect of cigarette smoking and withdrawal on working memory. Participants included 15 smokers and 22 matched non-smokers. For both groups the N-Back Task (of working memory) was administered in two test blocks on each of two days. On one day, smokers were tested after ≥13 h abstinence; on the other day, testing began ≤1 h after smoking. Smokers inhaled one cigarette between the blocks on each test day. Results indicated that performance of smoker...

  3. Verbal learning and memory in adolescent cannabis users, alcohol users and non-users.

    Science.gov (United States)

    Solowij, Nadia; Jones, Katy A; Rozman, Megan E; Davis, Sasha M; Ciarrochi, Joseph; Heaven, Patrick C L; Lubman, Dan I; Yücel, Murat

    2011-07-01

    Long-term heavy cannabis use can result in memory impairment. Adolescent users may be especially vulnerable to the adverse neurocognitive effects of cannabis. In a cross-sectional and prospective neuropsychological study of 181 adolescents aged 16-20 (mean 18.3 years), we compared performance indices from one of the most widely used measures of learning and memory--the Rey Auditory Verbal Learning Test--between cannabis users (n=52; mean 2.4 years of use, 14 days/month, median abstinence 20.3 h), alcohol users (n=67) and non-user controls (n=62) matched for age, education and premorbid intellectual ability (assessed prospectively), and alcohol consumption for cannabis and alcohol users. Cannabis users performed significantly worse than alcohol users and non-users on all performance indices. They recalled significantly fewer words overall (pmemory performance after controlling for extent of exposure to cannabis. Despite relatively brief exposure, adolescent cannabis users relative to their age-matched counterparts demonstrated similar memory deficits to those reported in adult long-term heavy users. The results indicate that cannabis adversely affects the developing brain and reinforce concerns regarding the impact of early exposure.

  4. When remembering the past suppresses memory for future actions.

    Science.gov (United States)

    Utsumi, Kenta; Saito, Satoru

    2016-01-01

    Remembering planned actions at the correct time in the future is an integral component of prospective cognition. Recent studies on future remembering have led to suggestions that prospective cognition might be based on past experience. To test this hypothesis, we focused on retrieval-induced forgetting (RIF), which usually indicates that remembering past events suppresses memory for related but different past events. The current study assessed RIF in two kinds of event-based prospective memory (PM) tasks using either focal or non-focal cues for ongoing tasks. Participants studied six members from each of eight taxonomic categories and then practiced recalling three of the six members from four of the eight categories using category-stem cues. This retrieval practice suppressed the detection of non-practiced members of the practiced categories during the PM task with non-focal cues (Experiment 1) but not with focal cues (Experiment 2). The results suggest that recall of certain items inhibits the function of the others as PM cues, but only if the PM task does not largely share its processing with the ongoing task.

  5. Non-linear laws of echoic memory and auditory change detection in humans.

    Science.gov (United States)

    Inui, Koji; Urakawa, Tomokazu; Yamashiro, Koya; Otsuru, Naofumi; Nishihara, Makoto; Takeshima, Yasuyuki; Keceli, Sumru; Kakigi, Ryusuke

    2010-07-03

    The detection of any abrupt change in the environment is important to survival. Since memory of preceding sensory conditions is necessary for detecting changes, such a change-detection system relates closely to the memory system. Here we used an auditory change-related N1 subcomponent (change-N1) of event-related brain potentials to investigate cortical mechanisms underlying change detection and echoic memory. Change-N1 was elicited by a simple paradigm with two tones, a standard followed by a deviant, while subjects watched a silent movie. The amplitude of change-N1 elicited by a fixed sound pressure deviance (70 dB vs. 75 dB) was negatively correlated with the logarithm of the interval between the standard sound and deviant sound (1, 10, 100, or 1000 ms), while positively correlated with the logarithm of the duration of the standard sound (25, 100, 500, or 1000 ms). The amplitude of change-N1 elicited by a deviance in sound pressure, sound frequency, and sound location was correlated with the logarithm of the magnitude of physical differences between the standard and deviant sounds. The present findings suggest that temporal representation of echoic memory is non-linear and Weber-Fechner law holds for the automatic cortical response to sound changes within a suprathreshold range. Since the present results show that the behavior of echoic memory can be understood through change-N1, change-N1 would be a useful tool to investigate memory systems.

  6. Fatigue and retention properties of shape memory piezoelectric actuator with non-180° domain switching

    International Nuclear Information System (INIS)

    Kadota, Y; Morita, T

    2012-01-01

    A shape memory piezoelectric actuator can maintain a piezoelectric displacement without an operating voltage. It has two stable strain states at zero voltage: a poled state and a depoled state. The driving principle of the shape memory piezoelectric actuator is based on reorientation of the non-180° domains in the ferroelectric materials. In this study, a unimorph shape memory piezoelectric actuator with a soft lead zirconate titanate was fabricated. The fatigue and retention properties of this shape memory piezoelectric actuator were investigated. The fatigue behavior of the actuator in the early stages is considered to be closely related to the domain stabilization process. Continuous cycle fatigue tests revealed that the shape memory piezoelectric actuator continues to operate even after 10 6 cycles. Retention measurements revealed that the depoled state of the actuator was more stable than the poled state. The drift in the actuator displacement over one year was estimated to be less than 10% of the initial shape memory displacement. (paper)

  7. Memory loss process and non-Gibbsian equilibrium solutions of master equations

    International Nuclear Information System (INIS)

    Cataldo, H.M.; Hernandez, E.S.

    1988-01-01

    The phonon dynamics of a harmonic oscillator coupled to a steady reservoir is studied. In the Markovian limit, the equilibrium is reached through a progressive loss of memory process which involves the moments of the initial distribution. The relationship to the non-Markovian equations of motion and its resolvent poles is settled. As a particular model of the coupling mechanism is adopted, the possibility of non-Gibbsian equilibrium distribution arises, which is analyzed focusing upon the dependence of various parameters of the system on an effective equilibrium temperature

  8. Working Memory: A Cognitive Limit to Non-Human Primate Recursive Thinking Prior to Hominid Evolution

    Directory of Open Access Journals (Sweden)

    Dwight W. Read

    2008-10-01

    Full Text Available In this paper I explore the possibility that recursion is not part of the cognitive repertoire of non-human primates such as chimpanzees due to limited working memory capacity. Multiple lines of data, from nut cracking to the velocity and duration of cognitive development, imply that chimpanzees have a short-term memory size that limits working memory to dealing with two, or at most three, concepts at a time. If so, as a species they lack the cognitive capacity for recursive thinking to be integrated into systems of social organization and communication. If this limited working memory capacity is projected back to a common ancestor for Pan and Homo, it follows that early hominid ancestors would have had limited working memory capacity. Hence we should find evidence for expansion of working memory capacity during hominid evolution reflected in changes in the products of conceptually framed activities such as stone tool production. Data on the artifacts made by our hominid ancestors support this expansion hypothesis for hominid working memory, thereby leading to qualitative differences between Pan and Homo.

  9. Exploring Shared SRAM Tables in FPGAs for Larger LUTs and Higher Degree of Sharing

    Directory of Open Access Journals (Sweden)

    Ali Asghar

    2017-01-01

    Full Text Available In modern SRAM based Field Programmable Gate Arrays, a Look-Up Table (LUT is the principal constituent logic element which can realize every possible Boolean function. However, this flexibility of LUTs comes with a heavy area penalty. A part of this area overhead comes from the increased amount of configuration memory which rises exponentially as the LUT size increases. In this paper, we first present a detailed analysis of a previously proposed FPGA architecture which allows sharing of LUTs memory (SRAM tables among NPN-equivalent functions, to reduce the area as well as the number of configuration bits. We then propose several methods to improve the existing architecture. A new clustering technique has been proposed which packs NPN-equivalent functions together inside a Configurable Logic Block (CLB. We also make use of a recently proposed high performance Boolean matching algorithm to perform NPN classification. To enhance area savings further, we evaluate the feasibility of more than two LUTs sharing the same SRAM table. Consequently, this work explores the SRAM table sharing approach for a range of LUT sizes (4–7, while varying the cluster sizes (4–16. Experimental results on MCNC benchmark circuits set show an overall area reduction of ~7% while maintaining the same critical path delay.

  10. Declarative and nondeclarative memory: multiple brain systems supporting learning and memory.

    Science.gov (United States)

    Squire, L R

    1992-01-01

    Abstract The topic of multiple forms of memory is considered from a biological point of view. Fact-and-event (declarative, explicit) memory is contrasted with a collection of non conscious (non-declarative, implicit) memory abilities including skills and habits, priming, and simple conditioning. Recent evidence is reviewed indicating that declarative and non declarative forms of memory have different operating characteristics and depend on separate brain systems. A brain-systems framework for understanding memory phenomena is developed in light of lesion studies involving rats, monkeys, and humans, as well as recent studies with normal humans using the divided visual field technique, event-related potentials, and positron emission tomography (PET).

  11. The origin of traps and the effect of nitrogen plasma in oxide-nitride-oxide structures for non-volatile memories

    International Nuclear Information System (INIS)

    Kim, W. S.; Kwak, D. W.; Oh, J. S.; Lee, D. W.; Cho, H. Y.

    2010-01-01

    Ultrathin oxide-nitride-oxide (ONO) dielectric stacked layers are fundamental structures of silicon-oxide-nitride-oxide-silicon (SONOS) non-volatile memory devices in which information is known to be stored as charges trapped in silicon nitride. Deep-level transient spectroscopy (DLTS) and a capacitance-voltage (CV) analysis were introduced to observe the trap behavior related to the memory effect in memory devices. The DLTS results verified that the nitride-related traps were a dominant factor in the memory effect. The energy of hole traps was 0.307 eV above the balance band. To improve the memory effects of the non-volatile memory devices with ONO structures, we introduced a nitrogen plasma treatment. After the N-plasma treatment, the flat-band voltage shift (ΔV FB ) was increased by about 1.5 times. The program and the erase (P-E) characteristics were also shown to be better than those for the as-ONO structure. In addition, the retention characteristics were improved by over 2.4 times.

  12. Resistive switching characteristics of polymer non-volatile memory devices in a scalable via-hole structure

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Choi, Hyejung; Oh, Seung-Hwan; Jo, Minseok; Wang, Gunuk; Cho, Byungjin; Kim, Dong-Yu; Hwang, Hyunsang; Lee, Takhee

    2009-01-01

    The resistive switching characteristics of polyfluorene-derivative polymer material in a sub-micron scale via-hole device structure were investigated. The scalable via-hole sub-microstructure was fabricated using an e-beam lithographic technique. The polymer non-volatile memory devices varied in size from 40 x 40 μm 2 to 200 x 200 nm 2 . From the scaling of junction size, the memory mechanism can be attributed to the space-charge-limited current with filamentary conduction. Sub-micron scale polymer memory devices showed excellent resistive switching behaviours such as a large ON/OFF ratio (I ON /I OFF ∼10 4 ), excellent device-to-device switching uniformity, good sweep endurance, and good retention times (more than 10 000 s). The successful operation of sub-micron scale memory devices of our polyfluorene-derivative polymer shows promise to fabricate high-density polymer memory devices.

  13. Stress Induction and Visual Working Memory Performance: The Effects of Emotional and Non-Emotional Stimuli

    Directory of Open Access Journals (Sweden)

    Zahra Khayyer

    2017-05-01

    Full Text Available Background Some studies have shown working memory impairment following stressful situations. Also, researchers have found that working memory performance depends on many different factors such as emotional load of stimuli and gender. Objectives The present study aimed to determine the effects of stress induction on visual working memory (VWM performance among female and male university students. Methods This quasi-experimental research employed a posttest with only control group design (within-group study. A total of 62 university students (32 males and 30 females were randomly selected and allocated to experimental and control groups (mean age of 23.73. Using cold presser test (CPT, stress was induced and then, an n-back task was implemented to evaluate visual working memory function (such as the number of true items, time reactions, and the number of wrong items through emotional and non-emotional pictures. 100 pictures were selected from the international affective picture system (IASP with different valences. Results Results showed that stress impaired different visual working memory functions (P < 0.002 for true scores, P < 0.001 for reaction time, and P < 0.002 for wrong items. Conclusions In general, stress significantly decreases the VWM performances. On the one hand, females were strongly impressed by stress more than males and on the other hand, the VWM performance was better for emotional stimuli than non-emotional stimuli.

  14. An optimal multi-channel memory controller for real-time systems

    NARCIS (Netherlands)

    Gomony, M.D.; Akesson, K.B.; Goossens, K.G.W.

    2013-01-01

    Optimal utilization of a multi-channel memory, such as Wide IO DRAM, as shared memory in multi-processor platforms depends on the mapping of memory clients to the memory channels, the granularity at which the memory requests are interleaved in each channel, and the bandwidth and memory capacity

  15. Comparison study of memory status in war-PTSD veterans with depression and non- veterans depressed patients

    Directory of Open Access Journals (Sweden)

    Radfar Shokofeh

    2012-03-01

    Results: The mean age of the veterans and non-veterans was 43.9±4.7 and 42±9.4 years, respectively. Memory status did not differ between the two groups (P>0.05. There was no statistically significant correlation between duration and severity of PTSD with memory impairment (P>0.05. A negative correlation was found between personal and general information with re-experiencing in the veterans (P<0.05. Impaired memory was correlated with age greater than 45, educational level lower than high school diploma, severity of depression and longer participation in war. Conclusion: Although both PTSD and major depression affected memory, but memory status did not differ between patients with PTSD and depression and patients with chronic depression.

  16. Similar digit-based working memory in deaf signers and hearing non-signers despite digit span differences

    Directory of Open Access Journals (Sweden)

    Josefine eAndin

    2013-12-01

    Full Text Available Similar working memory (WM for lexical items has been demonstrated for signers and non-signers while short-term memory (STM is regularly poorer in deaf than hearing individuals. In the present study, we investigated digit-based WM and STM in Swedish and British deaf signers and hearing non-signers. To maintain good experimental control we used printed stimuli throughout and held response mode constant across groups. We showed that deaf signers have similar digit-based WM performance, despite shorter digit spans, compared to well-matched hearing non-signers. We found no difference between signers and non-signers on STM span for letters chosen to minimize phonological similarity or in the effects of recall direction. This set of findings indicates that similar WM for signers and non-signers can be generalized from lexical items to digits and suggests that poorer STM in deaf signers compared to hearing non-signers may be due to differences in phonological similarity across the language modalities of sign and speech.

  17. Decomposing the relationship between cognitive functioning and self-referent memory beliefs in older adulthood: what's memory got to do with it?

    Science.gov (United States)

    Payne, Brennan R; Gross, Alden L; Hill, Patrick L; Parisi, Jeanine M; Rebok, George W; Stine-Morrow, Elizabeth A L

    2017-07-01

    With advancing age, episodic memory performance shows marked declines along with concurrent reports of lower subjective memory beliefs. Given that normative age-related declines in episodic memory co-occur with declines in other cognitive domains, we examined the relationship between memory beliefs and multiple domains of cognitive functioning. Confirmatory bi-factor structural equation models were used to parse the shared and independent variance among factors representing episodic memory, psychomotor speed, and executive reasoning in one large cohort study (Senior Odyssey, N = 462), and replicated using another large cohort of healthy older adults (ACTIVE, N = 2802). Accounting for a general fluid cognitive functioning factor (comprised of the shared variance among measures of episodic memory, speed, and reasoning) attenuated the relationship between objective memory performance and subjective memory beliefs in both samples. Moreover, the general cognitive functioning factor was the strongest predictor of memory beliefs in both samples. These findings are consistent with the notion that dispositional memory beliefs may reflect perceptions of cognition more broadly. This may be one reason why memory beliefs have broad predictive validity for interventions that target fluid cognitive ability.

  18. Quasi-unipolar pentacene films embedded with fullerene for non-volatile organic transistor memories

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Juhee; Lee, Sungpyo; Lee, Moo Hyung; Kang, Moon Sung, E-mail: mskang@ssu.ac.kr [Department of Chemical Engineering, Soongsil University, Seoul 156-743 (Korea, Republic of)

    2015-02-09

    Quasi-unipolar non-volatile organic transistor memory (NOTM) can combine the best characteristics of conventional unipolar and ambipolar NOTMs and, as a result, exhibit improved device performance. Unipolar NOTMs typically exhibit a large signal ratio between the programmed and erased current signals but also require a large voltage to program and erase the memory cells. Meanwhile, an ambipolar NOTM can be programmed and erased at lower voltages, but the resulting signal ratio is small. By embedding a discontinuous n-type fullerene layer within a p-type pentacene film, quasi-unipolar NOTMs are fabricated, of which the signal storage utilizes both electrons and holes while the electrical signal relies on only hole conduction. These devices exhibit superior memory performance relative to both pristine unipolar pentacene devices and ambipolar fullerene/pentacene bilayer devices. The quasi-unipolar NOTM exhibited a larger signal ratio between the programmed and erased states while also reducing the voltage required to program and erase a memory cell. This simple approach should be readily applicable for various combinations of advanced organic semiconductors that have been recently developed and thereby should make a significant impact on organic memory research.

  19. Toward self-stabilizing wait-free shared memory objects

    NARCIS (Netherlands)

    J.H. Hoepman (Jaap-Henk); M. Papatriantafilou (Marina); P. Tsigas (Philippas)

    1995-01-01

    textabstractPast research on fault tolerant distributed systems has focussed on either processor failures, ranging from benign crash failures to the malicious byzantine failure types, or on transient memory failures, which can suddenly corrupt the state of the system. An interesting question in the

  20. System and method for programmable bank selection for banked memory subsystems

    Energy Technology Data Exchange (ETDEWEB)

    Blumrich, Matthias A. (Ridgefield, CT); Chen, Dong (Croton on Hudson, NY); Gara, Alan G. (Mount Kisco, NY); Giampapa, Mark E. (Irvington, NY); Hoenicke, Dirk (Seebruck-Seeon, DE); Ohmacht, Martin (Yorktown Heights, NY); Salapura, Valentina (Chappaqua, NY); Sugavanam, Krishnan (Mahopac, NY)

    2010-09-07

    A programmable memory system and method for enabling one or more processor devices access to shared memory in a computing environment, the shared memory including one or more memory storage structures having addressable locations for storing data. The system comprises: one or more first logic devices associated with a respective one or more processor devices, each first logic device for receiving physical memory address signals and programmable for generating a respective memory storage structure select signal upon receipt of pre-determined address bit values at selected physical memory address bit locations; and, a second logic device responsive to each of the respective select signal for generating an address signal used for selecting a memory storage structure for processor access. The system thus enables each processor device of a computing environment memory storage access distributed across the one or more memory storage structures.

  1. Long-range memory and non-Markov statistical effects in human sensorimotor coordination

    Science.gov (United States)

    M. Yulmetyev, Renat; Emelyanova, Natalya; Hänggi, Peter; Gafarov, Fail; Prokhorov, Alexander

    2002-12-01

    In this paper, the non-Markov statistical processes and long-range memory effects in human sensorimotor coordination are investigated. The theoretical basis of this study is the statistical theory of non-stationary discrete non-Markov processes in complex systems (Phys. Rev. E 62, 6178 (2000)). The human sensorimotor coordination was experimentally studied by means of standard dynamical tapping test on the group of 32 young peoples with tap numbers up to 400. This test was carried out separately for the right and the left hand according to the degree of domination of each brain hemisphere. The numerical analysis of the experimental results was made with the help of power spectra of the initial time correlation function, the memory functions of low orders and the first three points of the statistical spectrum of non-Markovity parameter. Our observations demonstrate, that with the regard to results of the standard dynamic tapping-test it is possible to divide all examinees into five different dynamic types. We have introduced the conflict coefficient to estimate quantitatively the order-disorder effects underlying life systems. The last one reflects the existence of disbalance between the nervous and the motor human coordination. The suggested classification of the neurophysiological activity represents the dynamic generalization of the well-known neuropsychological types and provides the new approach in a modern neuropsychology.

  2. The effect of listening to others remember on subsequent memory: The roles of expertise and trust in socially shared retrieval-induced forgetting and social contagion

    DEFF Research Database (Denmark)

    Koppel, Jonathan Mark; Wohl, Dana; Meksin, Robert

    2014-01-01

    Speakers reshape listeners’ memories through at least two discrete means: (1) social contagion and (2) socially shared retrieval-induced forgetting (SS-RIF). Three experiments explored how social relationships between speaker and listener moderate these conversational effects, focusing specifically......-RIF than untrustworthy speakers. These findings suggest that how speakers shape listeners’ memories depends on the social dynamic that exists between speaker and listener....... on two speaker characteristics, expertise and trustworthiness. We examined their effect on SS-RIF and contrasted, within-subjects, their effects on both SS-RIF and the previously studied social contagion. Experiments 1 and 2 explored the effects of perceived expertise; Experiment 3 explored trust. We...

  3. Efficient Numeric and Geometric Computations using Heterogeneous Shared Memory Architectures

    Science.gov (United States)

    2017-10-04

    to the memory architectures of CPUs and GPUs to obtain good performance and result in good memory performance using cache management. These methods ...Accomplishments: The PI and students has developed new methods for path and ray tracing and their Report Date: 14-Oct-2017 INVESTIGATOR(S): Phone...The efficiency of our method makes it a good candidate for forming hybrid schemes with wave-based models. One possibility is to couple the ray curve

  4. Fast transfer of shared data

    International Nuclear Information System (INIS)

    Timmer, C.; Abbott, D.J.; Heyes, W.G.; Jostizembski, E.; MacLeod, R.W.; Wolin, E.

    2000-01-01

    The Event Transfer system enables its users to produce events (data) and share them with other users by utilizing shared memory on either Solaris or Linux-based computers. Its design emphasizes speed, reliability, ease of use, and recoverability from crashes. In addition to fast local operation, the ET system allows network transfer of events. Using multi-threaded code based on POSIX threades and mutexes, a successful implementation was developed which allowed passing events over 500 kHz on a 4 cpu Sun workstation and 150 kHz on a dual cpu PC

  5. Non-linear laws of echoic memory and auditory change detection in humans

    Directory of Open Access Journals (Sweden)

    Takeshima Yasuyuki

    2010-07-01

    Full Text Available Abstract Background The detection of any abrupt change in the environment is important to survival. Since memory of preceding sensory conditions is necessary for detecting changes, such a change-detection system relates closely to the memory system. Here we used an auditory change-related N1 subcomponent (change-N1 of event-related brain potentials to investigate cortical mechanisms underlying change detection and echoic memory. Results Change-N1 was elicited by a simple paradigm with two tones, a standard followed by a deviant, while subjects watched a silent movie. The amplitude of change-N1 elicited by a fixed sound pressure deviance (70 dB vs. 75 dB was negatively correlated with the logarithm of the interval between the standard sound and deviant sound (1, 10, 100, or 1000 ms, while positively correlated with the logarithm of the duration of the standard sound (25, 100, 500, or 1000 ms. The amplitude of change-N1 elicited by a deviance in sound pressure, sound frequency, and sound location was correlated with the logarithm of the magnitude of physical differences between the standard and deviant sounds. Conclusions The present findings suggest that temporal representation of echoic memory is non-linear and Weber-Fechner law holds for the automatic cortical response to sound changes within a suprathreshold range. Since the present results show that the behavior of echoic memory can be understood through change-N1, change-N1 would be a useful tool to investigate memory systems.

  6. Role of Auditory Non-Verbal Working Memory in Sentence Repetition for Bilingual Children with Primary Language Impairment

    Science.gov (United States)

    Ebert, Kerry Danahy

    2014-01-01

    Background: Sentence repetition performance is attracting increasing interest as a valuable clinical marker for primary (or specific) language impairment (LI) in both monolingual and bilingual populations. Multiple aspects of memory appear to contribute to sentence repetition performance, but non-verbal memory has not yet been considered. Aims: To…

  7. The distribution and the functions of autobiographical memories: Why do older adults remember autobiographical memories from their youth?

    Science.gov (United States)

    Wolf, Tabea; Zimprich, Daniel

    2016-09-01

    In the present study, the distribution of autobiographical memories was examined from a functional perspective: we examined whether the extent to which long-term autobiographical memories were rated as having a self-, a directive, or a social function affects the location (mean age) and scale (standard deviation) of the memory distribution. Analyses were based on a total of 5598 autobiographical memories generated by 149 adults aged between 50 and 81 years in response to 51 cue-words. Participants provided their age at the time when the recalled events had happened and rated how frequently they recall these events for self-, directive, and social purposes. While more frequently using autobiographical memories for self-functions was associated with an earlier mean age, memories frequently shared with others showed a narrower distribution around a later mean age. The directive function, by contrast, did not affect the memory distribution. The results strengthen the assumption that experiences from an individual's late adolescence serve to maintain a sense of self-continuity throughout the lifespan. Experiences that are frequently shared with others, in contrast, stem from a narrow age range located in young adulthood.

  8. Decomposing the relationship between cognitive functioning and self-referent memory beliefs in older adulthood: What’s memory got to do with it?

    Science.gov (United States)

    Payne, Brennan R.; Gross, Alden L.; Hill, Patrick L.; Parisi, Jeanine M.; Rebok, George W.; Stine-Morrow, Elizabeth A. L.

    2018-01-01

    With advancing age, episodic memory performance shows marked declines along with concurrent reports of lower subjective memory beliefs. Given that normative age-related declines in episodic memory co-occur with declines in other cognitive domains, we examined the relationship between memory beliefs and multiple domains of cognitive functioning. Confirmatory bi-factor structural equation models were used to parse the shared and independent variance among factors representing episodic memory, psychomotor speed, and executive reasoning in one large cohort study (Senior Odyssey, N = 462), and replicated using another large cohort of healthy older adults (ACTIVE, N = 2,802). Accounting for a general fluid cognitive functioning factor (comprised of the shared variance among measures of episodic memory, speed, and reasoning) attenuated the relationship between objective memory performance and subjective memory beliefs in both samples. Moreover, the general cognitive functioning factor was the strongest predictor of memory beliefs in both samples. These findings are consistent with the notion that dispositional memory beliefs may reflect perceptions of cognition more broadly. This may be one reason why memory beliefs have broad predictive validity for interventions that target fluid cognitive ability. PMID:27685541

  9. Concurrent Operations of O2-Tree on Shared Memory Multicore Architectures

    Directory of Open Access Journals (Sweden)

    Daniel Ohene-Kwofie

    2014-05-01

    Full Text Available Modern computer architectures provide high performance computing capability by having multiple CPU cores. Such systems are also typically associated with very large main-memory capacities, thereby allowing them to be used for fast processing of in-memory database applications. However, most of the concurrency control mechanism associated with the index structures of these memory resident databases do not scale well, under high transaction rates. This paper presents the O2-Tree, a fast main memory resident index, which is also highly scalable and tolerant of high transaction rates in a concurrent environment using the relaxed balancing tree algorithm. The O2-Tree is a modified Red-Black tree in which the leaf nodes are formed into blocks that hold key-value pairs, while each internal node stores a single key that results from splitting leaf nodes. Multi-threaded concurrent manipulation of the O2-Tree outperforms popular NoSQL based key-value stores considered in this paper.

  10. Multi-floor cascading ferroelectric nanostructures: multiple data writing-based multi-level non-volatile memory devices

    Science.gov (United States)

    Hyun, Seung; Kwon, Owoong; Lee, Bom-Yi; Seol, Daehee; Park, Beomjin; Lee, Jae Yong; Lee, Ju Hyun; Kim, Yunseok; Kim, Jin Kon

    2016-01-01

    Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process.Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr07377d

  11. Trust and Work Place Spirituality on Knowledge Sharing Behaviour: Perspective from Non-Academic Staff of Higher Learning Institutions

    Science.gov (United States)

    Rahman, Muhammad Sabbir; Osmangani, Aahad M; Daud, Nuraihan Mat; Chowdhury, Abdul Hannan; Hassan, Hasliza

    2015-01-01

    Purpose: This empirical research aims to add value in the existing research on knowledge sharing, investigate the antecedents of knowledge-sharing behaviour by embedding trust and workplace spirituality variable on non-academic staff from higher learning institution in Malaysia. The role of trust, perceived risk and workplace spirituality towards…

  12. The Cholinergic System Modulates Memory and Hippocampal Plasticity via Its Interactions with Non-Neuronal Cells

    Directory of Open Access Journals (Sweden)

    Sara V. Maurer

    2017-11-01

    Full Text Available Degeneration of central cholinergic neurons impairs memory, and enhancement of cholinergic synapses improves cognitive processes. Cholinergic signaling is also anti-inflammatory, and neuroinflammation is increasingly linked to adverse memory, especially in Alzheimer’s disease. Much of the evidence surrounding cholinergic impacts on the neuroimmune system focuses on the α7 nicotinic acetylcholine (ACh receptor, as stimulation of this receptor prevents many of the effects of immune activation. Microglia and astrocytes both express this receptor, so it is possible that some cholinergic effects may be via these non-neuronal cells. Though the presence of microglia is required for memory, overactivated microglia due to an immune challenge overproduce inflammatory cytokines, which is adverse for memory. Blocking these exaggerated effects, specifically by decreasing the release of tumor necrosis factor α (TNF-α, interleukin 1β (IL-1β, and interleukin 6 (IL-6, has been shown to prevent inflammation-induced memory impairment. While there is considerable evidence that cholinergic signaling improves memory, fewer studies have linked the “cholinergic anti-inflammatory pathway” to memory processes. This review will summarize the current understanding of the cholinergic anti-inflammatory pathway as it relates to memory and will argue that one mechanism by which the cholinergic system modulates hippocampal memory processes is its influence on neuroimmune function via the α7 nicotinic ACh receptor.

  13. Memory binding in clinical and non-clinical psychotic experiences: how does the continuum model fare?

    Science.gov (United States)

    Chhabra, S; Badcock, J C; Maybery, M T

    2013-07-01

    Both clinical and non-clinical auditory hallucinations (AH) have been associated with source memory deficits, supporting a continuum of underlying cognitive mechanisms, though few studies have employed the same task in patient and nonpatient samples. Recent commentators have called for more debate on the continuum model of psychosis. Consequently, the current study investigated the continuity model of AH with reference to memory binding. We used an identical voice and word recognition memory task to assess binding in two separate studies of: (1) healthy hallucination-prone individuals and controls (30 high and 30 low scorers on the Launay-Slade Hallucination Scale-Revised) and (2) schizophrenia patient samples (32 with AH, 32 without AH) and 32 healthy controls. There was no evidence of impaired binding in high hallucination-prone, compared to low hallucination-prone individuals. In contrast, individuals with schizophrenia (both with and without AH) had difficulties binding (remembering "who said what"), alongside difficulties remembering individual words and voices. Binding ability and memory for voices were also negatively linked to the loudness of hallucinated voices reported by patients with AH. These findings suggest that different mechanisms may exist in clinical and non-clinical hallucinators, adding to the growing debate on the continuum model of psychotic symptoms.

  14. Deaf children’s non-verbal working memory is impacted by their language experience

    Directory of Open Access Journals (Sweden)

    Chloe eMarshall

    2015-05-01

    Full Text Available Recent studies suggest that deaf children perform more poorly on working memory tasks compared to hearing children, but do not say whether this poorer performance arises directly from deafness itself or from deaf children’s reduced language exposure. The issue remains unresolved because findings come from (1 tasks that are verbal as opposed to non-verbal, and (2 involve deaf children who use spoken communication and therefore may have experienced impoverished input and delayed language acquisition. This is in contrast to deaf children who have been exposed to a sign language since birth from Deaf parents (and who therefore have native language-learning opportunities. A more direct test of how the type and quality of language exposure impacts working memory is to use measures of non-verbal working memory (NVWM and to compare hearing children with two groups of deaf signing children: those who have had native exposure to a sign language, and those who have experienced delayed acquisition compared to their native-signing peers. In this study we investigated the relationship between NVWM and language in three groups aged 6-11 years: hearing children (n=27, deaf native users of British Sign Language (BSL; n=7, and deaf children non native signers (n=19. We administered a battery of non-verbal reasoning, NVWM, and language tasks. We examined whether the groups differed on NVWM scores, and if language tasks predicted scores on NVWM tasks. For the two NVWM tasks, the non-native signers performed less accurately than the native signer and hearing groups (who did not differ from one another. Multiple regression analysis revealed that the vocabulary measure predicted scores on NVWM tasks. Our results suggest that whatever the language modality – spoken or signed – rich language experience from birth, and the good language skills that result from this early age of aacquisition, play a critical role in the development of NVWM and in performance on NVWM

  15. Memory control with selective retention

    NARCIS (Netherlands)

    2012-01-01

    The present invention relates to a memory circuit and a method of controlling data retention in the memory circuit, wherein a supply signal is selectively switched to a respective one of at least two virtual supply lines (24) each shared by a respective one of a plurality of groups (30-1 to 30-n) of

  16. Memory control with selective retention

    NARCIS (Netherlands)

    2010-01-01

    The present invention relates to a memory circuit and a method of controlling data retention in the memory circuit, wherein a supply signal is selectively switched to a respective one of at least two virtual supply lines (24) each shared by a respective one of a plurality of groups (30-1 to 30-n) of

  17. A Time-predictable Memory Network-on-Chip

    DEFF Research Database (Denmark)

    Schoeberl, Martin; Chong, David VH; Puffitsch, Wolfgang

    2014-01-01

    To derive safe bounds on worst-case execution times (WCETs), all components of a computer system need to be time-predictable: the processor pipeline, the caches, the memory controller, and memory arbitration on a multicore processor. This paper presents a solution for time-predictable memory...... arbitration and access for chip-multiprocessors. The memory network-on-chip is organized as a tree with time-division multiplexing (TDM) of accesses to the shared memory. The TDM based arbitration completely decouples processor cores and allows WCET analysis of the memory accesses on individual cores without...

  18. Verbal Working Memory Is Related to the Acquisition of Cross-Linguistic Phonological Regularities.

    Science.gov (United States)

    Bosma, Evelyn; Heeringa, Wilbert; Hoekstra, Eric; Versloot, Arjen; Blom, Elma

    2017-01-01

    Closely related languages share cross-linguistic phonological regularities, such as Frisian -âld [ͻ:t] and Dutch -oud [ʱut], as in the cognate pairs kâld [kͻ:t] - koud [kʱut] 'cold' and wâld [wͻ:t] - woud [wʱut] 'forest'. Within Bybee's (1995, 2001, 2008, 2010) network model, these regularities are, just like grammatical rules within a language, generalizations that emerge from schemas of phonologically and semantically related words. Previous research has shown that verbal working memory is related to the acquisition of grammar, but not vocabulary. This suggests that verbal working memory supports the acquisition of linguistic regularities. In order to test this hypothesis we investigated whether verbal working memory is also related to the acquisition of cross-linguistic phonological regularities. For three consecutive years, 5- to 8-year-old Frisian-Dutch bilingual children ( n = 120) were tested annually on verbal working memory and a Frisian receptive vocabulary task that comprised four cognate categories: (1) identical cognates, (2) non-identical cognates that either do or (3) do not exhibit a phonological regularity between Frisian and Dutch, and (4) non-cognates. The results showed that verbal working memory had a significantly stronger effect on cognate category (2) than on the other three cognate categories. This suggests that verbal working memory is related to the acquisition of cross-linguistic phonological regularities. More generally, it confirms the hypothesis that verbal working memory plays a role in the acquisition of linguistic regularities.

  19. European Union of Memories?

    DEFF Research Database (Denmark)

    Wæhrens, Anne

    After a very brief introduction to history and memory in Europe after 1989, as seen by Aleida Assmann, I will give a short introduction to the EP and to their adoption of resolutions and declarations. Then I will define some concepts central to my study before I proceed to the analysis. Finally I...... these changes have come about. Moreover, I show that there seems to be a political memory split between Left and Right and I suggest that the time might not be ripe for a shared European memory....

  20. Levels of Interference in Long and Short-Term Memory Differentially Modulate Non-REM and REM Sleep.

    Science.gov (United States)

    Fraize, Nicolas; Carponcy, Julien; Joseph, Mickaël Antoine; Comte, Jean-Christophe; Luppi, Pierre-Hervé; Libourel, Paul-Antoine; Salin, Paul-Antoine; Malleret, Gaël; Parmentier, Régis

    2016-12-01

    It is commonly accepted that sleep is beneficial to memory processes, but it is still unclear if this benefit originates from improved memory consolidation or enhanced information processing. It has thus been proposed that sleep may also promote forgetting of undesirable and non-essential memories, a process required for optimization of cognitive resources. We tested the hypothesis that non-rapid eye movement sleep (NREMS) promotes forgetting of irrelevant information, more specifically when processing information in working memory (WM), while REM sleep (REMS) facilitates the consolidation of important information. We recorded sleep patterns of rats trained in a radial maze in three different tasks engaging either the long-term or short-term storage of information, as well as a gradual level of interference. We observed a transient increase in REMS amount on the day the animal learned the rule of a long-term/reference memory task (RM), and, in contrast, a positive correlation between the performance of rats trained in a WM task involving an important processing of interference and the amount of NREMS or slow wave activity. Various oscillatory events were also differentially modulated by the type of training involved. Notably, NREMS spindles and REMS rapid theta increase with RM training, while sharp-wave ripples increase with all types of training. These results suggest that REMS, but also rapid oscillations occurring during NREMS would be specifically implicated in the long-term memory in RM, whereas NREMS and slow oscillations could be involved in the forgetting of irrelevant information required for WM. © 2016 Associated Professional Sleep Societies, LLC.

  1. Behavioral, Attitudinal, and Cultural Factors Influencing Interagency Information Sharing

    Science.gov (United States)

    2011-05-01

    Conflict ( Prosocial Behavior ) Cognitive Processes - Shared Team Mental Models, Transactive Memory Action Processes - Team Coordination...information sharing behaviors after the experiment unfolded. To explore this further, an independent sample t -test was conducted, where the difference in...U.S. Army Research Institute for the Behavioral and Social Sciences Research Report 1944 Behavioral , Attitudinal, and Cultural Factors

  2. DMZ Cultural Center: The Role of Shared Space in the Korean Peninsula Crisis

    Directory of Open Access Journals (Sweden)

    Jin Young Song

    2016-08-01

    Full Text Available If we view urban space as a framework of events and memory, conflict infrastructure is inevitably understood as a memorial practice – it either solidifies the conflict or promotes positive associations. Using the mechanism of memorialization, this article examines the function of shared space, namely the built environment that occupies space between the highly conflicted borders of the Korean peninsula. In order to overcome the limitations of two recent inter-Korean projects that focused on economic cooperation, we analyze the Demilitarized Zone (DMZ Cultural Center’s planning and design strategy, which is based on the role of shared space contributing to peace and reconciliation.

  3. Hierarchical Traces for Reduced NSM Memory Requirements

    Science.gov (United States)

    Dahl, Torbjørn S.

    This paper presents work on using hierarchical long term memory to reduce the memory requirements of nearest sequence memory (NSM) learning, a previously published, instance-based reinforcement learning algorithm. A hierarchical memory representation reduces the memory requirements by allowing traces to share common sub-sequences. We present moderated mechanisms for estimating discounted future rewards and for dealing with hidden state using hierarchical memory. We also present an experimental analysis of how the sub-sequence length affects the memory compression achieved and show that the reduced memory requirements do not effect the speed of learning. Finally, we analyse and discuss the persistence of the sub-sequences independent of specific trace instances.

  4. Shared visual attention and memory systems in the Drosophila brain.

    Directory of Open Access Journals (Sweden)

    Bruno van Swinderen

    Full Text Available BACKGROUND: Selective attention and memory seem to be related in human experience. This appears to be the case as well in simple model organisms such as the fly Drosophila melanogaster. Mutations affecting olfactory and visual memory formation in Drosophila, such as in dunce and rutabaga, also affect short-term visual processes relevant to selective attention. In particular, increased optomotor responsiveness appears to be predictive of visual attention defects in these mutants. METHODOLOGY/PRINCIPAL FINDINGS: To further explore the possible overlap between memory and visual attention systems in the fly brain, we screened a panel of 36 olfactory long term memory (LTM mutants for visual attention-like defects using an optomotor maze paradigm. Three of these mutants yielded high dunce-like optomotor responsiveness. We characterized these three strains by examining their visual distraction in the maze, their visual learning capabilities, and their brain activity responses to visual novelty. We found that one of these mutants, D0067, was almost completely identical to dunce(1 for all measures, while another, D0264, was more like wild type. Exploiting the fact that the LTM mutants are also Gal4 enhancer traps, we explored the sufficiency for the cells subserved by these elements to rescue dunce attention defects and found overlap at the level of the mushroom bodies. Finally, we demonstrate that control of synaptic function in these Gal4 expressing cells specifically modulates a 20-30 Hz local field potential associated with attention-like effects in the fly brain. CONCLUSIONS/SIGNIFICANCE: Our study uncovers genetic and neuroanatomical systems in the fly brain affecting both visual attention and odor memory phenotypes. A common component to these systems appears to be the mushroom bodies, brain structures which have been traditionally associated with odor learning but which we propose might be also involved in generating oscillatory brain activity

  5. Memory as persona non grata in the work of Eugène Minkowski: a historical approach.

    Science.gov (United States)

    Vaz, João M

    2016-09-01

    Memory is both ubiquitous and persona non grata in the work of Eugène Minkowski. Despite the relevance of memory in the works of those who influenced him, in particular Bergson, Minkowski nonetheless repeatedly overlooked its importance in his writings. To the reader of his work this fact is as much evident as unaccounted for - both by prior research and by Minkowski himself. I shall try to prove that this disregard for memory was conditio sine qua non of Minkowski's first synthesis of Bleuler and Bergson in a 1921 article, which resulted in his famous concept of loss of vital contact with reality and which he equated with schizophrenia. Moreover, this historical approach will, on the one hand, explain the fragmentary use made by Minkowski of the philosophy of Bergson and, on the other, shed light on central aspects of his Le temps vécu of 1933 that an exclusively philosophical analysis cannot reveal. © The Author(s) 2016.

  6. Location-Unbound Color-Shape Binding Representations in Visual Working Memory.

    Science.gov (United States)

    Saiki, Jun

    2016-02-01

    The mechanism by which nonspatial features, such as color and shape, are bound in visual working memory, and the role of those features' location in their binding, remains unknown. In the current study, I modified a redundancy-gain paradigm to investigate these issues. A set of features was presented in a two-object memory display, followed by a single object probe. Participants judged whether the probe contained any features of the memory display, regardless of its location. Response time distributions revealed feature coactivation only when both features of a single object in the memory display appeared together in the probe, regardless of the response time benefit from the probe and memory objects sharing the same location. This finding suggests that a shared location is necessary in the formation of bound representations but unnecessary in their maintenance. Electroencephalography data showed that amplitude modulations reflecting location-unbound feature coactivation were different from those reflecting the location-sharing benefit, consistent with the behavioral finding that feature-location binding is unnecessary in the maintenance of color-shape binding. © The Author(s) 2015.

  7. Non-ruminative processing reduces overgeneral autobiographical memory retrieval in students.

    Science.gov (United States)

    Raes, Filip; Watkins, Edward R; Williams, J Mark G; Hermans, Dirk

    2008-06-01

    It has been suggested that overgeneral memory (OGM) represents a vulnerability marker for depression [Williams, J. M. G., Barnhofer, T., Crane, C., Hermans, D., Raes, F., Watkins, E., et al. (2007). Autobiographical memory specificity and emotional disorder. Psychological Bulletin, 133, 122-148]. One important underlying mechanism involved is rumination [e.g., Watkins, E., & Teasdale, J. D. (2001). Rumination and overgeneral memory in depression: Effects of self-focus and analytic thinking. Journal of Abnormal Psychology, 110, 353-357; Watkins, E., & Teasdale, J. D. (2004). Adaptive and maladaptive self-focus in depression. Journal of Affective Disorders, 82, 1-8]. It is as yet unclear to what extent the relationship between rumination and OGM also applies to nonclinical groups. The present study investigated this relationship in a nonclinical student sample, using an innovative sentence completion procedure to assess OGM. As hypothesized, the experimental induction of a concrete, process-focused (or non-ruminative) thinking style (n=102) led to less OGMs as compared to the experimental induction of an abstract, evaluative (or ruminative) thinking style (n=93). The present results add to the accumulating body of evidence that abstract, evaluative (or ruminative) thinking is a crucial underlying process of OGM, and expand prior literature by extending this idea to nonclinical individuals and by using a new procedure to assess OGM.

  8. Towards a psychology of collective memory.

    Science.gov (United States)

    Hirst, William; Manier, David

    2008-04-01

    This article discusses the place of psychology within the now voluminous social scientific literature on collective memory. Many social scientists locate collective memories in the social resources that shape them. For scholars adopting this perspective, collective memories are viewed as transcending individuals; that is, as being "in the world". Others recognise that, in the final analysis, individuals must remember collective as well as individual memories. These scholars treat collective memories as shared individual memories. We attempt to bridge these two approaches by distinguishing between the design of social resources and memory practices, on one hand, and on the other, the effectiveness of each in forming and transforming the memories held by individuals and the psychological mechanisms that guide this effectiveness.

  9. An Adaptive Insertion and Promotion Policy for Partitioned Shared Caches

    Science.gov (United States)

    Mahrom, Norfadila; Liebelt, Michael; Raof, Rafikha Aliana A.; Daud, Shuhaizar; Hafizah Ghazali, Nur

    2018-03-01

    Cache replacement policies in chip multiprocessors (CMP) have been investigated extensively and proven able to enhance shared cache management. However, competition among multiple processors executing different threads that require simultaneous access to a shared memory may cause cache contention and memory coherence problems on the chip. These issues also exist due to some drawbacks of the commonly used Least Recently Used (LRU) policy employed in multiprocessor systems, which are because of the cache lines residing in the cache longer than required. In image processing analysis of for example extra pulmonary tuberculosis (TB), an accurate diagnosis for tissue specimen is required. Therefore, a fast and reliable shared memory management system to execute algorithms for processing vast amount of specimen image is needed. In this paper, the effects of the cache replacement policy in a partitioned shared cache are investigated. The goal is to quantify whether better performance can be achieved by using less complex replacement strategies. This paper proposes a Middle Insertion 2 Positions Promotion (MI2PP) policy to eliminate cache misses that could adversely affect the access patterns and the throughput of the processors in the system. The policy employs a static predefined insertion point, near distance promotion, and the concept of ownership in the eviction policy to effectively improve cache thrashing and to avoid resource stealing among the processors.

  10. Evidence for a double dissociation of articulatory rehearsal and non-articulatory maintenance of phonological information in human verbal working memory.

    Science.gov (United States)

    Trost, Sarah; Gruber, Oliver

    2012-01-01

    Recent functional neuroimaging studies have provided evidence that human verbal working memory is represented by two complementary neural systems, a left lateralized premotor-parietal network implementing articulatory rehearsal and a presumably phylogenetically older bilateral anterior-prefrontal/inferior-parietal network subserving non-articulatory maintenance of phonological information. In order to corroborate these findings from functional neuroimaging, we performed a targeted behavioural study in patients with very selective and circumscribed brain lesions to key regions suggested to support these different subcomponents of human verbal working memory. Within a sample of over 500 neurological patients assessed with high-resolution structural magnetic resonance imaging, we identified 2 patients with corresponding brain lesions, one with an isolated lesion to Broca's area and the other with a selective lesion bilaterally to the anterior middle frontal gyrus. These 2 patients as well as groups of age-matched healthy controls performed two circuit-specific verbal working memory tasks. In this way, we systematically assessed the hypothesized selective behavioural effects of these brain lesions on the different subcomponents of verbal working memory in terms of a double dissociation. Confirming prior findings, the lesion to Broca's area led to reduced performance under articulatory rehearsal, whereas the non-articulatory maintenance of phonological information was unimpaired. Conversely, the bifrontopolar brain lesion was associated with impaired non-articulatory phonological working memory, whereas performance under articulatory rehearsal was unaffected. The present experimental neuropsychological study in patients with specific and circumscribed brain lesions confirms the hypothesized double dissociation of two complementary brain systems underlying verbal working memory in humans. In particular, the results demonstrate the functional relevance of the anterior

  11. Sleep Benefits Memory for Semantic Category Structure While Preserving Exemplar-Specific Information.

    Science.gov (United States)

    Schapiro, Anna C; McDevitt, Elizabeth A; Chen, Lang; Norman, Kenneth A; Mednick, Sara C; Rogers, Timothy T

    2017-11-01

    Semantic memory encompasses knowledge about both the properties that typify concepts (e.g. robins, like all birds, have wings) as well as the properties that individuate conceptually related items (e.g. robins, in particular, have red breasts). We investigate the impact of sleep on new semantic learning using a property inference task in which both kinds of information are initially acquired equally well. Participants learned about three categories of novel objects possessing some properties that were shared among category exemplars and others that were unique to an exemplar, with exposure frequency varying across categories. In Experiment 1, memory for shared properties improved and memory for unique properties was preserved across a night of sleep, while memory for both feature types declined over a day awake. In Experiment 2, memory for shared properties improved across a nap, but only for the lower-frequency category, suggesting a prioritization of weakly learned information early in a sleep period. The increase was significantly correlated with amount of REM, but was also observed in participants who did not enter REM, suggesting involvement of both REM and NREM sleep. The results provide the first evidence that sleep improves memory for the shared structure of object categories, while simultaneously preserving object-unique information.

  12. Glucocorticoids in the prefrontal cortex enhance memory consolidation and impair working memory by a common neural mechanism

    Science.gov (United States)

    Barsegyan, Areg; Mackenzie, Scott M.; Kurose, Brian D.; McGaugh, James L.; Roozendaal, Benno

    2010-01-01

    It is well established that acute administration of adrenocortical hormones enhances the consolidation of memories of emotional experiences and, concurrently, impairs working memory. These different glucocorticoid effects on these two memory functions have generally been considered to be independently regulated processes. Here we report that a glucocorticoid receptor agonist administered into the medial prefrontal cortex (mPFC) of male Sprague-Dawley rats both enhances memory consolidation and impairs working memory. Both memory effects are mediated by activation of a membrane-bound steroid receptor and depend on noradrenergic activity within the mPFC to increase levels of cAMP-dependent protein kinase. These findings provide direct evidence that glucocorticoid effects on both memory consolidation and working memory share a common neural influence within the mPFC. PMID:20810923

  13. [Nondeclarative memory--neuropsychological findings and neuroanatomic principles].

    Science.gov (United States)

    Daum, I; Ackermann, H

    1997-03-01

    The contents of long-term memory will influence behaviour, even if the acquired knowledge or the original learning episode are not remembered. These phenomena have been termed "non-declarative" or "implicit" memory, and they are contrasted with "declarative" or "explicit" memory which is characterised by conscious search and retrieval procedures. Non-declarative memory encompasses non-associative learning, simple conditioning, priming effects as well as motor, perceptual and cognitive skill acquisition. The dissociation of both forms of memory is documented by studies in health subjects which indicated that experimental manipulations or drugs may differentially affect declarative and non-declarative memory processes. Damage to the medial temporal or the medial thalamic regions is known to result in declarative memory deficits whereas non-declarative memory is largely unaffected by such lesions. Animal research and clinical findings indicate that several components of non-declarative memory such as motor and cognitive skill acquisition or certain types of classical conditioning are dependent upon the integrity of the basal ganglia or the cerebellum. These issues are therefore of increasing importance for the understanding of extrapyramidal and cerebellar diseases. This paper presents recent neuropsychological findings and neuroanatomical data relating to the issue of non-declarative memory.

  14. Meta-Analysis of Genome-Wide Association Studies in Celiac Disease and Rheumatoid Arthritis Identifies Fourteen Non-HLA Shared Loci

    NARCIS (Netherlands)

    Zhernakova, Alexandra; Stahl, Eli A.; Trynka, Gosia; Raychaudhuri, Soumya; Festen, Eleanora A.; Franke, Lude; Westra, Harm-Jan; Fehrmann, Rudolf S. N.; Kurreeman, Fina A. S.; Thomson, Brian; Gupta, Namrata; Romanos, Jihane; McManus, Ross; Ryan, Anthony W.; Turner, Graham; Brouwer, Elisabeth; Posthumus, Marcel D.; Remmers, Elaine F.; Tucci, Francesca; Toes, Rene; Grandone, Elvira; Mazzilli, Maria Cristina; Rybak, Anna; Cukrowska, Bozena; Coenen, Marieke J. H.; Radstake, Timothy R. D. J.; van Riel, Piet L. C. M.; Li, Yonghong; de Bakker, Paul I. W.; Gregersen, Peter K.; Worthington, Jane; Siminovitch, Katherine A.; Klareskog, Lars; Huizinga, Tom W. J.; Wijmenga, Cisca; Plenge, Robert M.

    2011-01-01

    Epidemiology and candidate gene studies indicate a shared genetic basis for celiac disease (CD) and rheumatoid arthritis (RA), but the extent of this sharing has not been systematically explored. Previous studies demonstrate that 6 of the established non-HLA CD and RA risk loci (out of 26 loci for

  15. A multitransputer parallel processing system (MTPPS)

    International Nuclear Information System (INIS)

    Jethra, A.K.; Pande, S.S.; Borkar, S.P.; Khare, A.N.; Ghodgaonkar, M.D.; Bairi, B.R.

    1993-01-01

    This report describes the design and implementation of a 16 node Multi Transputer Parallel Processing System(MTPPS) which is a platform for parallel program development. It is a MIMD machine based on message passing paradigm. The basic compute engine is an Inmos Transputer Ims T800-20. Transputer with local memory constitutes the processing element (NODE) of this MIMD architecture. Multiple NODES can be connected to each other in an identifiable network topology through the high speed serial links of the transputer. A Network Configuration Unit (NCU) incorporates the necessary hardware to provide software controlled network configuration. System is modularly expandable and more NODES can be added to the system to achieve the required processing power. The system is backend to the IBM-PC which has been integrated into the system to provide user I/O interface. PC resources are available to the programmer. Interface hardware between the PC and the network of transputers is INMOS compatible. Therefore, all the commercially available development software compatible to INMOS products can run on this system. While giving the details of design and implementation, this report briefly summarises MIMD Architectures, Transputer Architecture and Parallel Processing Software Development issues. LINPACK performance evaluation of the system and solutions of neutron physics and plasma physics problem have been discussed along with results. (author). 12 refs., 22 figs., 3 tabs., 3 appendixes

  16. Shared reality in intergroup communication: Increasing the epistemic authority of an out-group audience.

    Science.gov (United States)

    Echterhoff, Gerald; Kopietz, René; Higgins, E Tory

    2017-06-01

    Communicators typically tune messages to their audience's attitude. Such audience tuning biases communicators' memory for the topic toward the audience's attitude to the extent that they create a shared reality with the audience. To investigate shared reality in intergroup communication, we first established that a reduced memory bias after tuning messages to an out-group (vs. in-group) audience is a subtle index of communicators' denial of shared reality to that out-group audience (Experiments 1a and 1b). We then examined whether the audience-tuning memory bias might emerge when the out-group audience's epistemic authority is enhanced, either by increasing epistemic expertise concerning the communication topic or by creating epistemic consensus among members of a multiperson out-group audience. In Experiment 2, when Germans communicated to a Turkish audience with an attitude about a Turkish (vs. German) target, the audience-tuning memory bias appeared. In Experiment 3, when the audience of German communicators consisted of 3 Turks who all held the same attitude toward the target, the memory bias again appeared. The association between message valence and memory valence was consistently higher when the audience's epistemic authority was high (vs. low). An integrative analysis across all studies also suggested that the memory bias increases with increasing strength of epistemic inputs (epistemic expertise, epistemic consensus, and audience-tuned message production). The findings suggest novel ways of overcoming intergroup biases in intergroup relations. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  17. Learning classifier systems with memory condition to solve non-Markov problems

    OpenAIRE

    Zang, Zhaoxiang; Li, Dehua; Wang, Junying

    2012-01-01

    In the family of Learning Classifier Systems, the classifier system XCS has been successfully used for many applications. However, the standard XCS has no memory mechanism and can only learn optimal policy in Markov environments, where the optimal action is determined solely by the state of current sensory input. In practice, most environments are partially observable environments on agent's sensation, which are also known as non-Markov environments. Within these environments, XCS either fail...

  18. NonMarkov Ito Processes with 1- state memory

    Science.gov (United States)

    McCauley, Joseph L.

    2010-08-01

    A Markov process, by definition, cannot depend on any previous state other than the last observed state. An Ito process implies the Fokker-Planck and Kolmogorov backward time partial differential eqns. for transition densities, which in turn imply the Chapman-Kolmogorov eqn., but without requiring the Markov condition. We present a class of Ito process superficially resembling Markov processes, but with 1-state memory. In finance, such processes would obey the efficient market hypothesis up through the level of pair correlations. These stochastic processes have been mislabeled in recent literature as 'nonlinear Markov processes'. Inspired by Doob and Feller, who pointed out that the ChapmanKolmogorov eqn. is not restricted to Markov processes, we exhibit a Gaussian Ito transition density with 1-state memory in the drift coefficient that satisfies both of Kolmogorov's partial differential eqns. and also the Chapman-Kolmogorov eqn. In addition, we show that three of the examples from McKean's seminal 1966 paper are also nonMarkov Ito processes. Last, we show that the transition density of the generalized Black-Scholes type partial differential eqn. describes a martingale, and satisfies the ChapmanKolmogorov eqn. This leads to the shortest-known proof that the Green function of the Black-Scholes eqn. with variable diffusion coefficient provides the so-called martingale measure of option pricing.

  19. Controlled data storage for non-volatile memory cells embedded in nano magnetic logic

    Science.gov (United States)

    Riente, Fabrizio; Ziemys, Grazvydas; Mattersdorfer, Clemens; Boche, Silke; Turvani, Giovanna; Raberg, Wolfgang; Luber, Sebastian; Breitkreutz-v. Gamm, Stephan

    2017-05-01

    Among the beyond-CMOS technologies, perpendicular Nano Magnetic Logic (pNML) is a promising candidate due to its low power consumption, its non-volatility and its monolithic 3D integrability, which makes it possible to integrate memory and logic into the same device by exploiting the interaction of bi-stable nanomagnets with perpendicular magnetic anisotropy. Logic computation and signal synchronization are achieved by focus ion beam irradiation and by pinning domain walls in magnetic notches. However, in realistic circuits, the information storage and their read-out are crucial issues, often ignored in the exploration of beyond-CMOS devices. In this paper we address these issues by experimentally demonstrating a pNML memory element, whose read and write operations can be controlled by two independent pulsed currents. Our results prove the correct behavior of the proposed structure that enables high density memory embedded in the logic plane of 3D-integrated pNML circuits.

  20. Controlled data storage for non-volatile memory cells embedded in nano magnetic logic

    Directory of Open Access Journals (Sweden)

    Fabrizio Riente

    2017-05-01

    Full Text Available Among the beyond-CMOS technologies, perpendicular Nano Magnetic Logic (pNML is a promising candidate due to its low power consumption, its non-volatility and its monolithic 3D integrability, which makes it possible to integrate memory and logic into the same device by exploiting the interaction of bi-stable nanomagnets with perpendicular magnetic anisotropy. Logic computation and signal synchronization are achieved by focus ion beam irradiation and by pinning domain walls in magnetic notches. However, in realistic circuits, the information storage and their read-out are crucial issues, often ignored in the exploration of beyond-CMOS devices. In this paper we address these issues by experimentally demonstrating a pNML memory element, whose read and write operations can be controlled by two independent pulsed currents. Our results prove the correct behavior of the proposed structure that enables high density memory embedded in the logic plane of 3D-integrated pNML circuits.

  1. A graphene-based non-volatile memory

    Science.gov (United States)

    Loisel, Loïc.; Maurice, Ange; Lebental, Bérengère; Vezzoli, Stefano; Cojocaru, Costel-Sorin; Tay, Beng Kang

    2015-09-01

    We report on the development and characterization of a simple two-terminal non-volatile graphene switch. After an initial electroforming step during which Joule heating leads to the formation of a nano-gap impeding the current flow, the devices can be switched reversibly between two well-separated resistance states. To do so, either voltage sweeps or pulses can be used, with the condition that VSET achieve reversible switching on more than 100 cycles with resistance ratio values of 104. This approach of graphene memory is competitive as compared to other graphene approaches such as redox of graphene oxide, or electro-mechanical switches with suspended graphene. We suggest a switching model based on a planar electro-mechanical switch, whereby electrostatic, elastic and friction forces are competing to switch devices ON and OFF, and the stability in the ON state is achieved by the formation of covalent bonds between the two stretched sides of the graphene, hence bridging the nano-gap. Developing a planar electro-mechanical switch enables to obtain the advantages of electro-mechanical switches while avoiding most of their drawbacks.

  2. Evidences of the role of the rodent hippocampus in the non-spatial recognition memory.

    Science.gov (United States)

    Yi, Jee Hyun; Park, Hye Jin; Kim, Byeong C; Kim, Dong Hyun; Ryu, Jong Hoon

    2016-01-15

    The hippocampus is a key region responsible for processing spatial information. However, the role of the hippocampus in non-spatial recognition memory is still controversial. In the present study, we performed hippocampal lesioning to address this controversy. The hippocampi of mice were disrupted with bilateral cytotoxic lesions, and standard object recognition (non-spatial) and object location recognition (spatial) were tested. In the habituation period, mice with hippocampal lesions needed a significantly longer time to fully habituate to the test box. Interestingly, after 4 days of habituation (insufficient habituation), the recognition index was similar in the sham and hippocampal lesion groups. However, exploration time was significantly shorter in mice with hippocampal lesions compared with that in control mice. Interestingly, if mice were subjected to a 10-days-long period of habituation (full habituation), the recognition index was significantly lower in mice with hippocampal lesions compared with that in control mice; however, total exploration time was similar in both groups. Furthermore, the object recognition test after full habituation occluded hippocampal long-term potentiation, a cellular model of memory. These results indicate that sufficient habituation is required to observe the effects of hippocampal lesions on object recognition memory. Copyright © 2015 Elsevier B.V. All rights reserved.

  3. A non-erasable magnetic memory based on the magnetic permeability

    International Nuclear Information System (INIS)

    Petrie, J.R.; Wieland, K.A.; Burke, R.A.; Newburgh, G.A.; Burnette, J.E.; Fischer, G.A.; Edelstein, A.S.

    2014-01-01

    A non-erasable memory based on using differences in the magnetic permeability is demonstrated. The method can potentially store information indefinitely. Initially the high permeability bits were 10–50 μm wide lines of sputtered permalloy (Ni 81 Fe 19 ) on a glass substrate. In a second writing technique a continuous film of amorphous, high permeability ferromagnetic Metglas (Fe 78 Si 13 B 9 ) was sputtered onto a similar glass substrate. Low permeability, crystalline 50 μm wide lines were then written in the film by laser heating. Both types of written media were read by applying an external probe field that is locally modified by the permeability of each bit. The modifications in the probe field were read by a nearby set of 10 micron wide magnetic tunnel junctions with a signal-to-noise ratio of up to 45 dB. This large response to changes in bit permeability is not altered after the media has been exposed to a 6400 Oe field. While being immediately applicable for data archiving and secure information storage, higher densities are possible with smaller read and write heads. - Highlights: • We demonstrate a non-erasable memory based on changes in the magnetic permeability. • Large change in permeability occur when Metglas changes from amorphous to crystalline. • Micron size regions of Metglas can be crystallized using a laser. • Permeability changes read by observing deviations of a probe field with an MTJ

  4. Investigating Solution Convergence in a Global Ocean Model Using a 2048-Processor Cluster of Distributed Shared Memory Machines

    Directory of Open Access Journals (Sweden)

    Chris Hill

    2007-01-01

    Full Text Available Up to 1920 processors of a cluster of distributed shared memory machines at the NASA Ames Research Center are being used to simulate ocean circulation globally at horizontal resolutions of 1/4, 1/8, and 1/16-degree with the Massachusetts Institute of Technology General Circulation Model, a finite volume code that can scale to large numbers of processors. The study aims to understand physical processes responsible for skill improvements as resolution is increased and to gain insight into what resolution is sufficient for particular purposes. This paper focuses on the computational aspects of reaching the technical objective of efficiently performing these global eddy-resolving ocean simulations. At 1/16-degree resolution the model grid contains 1.2 billion cells. At this resolution it is possible to simulate approximately one month of ocean dynamics in about 17 hours of wallclock time with a model timestep of two minutes on a cluster of four 512-way NUMA Altix systems. The Altix systems' large main memory and I/O subsystems allow computation and disk storage of rich sets of diagnostics during each integration, supporting the scientific objective to develop a better understanding of global ocean circulation model solution convergence as model resolution is increased.

  5. Dynamic Memory Model for Non-Stationary Optimization

    DEFF Research Database (Denmark)

    Bendtsen, Claus Nørgaard; Krink, Thiemo

    2002-01-01

    Real-world problems are often nonstationary and can cause cyclic, repetitive patterns in the search landscape. For this class of problems, we introduce a new GA with dynamic explicit memory, which showed superior performance compared to a classic GA and a previously introduced memory-based GA for...

  6. A sentence completion procedure as an alternative to the Autobiographical Memory Test for assessing overgeneral memory in non-clinical populations.

    Science.gov (United States)

    Raes, Filip; Hermans, Dirk; Williams, J Mark G; Eelen, Paul

    2007-07-01

    Overgeneral memory (OGM) has been proposed as a vulnerability factor for depression (Williams et al., 2007) or depressive reactivity to stressful life-events (e.g., Gibbs & Rude, 2004). Traditionally, a cue word procedure known as the Autobiographical Memory Test (AMT; Williams & Broadbent, 1986) is used to assess OGM. Although frequently and validly used in clinical populations, there is evidence suggesting that the AMT is insufficiently sensitive to measure OGM in non-clinical groups. Study 1 evaluated the usefulness of a sentence completion method to assess OGM in non-clinical groups, as an alternative to the AMT. Participants were 197 students who completed the AMT, the Sentence Completion for Events from the Past Test (SCEPT), a depression measure, and visual analogue scales assessing ruminative thinking. Results showed that the mean proportion of overgeneral responses was markedly higher for the SCEPT than for the standard AMT. Also, overgeneral responding on the SCEPT was positively associated to depression scores and depressive rumination scores, whereas overgeneral responding on the AMT was not. Results suggest that the SCEPT, relative to the AMT, is a more sensitive instrument to measure OGM, at least in non-clinical populations. Study 2 further showed that this enhanced sensitivity is most likely due to the omission of the instruction to be specific rather than to the SCEPT's sentence completion format (as opposed to free recall to cue words).

  7. Low working memory capacity is only spuriously related to poor reading comprehension.

    Science.gov (United States)

    Van Dyke, Julie A; Johns, Clinton L; Kukona, Anuenue

    2014-06-01

    Accounts of comprehension failure, whether in the case of readers with poor skill or when syntactic complexity is high, have overwhelmingly implicated working memory capacity as the key causal factor. However, extant research suggests that this position is not well supported by evidence on the span of active memory during online sentence processing, nor is it well motivated by models that make explicit claims about the memory mechanisms that support language processing. The current study suggests that sensitivity to interference from similar items in memory may provide a better explanation of comprehension failure. Through administration of a comprehensive skill battery, we found that the previously observed association of working memory with comprehension is likely due to the collinearity of working memory with many other reading-related skills, especially IQ. In analyses which removed variance shared with IQ, we found that receptive vocabulary knowledge was the only significant predictor of comprehension performance in our task out of a battery of 24 skill measures. In addition, receptive vocabulary and non-verbal memory for serial order-but not simple verbal memory or working memory-were the only predictors of reading times in the region where interference had its primary affect. We interpret these results in light of a model that emphasizes retrieval interference and the quality of lexical representations as key determinants of successful comprehension. Copyright © 2014 Elsevier B.V. All rights reserved.

  8. Distributed terascale volume visualization using distributed shared virtual memory

    KAUST Repository

    Beyer, Johanna; Hadwiger, Markus; Schneider, Jens; Jeong, Wonki; Pfister, Hanspeter

    2011-01-01

    Table 1 illustrates the impact of different distribution unit sizes, different screen resolutions, and numbers of GPU nodes. We use two and four GPUs (NVIDIA Quadro 5000 with 2.5 GB memory) and a mouse cortex EM dataset (see Figure 2) of resolution

  9. Verbal Working Memory Is Related to the Acquisition of Cross-Linguistic Phonological Regularities

    Directory of Open Access Journals (Sweden)

    Evelyn Bosma

    2017-09-01

    Full Text Available Closely related languages share cross-linguistic phonological regularities, such as Frisian -âld [ͻ:t] and Dutch -oud [ʱut], as in the cognate pairs kâld [kͻ:t] – koud [kʱut] ‘cold’ and wâld [wͻ:t] – woud [wʱut] ‘forest’. Within Bybee’s (1995, 2001, 2008, 2010 network model, these regularities are, just like grammatical rules within a language, generalizations that emerge from schemas of phonologically and semantically related words. Previous research has shown that verbal working memory is related to the acquisition of grammar, but not vocabulary. This suggests that verbal working memory supports the acquisition of linguistic regularities. In order to test this hypothesis we investigated whether verbal working memory is also related to the acquisition of cross-linguistic phonological regularities. For three consecutive years, 5- to 8-year-old Frisian-Dutch bilingual children (n = 120 were tested annually on verbal working memory and a Frisian receptive vocabulary task that comprised four cognate categories: (1 identical cognates, (2 non-identical cognates that either do or (3 do not exhibit a phonological regularity between Frisian and Dutch, and (4 non-cognates. The results showed that verbal working memory had a significantly stronger effect on cognate category (2 than on the other three cognate categories. This suggests that verbal working memory is related to the acquisition of cross-linguistic phonological regularities. More generally, it confirms the hypothesis that verbal working memory plays a role in the acquisition of linguistic regularities.

  10. Investigation of High-k Dielectrics and Metal Gate Electrodes for Non-volatile Memory Applications

    Science.gov (United States)

    Jayanti, Srikant

    Due to the increasing demand of non-volatile flash memories in the portable electronics, the device structures need to be scaled down drastically. However, the scalability of traditional floating gate structures beyond 20 nm NAND flash technology node is uncertain. In this regard, the use of metal gates and high-k dielectrics as the gate and interpoly dielectrics respectively, seem to be promising substitutes in order to continue the flash scaling beyond 20nm. Furthermore, research of novel memory structures to overcome the scaling challenges need to be explored. Through this work, the use of high-k dielectrics as IPDs in a memory structure has been studied. For this purpose, IPD process optimization and barrier engineering were explored to determine and improve the memory performance. Specifically, the concept of high-k / low-k barrier engineering was studied in corroboration with simulations. In addition, a novel memory structure comprising a continuous metal floating gate was investigated in combination with high-k blocking oxides. Integration of thin metal FGs and high-k dielectrics into a dual floating gate memory structure to result in both volatile and non-volatile modes of operation has been demonstrated, for plausible application in future unified memory architectures. The electrical characterization was performed on simple MIS/MIM and memory capacitors, fabricated through CMOS compatible processes. Various analytical characterization techniques were done to gain more insight into the material behavior of the layers in the device structure. In the first part of this study, interfacial engineering was investigated by exploring La2O3 as SiO2 scavenging layer. Through the silicate formation, the consumption of low-k SiO2 was controlled and resulted in a significant improvement in dielectric leakage. The performance improvement was also gauged through memory capacitors. In the second part of the study, a novel memory structure consisting of continuous metal FG

  11. Associations between olfactory identification and verbal memory in patients with schizophrenia, first-degree relatives, and non-psychiatric controls.

    Science.gov (United States)

    Compton, Michael T; McKenzie Mack, LaTasha; Esterberg, Michelle L; Bercu, Zachary; Kryda, Aimee D; Quintero, Luis; Weiss, Paul S; Walker, Elaine F

    2006-09-01

    Olfactory identification deficits and verbal memory impairments may represent trait markers for schizophrenia. The aims of this study were to: (1) assess olfactory identification in patients, first-degree relatives, and non-psychiatric controls, (2) determine differences in verbal memory functioning in these three groups, and (3) study correlations between olfactory identification and three specific verbal memory domains. A total of 106 participants-41 patients with schizophrenia or related disorders, 27 relatives, and 38 controls-were assessed with the University of Pennsylvania Smell Identification Test (UPSIT) and the Wechsler Memory Scale-Third Edition. Linear mixed models, accounting for clustering within families and relevant covariates, were used to compare scores across groups and to examine associations between olfactory identification ability and the three verbal memory domains. A group effect was apparent for all four measures, and relatives scored midway between patients and controls on all three memory domains. UPSIT scores were significantly correlated with all three forms of verbal memory. Age, verbal working memory, and auditory recognition delayed memory were independently predictive of UPSIT scores. Impairments in olfactory identification and verbal memory appear to represent two correlated risk markers for schizophrenia, and frontal-temporal deficits likely account for both impairments.

  12. Clustering predicts memory performance in networks of spiking and non-spiking neurons

    Directory of Open Access Journals (Sweden)

    Weiliang eChen

    2011-03-01

    Full Text Available The problem we address in this paper is that of finding effective and parsimonious patterns of connectivity in sparse associative memories. This problem must be addressed in real neuronal systems, so that results in artificial systems could throw light on real systems. We show that there are efficient patterns of connectivity and that these patterns are effective in models with either spiking or non-spiking neurons. This suggests that there may be some underlying general principles governing good connectivity in such networks. We also show that the clustering of the network, measured by Clustering Coefficient, has a strong linear correlation to the performance of associative memory. This result is important since a purely static measure of network connectivity appears to determine an important dynamic property of the network.

  13. 3D-LIN: A Configurable Low-Latency Interconnect for Multi-Core Clusters with 3D Stacked L1 Memory

    OpenAIRE

    Beanato, Giulia; Loi, Igor; De Micheli, Giovanni; Leblebici, Yusuf; Benini, Luca

    2012-01-01

    Shared L1 memories are of interest for tightly- coupled processor clusters in programmable accelerators as they provide a convenient shared memory abstraction while avoiding cache coherence overheads. The performance of a shared-L1 memory critically depends on the architecture of the low-latency interconnect between processors and memory banks, which needs to provide ultra-fast access to the largest possible L1 working set. The advent of 3D technology provides new opportunities to improve the...

  14. Multithreaded Asynchronous Graph Traversal for In-Memory and Semi-External Memory

    KAUST Repository

    Pearce, Roger

    2010-11-01

    Processing large graphs is becoming increasingly important for many domains such as social networks, bioinformatics, etc. Unfortunately, many algorithms and implementations do not scale with increasing graph sizes. As a result, researchers have attempted to meet the growing data demands using parallel and external memory techniques. We present a novel asynchronous approach to compute Breadth-First-Search (BFS), Single-Source-Shortest-Paths, and Connected Components for large graphs in shared memory. Our highly parallel asynchronous approach hides data latency due to both poor locality and delays in the underlying graph data storage. We present an experimental study applying our technique to both In-Memory and Semi-External Memory graphs utilizing multi-core processors and solid-state memory devices. Our experiments using synthetic and real-world datasets show that our asynchronous approach is able to overcome data latencies and provide significant speedup over alternative approaches. For example, on billion vertex graphs our asynchronous BFS scales up to 14x on 16-cores. © 2010 IEEE.

  15. Categorical and associative relations increase false memory relative to purely associative relations.

    Science.gov (United States)

    Coane, Jennifer H; McBride, Dawn M; Termonen, Miia-Liisa; Cutting, J Cooper

    2016-01-01

    The goal of the present study was to examine the contributions of associative strength and similarity in terms of shared features to the production of false memories in the Deese/Roediger-McDermott list-learning paradigm. Whereas the activation/monitoring account suggests that false memories are driven by automatic associative activation from list items to nonpresented lures, combined with errors in source monitoring, other accounts (e.g., fuzzy trace theory, global-matching models) emphasize the importance of semantic-level similarity, and thus predict that shared features between list and lure items will increase false memory. Participants studied lists of nine items related to a nonpresented lure. Half of the lists consisted of items that were associated but did not share features with the lure, and the other half included items that were equally associated but also shared features with the lure (in many cases, these were taxonomically related items). The two types of lists were carefully matched in terms of a variety of lexical and semantic factors, and the same lures were used across list types. In two experiments, false recognition of the critical lures was greater following the study of lists that shared features with the critical lure, suggesting that similarity at a categorical or taxonomic level contributes to false memory above and beyond associative strength. We refer to this phenomenon as a "feature boost" that reflects additive effects of shared meaning and association strength and is generally consistent with accounts of false memory that have emphasized thematic or feature-level similarity among studied and nonstudied representations.

  16. Parallel Monte Carlo reactor neutronics

    International Nuclear Information System (INIS)

    Blomquist, R.N.; Brown, F.B.

    1994-01-01

    The issues affecting implementation of parallel algorithms for large-scale engineering Monte Carlo neutron transport simulations are discussed. For nuclear reactor calculations, these include load balancing, recoding effort, reproducibility, domain decomposition techniques, I/O minimization, and strategies for different parallel architectures. Two codes were parallelized and tested for performance. The architectures employed include SIMD, MIMD-distributed memory, and workstation network with uneven interactive load. Speedups linear with the number of nodes were achieved

  17. Non-exponential resistive switching in Ag2S memristors: a key to nanometer-scale non-volatile memory devices.

    Science.gov (United States)

    Gubicza, Agnes; Csontos, Miklós; Halbritter, András; Mihály, György

    2015-03-14

    The dynamics of resistive switchings in nanometer-scale metallic junctions formed between an inert metallic tip and an Ag film covered by a thin Ag2S layer are investigated. Our thorough experimental analysis and numerical simulations revealed that the resistance change upon a switching bias voltage pulse exhibits a strongly non-exponential behaviour yielding markedly different response times at different bias levels. Our results demonstrate the merits of Ag2S nanojunctions as nanometer-scale non-volatile memory cells with stable switching ratios, high endurance as well as fast response to write/erase, and an outstanding stability against read operations at technologically optimal bias and current levels.

  18. Weighted Traffic Equilibrium Problem in Non Pivot Hilbert Spaces with Long Term Memory

    International Nuclear Information System (INIS)

    Giuffre, Sofia; Pia, Stephane

    2010-01-01

    In the paper we consider a weighted traffic equilibrium problem in a non-pivot Hilbert space and prove the equivalence between a weighted Wardrop condition and a variational inequality with long term memory. As an application we show, using recent results of the Senseable Laboratory at MIT, how wireless devices can be used to optimize the traffic equilibrium problem.

  19. Low working memory capacity is only spuriously related to poor reading comprehension

    Science.gov (United States)

    Van Dyke, Julie A.; Johns, Clinton L.; Kukona, Anuenue

    2014-01-01

    Accounts of comprehension failure, whether in the case of readers with poor skill or when syntactic complexity is high, have overwhelmingly implicated working memory capacity as the key causal factor. However, extant research suggests that this position is not well supported by evidence on the span of active memory during online sentence processing, nor is it well motivated by models that make explicit claims about the memory mechanisms that support language processing. The current study suggests that sensitivity to interference from similar items in memory may provide a better explanation of comprehension failure. Through administration of a comprehensive skill battery, we found that the previously observed association of working memory with comprehension is likely due to the collinearity of working memory with many other reading-related skills, especially IQ. In analyses which removed variance shared with IQ, we found that receptive vocabulary knowledge was the only significant predictor of comprehension performance in our task out of a battery of 24 skill measures. In addition, receptive vocabulary and non-verbal memory for serial order—but not simple verbal memory or working memory—were the only predictors of reading times in the region where interference had its primary affect. We interpret these results in light of a model that emphasizes retrieval interference and the quality of lexical representations as key determinants of successful comprehension. PMID:24657820

  20. A parallel algorithm for solving linear equations arising from one-dimensional network problems

    International Nuclear Information System (INIS)

    Mesina, G.L.

    1991-01-01

    One-dimensional (1-D) network problems, such as those arising from 1- D fluid simulations and electrical circuitry, produce systems of sparse linear equations which are nearly tridiagonal and contain a few non-zero entries outside the tridiagonal. Most direct solution techniques for such problems either do not take advantage of the special structure of the matrix or do not fully utilize parallel computer architectures. We describe a new parallel direct linear equation solution algorithm, called TRBR, which is especially designed to take advantage of this structure on MIMD shared memory machines. The new method belongs to a family of methods which split the coefficient matrix into the sum of a tridiagonal matrix T and a matrix comprised of the remaining coefficients R. Efficient tridiagonal methods are used to algebraically simplify the linear system. A smaller auxiliary subsystem is created and solved and its solution is used to calculate the solution of the original system. The newly devised BR method solves the subsystem. The serial and parallel operation counts are given for the new method and related earlier methods. TRBR is shown to have the smallest operation count in this class of direct methods. Numerical results are given. Although the algorithm is designed for one-dimensional networks, it has been applied successfully to three-dimensional problems as well. 20 refs., 2 figs., 4 tabs

  1. Infant auditory short-term memory for non-linguistic sounds.

    Science.gov (United States)

    Ross-Sheehy, Shannon; Newman, Rochelle S

    2015-04-01

    This research explores auditory short-term memory (STM) capacity for non-linguistic sounds in 10-month-old infants. Infants were presented with auditory streams composed of repeating sequences of either 2 or 4 unique instruments (e.g., flute, piano, cello; 350 or 700 ms in duration) followed by a 500-ms retention interval. These instrument sequences either stayed the same for every repetition (Constant) or changed by 1 instrument per sequence (Varying). Using the head-turn preference procedure, infant listening durations were recorded for each stream type (2- or 4-instrument sequences composed of 350- or 700-ms notes). Preference for the Varying stream was taken as evidence of auditory STM because detection of the novel instrument required memory for all of the instruments in a given sequence. Results demonstrate that infants listened longer to Varying streams for 2-instrument sequences, but not 4-instrument sequences, composed of 350-ms notes (Experiment 1), although this effect did not hold when note durations were increased to 700 ms (Experiment 2). Experiment 3 replicates and extends results from Experiments 1 and 2 and provides support for a duration account of capacity limits in infant auditory STM. Copyright © 2014 Elsevier Inc. All rights reserved.

  2. Developmental Abilities to Form Chunks in Immediate Memory and Its Non-Relationship to Span Development.

    Science.gov (United States)

    Mathy, Fabien; Fartoukh, Michael; Gauvrit, Nicolas; Guida, Alessandro

    2016-01-01

    Both adults and children -by the time they are 2-3 years old- have a general ability to recode information to increase memory efficiency. This paper aims to evaluate the ability of untrained children aged 6-10 years old to deploy such a recoding process in immediate memory. A large sample of 374 children were given a task of immediate serial report based on SIMON®, a classic memory game made of four colored buttons (red, green, yellow, blue) requiring players to reproduce a sequence of colors within which repetitions eventually occur. It was hypothesized that a primitive ability across all ages (since theoretically already available in toddlers) to detect redundancies allows the span to increase whenever information can be recoded on the fly. The chunkable condition prompted the formation of chunks based on the perceived structure of color repetition within to-be-recalled sequences of colors. Our result shows a similar linear improvement of memory span with age for both chunkable and non-chunkable conditions. The amount of information retained in immediate memory systematically increased for the groupable sequences across all age groups, independently of the average age-group span that was measured on sequences that contained fewer repetitions. This result shows that chunking gives young children an equal benefit as older children. We discuss the role of recoding in the expansion of capacity in immediate memory and the potential role of data compression in the formation of chunks in long-term memory.

  3. Discrete-Slots Models of Visual Working-Memory Response Times

    Science.gov (United States)

    Donkin, Christopher; Nosofsky, Robert M.; Gold, Jason M.; Shiffrin, Richard M.

    2014-01-01

    Much recent research has aimed to establish whether visual working memory (WM) is better characterized by a limited number of discrete all-or-none slots or by a continuous sharing of memory resources. To date, however, researchers have not considered the response-time (RT) predictions of discrete-slots versus shared-resources models. To complement the past research in this field, we formalize a family of mixed-state, discrete-slots models for explaining choice and RTs in tasks of visual WM change detection. In the tasks under investigation, a small set of visual items is presented, followed by a test item in 1 of the studied positions for which a change judgment must be made. According to the models, if the studied item in that position is retained in 1 of the discrete slots, then a memory-based evidence-accumulation process determines the choice and the RT; if the studied item in that position is missing, then a guessing-based accumulation process operates. Observed RT distributions are therefore theorized to arise as probabilistic mixtures of the memory-based and guessing distributions. We formalize an analogous set of continuous shared-resources models. The model classes are tested on individual subjects with both qualitative contrasts and quantitative fits to RT-distribution data. The discrete-slots models provide much better qualitative and quantitative accounts of the RT and choice data than do the shared-resources models, although there is some evidence for “slots plus resources” when memory set size is very small. PMID:24015956

  4. Working memory in children and adolescents with Down syndrome: evidence from a colour memory experiment.

    Science.gov (United States)

    Laws, Glynis

    2002-03-01

    This paper reports information on the visual and verbal short-term memory of individuals with Down syndrome. Colour memory in 16 children and adolescents with Down syndrome was compared with that of 16 typically developing children matched for receptive vocabulary. It was suggested that focal colours should be remembered more successfully than non-focal colours on the basis that the former could be remembered using a verbal recoding strategy. However, children with Down syndrome, for whom a deficit in verbal short-term memory makes the use of such a strategy unlikely, should remember focal and non-focal colours equally well. More importantly, if individuals with Down syndrome have more developed visual memory abilities than control children, they should outperform them in recognising non-focal colours. Although the group with Down syndrome demonstrated significantly better Corsi blocks performance than controls, and displayed similar levels of colour knowledge, no advantage for colour memory was found. Non-focal colours were remembered by individuals with Down syndrome as successfully as focal colours but there was no indication of a visual memory advantage over controls. Focal colours were remembered significantly more successfully than non-focal colours by the typically developing children. Their focal colour memory was significantly related to digit span, but only Corsi span was related to focal colour memory in the group with Down syndrome.

  5. Symbiosis of Executive and Selective Attention in Working Memory

    Directory of Open Access Journals (Sweden)

    André eVandierendonck

    2014-08-01

    Full Text Available The notion of working memory was introduced to account for the usage of short-term memory resources by other cognitive tasks such as reasoning, mental arithmetic, language comprehension, and many others. This collaboration between memory and other cognitive tasks can only be achieved by a dedicated working memory system that controls task coordination. To that end, working memory models include executive control. Nevertheless, other attention control systems may be involved in coordination of memory and cognitive tasks calling on memory resources. The present paper briefly reviews the evidence concerning the role of selective attention in working memory activities. A model is proposed in which selective attention control is directly linked to the executive control part of the working memory system. The model assumes that apart from storage of declarative information, the system also includes an executive working memory module that represents the current task set. Control processes are automatically triggered when particular conditions in these modules are met.. As each task set represents the parameter settings and the actions needed to achieve the task goal, it will depend on the specific settings and actions whether selective attention control will have to be shared among the active tasks. Only when such sharing is required, task performance will be affected by the capacity limits of the control system involved.

  6. Creating non-believed memories for recent autobiographical events

    OpenAIRE

    Clark, A; Nash, RA; Fincham, G; Mazzoni, G

    2012-01-01

    A recent study showed that many people spontaneously report vivid memories of events that they do not believe to have occurred [1]. In the present experiment we tested for the first time whether, after powerful false memories have been created, debriefing might leave behind nonbelieved memories for the fake events. In Session 1 participants imitated simple actions, and in Session 2 they saw doctored video-recordings containing clips that falsely suggested they had performed additional (fake) ...

  7. Unique and shared roles of the posterior parietal and dorsolateral prefrontal cortex in cognitive functions

    Directory of Open Access Journals (Sweden)

    Fumi eKatsuki

    2012-05-01

    Full Text Available The dorsolateral prefrontal and posterior parietal cortex are two parts of a broader brain network involved in the control of cognitive functions such as working memory, spatial attention, and decision making. The two areas share many functional properties and exhibit similar patterns of activation during the execution of mental operations. However, neurophysiological experiments in non-human primates have also documented subtle differences, revealing functional specialization within the fronto-parietal network. These differences include the ability of the dorsolateral prefrontal cortex to influence memory performance, attention allocation and motor responses to a greater extent, and to resist interference by distracting stimuli. In recent years, distinct cellular and anatomical differences have been identified, offering insights into how functional specialization is achieved. This article reviews the common functions and functional differences between the dorsolateral prefrontal and posterior parietal cortex, and their underlying mechanisms.

  8. Non-urgent accident and emergency department use as a socially shared custom: a qualitative study.

    Science.gov (United States)

    Keizer Beache, Simone; Guell, Cornelia

    2016-01-01

    We explored attitudes of non-urgent accident and emergency department (AED) patients in the middle-income healthcare setting Saint Vincent and the Grenadines (SVG) in the Caribbean to understand how and why they decide to seek emergency care and resist using primary care facilities. In 2013, we conducted 12 semistructured interviews with a purposive sample of non-urgent AED users from a variety of social backgrounds. Verbatim transcripts were analysed with a grounded theory approach. In this study, we found, first, that participants automatically chose to visit the AED and described this as a locally shared custom. Second, the healthcare system in SVG reinforced this habitual use of the AED, for example, by health professionals routinely referring non-urgent cases to the AED. Third, there was also some deliberate use; patients took convenience and the systemic encouragement into account to determine that the AED was the most appropriate choice for healthcare. We conclude that the attitudes and habits of the Vincentian non-urgent patient are major determinants of their AED use and are intricately linked to local, socially shared practices of AED use. Findings show that health services research should reconsider rational choice behaviour models and further explore customs of health-seeking. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  9. Static Memory Deduplication for Performance Optimization in Cloud Computing

    Directory of Open Access Journals (Sweden)

    Gangyong Jia

    2017-04-01

    Full Text Available In a cloud computing environment, the number of virtual machines (VMs on a single physical server and the number of applications running on each VM are continuously growing. This has led to an enormous increase in the demand of memory capacity and subsequent increase in the energy consumption in the cloud. Lack of enough memory has become a major bottleneck for scalability and performance of virtualization interfaces in cloud computing. To address this problem, memory deduplication techniques which reduce memory demand through page sharing are being adopted. However, such techniques suffer from overheads in terms of number of online comparisons required for the memory deduplication. In this paper, we propose a static memory deduplication (SMD technique which can reduce memory capacity requirement and provide performance optimization in cloud computing. The main innovation of SMD is that the process of page detection is performed offline, thus potentially reducing the performance cost, especially in terms of response time. In SMD, page comparisons are restricted to the code segment, which has the highest shared content. Our experimental results show that SMD efficiently reduces memory capacity requirement and improves performance. We demonstrate that, compared to other approaches, the cost in terms of the response time is negligible.

  10. Static Memory Deduplication for Performance Optimization in Cloud Computing.

    Science.gov (United States)

    Jia, Gangyong; Han, Guangjie; Wang, Hao; Yang, Xuan

    2017-04-27

    In a cloud computing environment, the number of virtual machines (VMs) on a single physical server and the number of applications running on each VM are continuously growing. This has led to an enormous increase in the demand of memory capacity and subsequent increase in the energy consumption in the cloud. Lack of enough memory has become a major bottleneck for scalability and performance of virtualization interfaces in cloud computing. To address this problem, memory deduplication techniques which reduce memory demand through page sharing are being adopted. However, such techniques suffer from overheads in terms of number of online comparisons required for the memory deduplication. In this paper, we propose a static memory deduplication (SMD) technique which can reduce memory capacity requirement and provide performance optimization in cloud computing. The main innovation of SMD is that the process of page detection is performed offline, thus potentially reducing the performance cost, especially in terms of response time. In SMD, page comparisons are restricted to the code segment, which has the highest shared content. Our experimental results show that SMD efficiently reduces memory capacity requirement and improves performance. We demonstrate that, compared to other approaches, the cost in terms of the response time is negligible.

  11. Visual memory and visual perception: when memory improves visual search.

    Science.gov (United States)

    Riou, Benoit; Lesourd, Mathieu; Brunel, Lionel; Versace, Rémy

    2011-08-01

    This study examined the relationship between memory and perception in order to identify the influence of a memory dimension in perceptual processing. Our aim was to determine whether the variation of typical size between items (i.e., the size in real life) affects visual search. In two experiments, the congruency between typical size difference and perceptual size difference was manipulated in a visual search task. We observed that congruency between the typical and perceptual size differences decreased reaction times in the visual search (Exp. 1), and noncongruency between these two differences increased reaction times in the visual search (Exp. 2). We argue that these results highlight that memory and perception share some resources and reveal the intervention of typical size difference on the computation of the perceptual size difference.

  12. Enhancing effects of acute psychosocial stress on priming of non-declarative memory in healthy young adults.

    Science.gov (United States)

    Hidalgo, Vanesa; Villada, Carolina; Almela, Mercedes; Espín, Laura; Gómez-Amor, Jesús; Salvador, Alicia

    2012-05-01

    Social stress affects cognitive processes in general, and memory performance in particular. However, the direction of these effects has not been clearly established, as it depends on several factors. Our aim was to determine the impact of the hypothalamus-pituitary-adrenal (HPA) axis and sympathetic nervous system (SNS) reactivity to psychosocial stress on short-term non-declarative memory and declarative memory performance. Fifty-two young participants (18 men, 34 women) were subjected to the Trier Social Stress Task (TSST) and a control condition in a crossover design. Implicit memory was assessed by a priming test, and explicit memory was assessed by the Rey Auditory Verbal Learning Test (RAVLT). The TSST provoked greater salivary cortisol and salivary alpha-amylase (sAA) responses than the control task. Men had a higher cortisol response to stress than women, but no sex differences were found for sAA release. Stress was associated with an enhancement of priming but did not affect declarative memory. Additionally, the enhancement on the priming test was higher in those whose sAA levels increased more in response to stress (r(48) = 0.339, p = 0.018). Our results confirm an effect of acute stress on priming, and that this effect is related to SNS activity. In addition, they suggest a different relationship between stress biomarkers and the different memory systems.

  13. Blurring of emotional and non-emotional memories by taxing working memory during recall

    NARCIS (Netherlands)

    van den Hout, Marcel A.; Eidhof, Marloes B.; Verboom, Jesse; Littel, Marianne; Engelhard, Iris M.

    2014-01-01

    Memories that are recalled while working memory (WM) is taxed, e.g., by making eye movements (EM), become blurred during the recall + EM and later recall, without EM. This may help to explain the effects of Eye Movement and Desensitisation and Reprocessing (EMDR) in the treatment of post-traumatic

  14. Large scale integration of flexible non-volatile, re-addressable memories using P(VDF-TrFE) and amorphous oxide transistors

    International Nuclear Information System (INIS)

    Gelinck, Gerwin H; Cobb, Brian; Van Breemen, Albert J J M; Myny, Kris

    2015-01-01

    Ferroelectric polymers and amorphous metal oxide semiconductors have emerged as important materials for re-programmable non-volatile memories and high-performance, flexible thin-film transistors, respectively. However, realizing sophisticated transistor memory arrays has proven to be a challenge, and demonstrating reliable writing to and reading from such a large scale memory has thus far not been demonstrated. Here, we report an integration of ferroelectric, P(VDF-TrFE), transistor memory arrays with thin-film circuitry that can address each individual memory element in that array. n-type indium gallium zinc oxide is used as the active channel material in both the memory and logic thin-film transistors. The maximum process temperature is 200 °C, allowing plastic films to be used as substrate material. The technology was scaled up to 150 mm wafer size, and offers good reproducibility, high device yield and low device variation. This forms the basis for successful demonstration of memory arrays, read and write circuitry, and the integration of these. (paper)

  15. Developmental Abilities to Form Chunks in Immediate Memory and its Non-Relationship to Span Development

    Directory of Open Access Journals (Sweden)

    Fabien eMathy

    2016-02-01

    Full Text Available Both adults and children --by the time they are two to three years old-- have a general ability to recode information to increase memory efficiency. This paper aims to evaluate the ability of untrained children aged six to ten years old to deploy such a recoding process in immediate memory. A large sample of 374 children were given a task of immediate serial report based on SIMON, a classic memory game made of four colored buttons (red, green, yellow, blue requiring players to reproduce a sequence of colors within which repetitions eventually occur. It was hypothesized that a primitive ability across all ages (since theoretically already available in toddlers to detect redundancies allows the span to increase whenever information can be recoded on the fly. The chunkable condition prompted the formation of chunks based on the perceived structure of color repetition within to-be-recalled sequences of colors. Our result shows a similar linear improvement of memory span with age for both chunkable and non-chunkable conditions. The amount of information retained in immediate memory systematically increased for the groupable sequences across all age groups, independently of the average age-group span that was measured on sequences that contained fewer repetitions. This result shows that chunking gives young children an equal benefit as older children. We discuss the role of recoding in the expansion of capacity in immediate memory and the potential role of data compression in the formation of chunks in long-term memory.

  16. Using memories to understand others: the role of episodic memory in theory of mind impairment in Alzheimer disease.

    Science.gov (United States)

    Moreau, Noémie; Viallet, François; Champagne-Lavau, Maud

    2013-09-01

    Theory of mind (TOM) refers to the ability to infer one's own and other's mental states. Growing evidence highlighted the presence of impairment on the most complex TOM tasks in Alzheimer disease (AD). However, how TOM deficit is related to other cognitive dysfunctions and more specifically to episodic memory impairment - the prominent feature of this disease - is still under debate. Recent neuroanatomical findings have shown that remembering past events and inferring others' states of mind share the same cerebral network suggesting the two abilities share a common process .This paper proposes to review emergent evidence of TOM impairment in AD patients and to discuss the evidence of a relationship between TOM and episodic memory. We will discuss about AD patients' deficit in TOM being possibly related to their difficulties in recollecting memories of past social interactions. Copyright © 2013 Elsevier B.V. All rights reserved.

  17. Feature-based memory-driven attentional capture: Visual working memory content affects visual attention.

    NARCIS (Netherlands)

    Olivers, C.N.L.; Meijer, F.; Theeuwes, J.

    2006-01-01

    In 7 experiments, the authors explored whether visual attention (the ability to select relevant visual information) and visual working memory (the ability to retain relevant visual information) share the same content representations. The presence of singleton distractors interfered more strongly

  18. Effect of Non-specific HCN1 Blocker CsCl on Spatial Learning and Memory in Mouse

    Institute of Scientific and Technical Information of China (English)

    YU Xin; GUO Lianjun; YIN Guangfu; ZONG Xiangang; AI Yongxun

    2006-01-01

    It has been suggested that HCN1 is primarily expressed in hippocampus, however little is known about its effects on spatial learning and memory. In the present study, we investigated the effects of non-specific HCN1 blocker CsCl on spatial learning and memory by using Morris water maze and in situ hybridization in mice. The results showed CsCl 160 mg/kg ip for 4 days, and the mean escape latency was 34 s longer than that of normal control (P<0.01). In hippocampal tissues, staining for the HCN1 mRNA was stronger in the DG and CA1 region of the hippocampus (P <0.05, P<0.05, when CsCl-administration group was compared with normal group). Our results suggested that CsCl could significantly affect the spatial learning and memory in mice, and HCN channel is involved in the process of learning and memory.

  19. The evolution of episodic memory

    Science.gov (United States)

    Allen, Timothy A.; Fortin, Norbert J.

    2013-01-01

    One prominent view holds that episodic memory emerged recently in humans and lacks a “(neo)Darwinian evolution” [Tulving E (2002) Annu Rev Psychol 53:1–25]. Here, we review evidence supporting the alternative perspective that episodic memory has a long evolutionary history. We show that fundamental features of episodic memory capacity are present in mammals and birds and that the major brain regions responsible for episodic memory in humans have anatomical and functional homologs in other species. We propose that episodic memory capacity depends on a fundamental neural circuit that is similar across mammalian and avian species, suggesting that protoepisodic memory systems exist across amniotes and, possibly, all vertebrates. The implication is that episodic memory in diverse species may primarily be due to a shared underlying neural ancestry, rather than the result of evolutionary convergence. We also discuss potential advantages that episodic memory may offer, as well as species-specific divergences that have developed on top of the fundamental episodic memory architecture. We conclude by identifying possible time points for the emergence of episodic memory in evolution, to help guide further research in this area. PMID:23754432

  20. Attentional and non-attentional systems in the maintenance of verbal information in working memory: the executive and phonological loops

    OpenAIRE

    Camos Valerie; Barrouillet Pierre

    2014-01-01

    Working memory is the structure devoted to the maintenance of information at short term during concurrent processing activities. In this respect, the question regarding the nature of the mechanisms and systems fulfilling this maintenance function is of particular importance and has received various responses in the recent past. In the time-based resource-sharing (TBRS) model, we suggest that only two systems sustain the maintenance of information at the short term, counteracting the deleterio...

  1. Operational Semantics of a Weak Memory Model inspired by Go

    OpenAIRE

    Fava, Daniel Schnetzer; Stolz, Volker; Valle, Stian

    2017-01-01

    A memory model dictates which values may be returned when reading from memory. In a parallel computing setting, the memory model affects how processes communicate through shared memory. The design of a proper memory model is a balancing act. On one hand, memory models must be lax enough to allow common hardware and compiler optimizations. On the other, the more lax the model, the harder it is for developers to reason about their programs. In order to alleviate the burden on programmers, a wea...

  2. Episodic memory in nonhuman animals.

    Science.gov (United States)

    Templer, Victoria L; Hampton, Robert R

    2013-09-09

    Episodic memories differ from other types of memory because they represent aspects of the past not present in other memories, such as the time, place, or social context in which the memories were formed. Focus on phenomenal experience in human memory, such as the sense of 'having been there', has resulted in conceptualizations of episodic memory that are difficult or impossible to apply to nonhuman species. It is therefore a significant challenge for investigators to agree on objective behavioral criteria that can be applied in nonhuman animals and still capture features of memory thought to be critical in humans. Some investigators have attempted to use neurobiological parallels to bridge this gap; however, defining memory types on the basis of the brain structures involved rather than on identified cognitive mechanisms risks missing crucial functional aspects of episodic memory, which are ultimately behavioral. The most productive way forward is likely a combination of neurobiology and sophisticated cognitive testing that identifies the mental representations present in episodic memory. Investigators that have refined their approach from asking the naïve question "do nonhuman animals have episodic memory" to instead asking "what aspects of episodic memory are shared by humans and nonhumans" are making progress. Copyright © 2013 Elsevier Ltd. All rights reserved.

  3. Emerging Non-volatile Memory Technologies Exploration Flow for Processor Architecture

    OpenAIRE

    senni , sophiane; Torres , Lionel; Sassatelli , Gilles; Gamatié , Abdoulaye; Mussard , Bruno

    2015-01-01

    International audience; Most die area of today's systems-on-chips is occupied by memories. Hence, a significant proportion of total power is spent on memory systems. Moreover, since processing elements have to be fed with instructions and data from memories, memory plays a key role for system's performance. As a result, memories are a critical part of future embedded systems. Continuing CMOS scaling leads to manufacturing constraints and power consumption issues for the current three main mem...

  4. A loss in the family: silence, memory, and narrative identity after bereavement.

    Science.gov (United States)

    Baddeley, Jenna; Singer, Jefferson A

    2010-02-01

    Grief theories have converged on the idea that the sharing of autobiographical memory narratives of loss and of the deceased person, especially within the family, is a major way to maintain and/or reconfigure a healthy sense of identity after a loss. In contrast, we examine unspoken memory-the withholding of socially sharing autobiographical memories about the loss and the departed family member-as a way to either conserve an existing narrative identity or assert a new narrative identity. Depending on its context and function, silence about memory can play either a positive or negative role in an individual griever's ongoing narrative identity, as well as in the larger family narrative in which the griever's identity is embedded.

  5. Rethinking the Sharing Economy

    DEFF Research Database (Denmark)

    Kornberger, Martin; Leixnering, Stephan; Meyer, Renate

    2017-01-01

    Our paper focuses on a non-standard sharing example that harbors the potential to disrupt received wisdom on the sharing economy. While originally entering the field to analyze, broadly from a governance perspective, how the 2015 refugee crisis was handled in Vienna, Austria, we found that the no...... of sharing: economic and moral. Our paper contributes to this Special Issue of the Academy of Management Discoveries by highlighting and explaining the two-fold economic and moral nature of sharing and the organization of sharing between movement and platform....... sharing of resources (i.e., the economic dimension): the sharing of a distinct concern (i.e., the moral dimension of sharing). Our discovery exemplifies such a moral dimension that is rather different from the status quo materialistic treatments focusing on economic transactions and property rights...

  6. Memory Tests with Ambient Odours "Make Scents"

    OpenAIRE

    Nord, Marie

    2015-01-01

    An ambient odour of anise was used in a context-dependent memory study with three different memory tasks targeting both declarative and non-declarative memory functions. Declarative memory was assessed by means of two episodic memory tests; recall of a prose text and a complex figure. Priming was used to assess the non-declarative memory with word fragment completion. Memory was tested immediately and after 48 hours. The results showed a significant main effect of context (odour or not) for a...

  7. Synapsin determines memory strength after punishment- and relief-learning.

    Science.gov (United States)

    Niewalda, Thomas; Michels, Birgit; Jungnickel, Roswitha; Diegelmann, Sören; Kleber, Jörg; Kähne, Thilo; Gerber, Bertram

    2015-05-13

    Adverse life events can induce two kinds of memory with opposite valence, dependent on timing: "negative" memories for stimuli preceding them and "positive" memories for stimuli experienced at the moment of "relief." Such punishment memory and relief memory are found in insects, rats, and man. For example, fruit flies (Drosophila melanogaster) avoid an odor after odor-shock training ("forward conditioning" of the odor), whereas after shock-odor training ("backward conditioning" of the odor) they approach it. Do these timing-dependent associative processes share molecular determinants? We focus on the role of Synapsin, a conserved presynaptic phosphoprotein regulating the balance between the reserve pool and the readily releasable pool of synaptic vesicles. We find that a lack of Synapsin leaves task-relevant sensory and motor faculties unaffected. In contrast, both punishment memory and relief memory scores are reduced. These defects reflect a true lessening of associative memory strength, as distortions in nonassociative processing (e.g., susceptibility to handling, adaptation, habituation, sensitization), discrimination ability, and changes in the time course of coincidence detection can be ruled out as alternative explanations. Reductions in punishment- and relief-memory strength are also observed upon an RNAi-mediated knock-down of Synapsin, and are rescued both by acutely restoring Synapsin and by locally restoring it in the mushroom bodies of mutant flies. Thus, both punishment memory and relief memory require the Synapsin protein and in this sense share genetic and molecular determinants. We note that corresponding molecular commonalities between punishment memory and relief memory in humans would constrain pharmacological attempts to selectively interfere with excessive associative punishment memories, e.g., after traumatic experiences. Copyright © 2015 Niewalda et al.

  8. Synapsin Determines Memory Strength after Punishment- and Relief-Learning

    Science.gov (United States)

    Niewalda, Thomas; Michels, Birgit; Jungnickel, Roswitha; Diegelmann, Sören; Kleber, Jörg; Kähne, Thilo

    2015-01-01

    Adverse life events can induce two kinds of memory with opposite valence, dependent on timing: “negative” memories for stimuli preceding them and “positive” memories for stimuli experienced at the moment of “relief.” Such punishment memory and relief memory are found in insects, rats, and man. For example, fruit flies (Drosophila melanogaster) avoid an odor after odor-shock training (“forward conditioning” of the odor), whereas after shock-odor training (“backward conditioning” of the odor) they approach it. Do these timing-dependent associative processes share molecular determinants? We focus on the role of Synapsin, a conserved presynaptic phosphoprotein regulating the balance between the reserve pool and the readily releasable pool of synaptic vesicles. We find that a lack of Synapsin leaves task-relevant sensory and motor faculties unaffected. In contrast, both punishment memory and relief memory scores are reduced. These defects reflect a true lessening of associative memory strength, as distortions in nonassociative processing (e.g., susceptibility to handling, adaptation, habituation, sensitization), discrimination ability, and changes in the time course of coincidence detection can be ruled out as alternative explanations. Reductions in punishment- and relief-memory strength are also observed upon an RNAi-mediated knock-down of Synapsin, and are rescued both by acutely restoring Synapsin and by locally restoring it in the mushroom bodies of mutant flies. Thus, both punishment memory and relief memory require the Synapsin protein and in this sense share genetic and molecular determinants. We note that corresponding molecular commonalities between punishment memory and relief memory in humans would constrain pharmacological attempts to selectively interfere with excessive associative punishment memories, e.g., after traumatic experiences. PMID:25972175

  9. Influence of non-spatial working memory demands on reach-grasp responses to loss of balance: Effects of age and fall risk.

    Science.gov (United States)

    Westlake, Kelly P; Johnson, Brian P; Creath, Robert A; Neff, Rachel M; Rogers, Mark W

    2016-03-01

    Reactive balance recovery strategies following an unexpected loss of balance are crucial to the prevention of falls, head trauma and other major injuries in older adults. While a longstanding focus has been on understanding lower limb recovery responses, the upper limbs also play a critical role. However, when a fall occurs, little is known about the role of memory and attention shifting on the reach to grasp recovery strategy and what factors determine the speed and precision of this response beyond simple reaction time. The objective of this study was to compare response time and accuracy of a stabilizing grasp following a balance perturbation in older adult fallers compared to non-fallers and younger adults while loading the processing demands of non-spatial, verbal working memory. Working memory was engaged with a progressively challenging verb-generation task that was interrupted by an unexpected sideways platform perturbation and a pre-instructed reach to grasp response. Results revealed that the older adults, particularly those at high fall risk, demonstrated significantly increased movement time to handrail contact and grasping errors during conditions in which non-spatial memory was actively engaged. These findings provide preliminary evidence of the cognitive deficit in attention shifting away from an ongoing working memory task that underlies delayed and inaccurate protective reach to grasp responses in older adult fallers. Copyright © 2016 Elsevier B.V. All rights reserved.

  10. Resource allocation models of auditory working memory.

    Science.gov (United States)

    Joseph, Sabine; Teki, Sundeep; Kumar, Sukhbinder; Husain, Masud; Griffiths, Timothy D

    2016-06-01

    Auditory working memory (WM) is the cognitive faculty that allows us to actively hold and manipulate sounds in mind over short periods of time. We develop here a particular perspective on WM for non-verbal, auditory objects as well as for time based on the consideration of possible parallels to visual WM. In vision, there has been a vigorous debate on whether WM capacity is limited to a fixed number of items or whether it represents a limited resource that can be allocated flexibly across items. Resource allocation models predict that the precision with which an item is represented decreases as a function of total number of items maintained in WM because a limited resource is shared among stored objects. We consider here auditory work on sequentially presented objects of different pitch as well as time intervals from the perspective of dynamic resource allocation. We consider whether the working memory resource might be determined by perceptual features such as pitch or timbre, or bound objects comprising multiple features, and we speculate on brain substrates for these behavioural models. This article is part of a Special Issue entitled SI: Auditory working memory. Copyright © 2016 Elsevier B.V. All rights reserved.

  11. Imbalance of incidental encoding across tasks: an explanation for non-memory-related hippocampal activations?

    Science.gov (United States)

    Reas, Emilie T; Brewer, James B

    2013-11-01

    Functional neuroimaging studies have increasingly noted hippocampal activation associated with a variety of cognitive functions--such as decision making, attention, perception, incidental learning, prediction, and working memory--that have little apparent relation to declarative memory. Such findings might be difficult to reconcile with classical hippocampal lesion studies that show remarkable sparing of cognitive functions outside the realm of declarative memory. Even the oft-reported hippocampal activations during confident episodic retrieval are not entirely congruent with evidence that hippocampal lesions reliably impair encoding but inconsistently affect retrieval. Here we explore the conditions under which the hippocampus responds during episodic recall and recognition. Our findings suggest that anterior hippocampal activity may be related to the imbalance of incidental encoding across tasks and conditions rather than due to retrieval per se. Incidental encoding and hippocampal activity may be reduced during conditions where retrieval requires greater attentional engagement. During retrieval, anterior hippocampal activity decreases with increasing search duration and retrieval effort, and this deactivation corresponds with a coincident impaired encoding of the external environment (Israel, Seibert, Black, & Brewer, 2010; Reas & Brewer, 2013; Reas, Gimbel, Hales, & Brewer, 2011). In light of this emerging evidence, we discuss the proposal that some hippocampal activity observed during memory retrieval, or other non-memory conditions, may in fact be attributable to concomitant encoding activity that is regulated by the attentional demands of the principal task. PsycINFO Database Record (c) 2013 APA, all rights reserved.

  12. Design issues for block-oriented reflective memory system

    Energy Technology Data Exchange (ETDEWEB)

    Jovanovic, M; Tomasevic, M; Milutinovic, V

    1996-12-31

    The block-oriented reflective memory (BORM) system represents a modular bus-based system architecture that belongs to the class of distributed shared memory systems. The results of the evaluation study of the BORM implementation strategies and design decisions in regard to the different values of input parameters are presented. 5 refs.

  13. Using the Behaviour Change Wheel to explore potential strategies for minimising harms from non-recreational prescription medicine sharing.

    Science.gov (United States)

    Beyene, Kebede; Aspden, Trudi; Sheridan, Janie

    2018-04-05

    Non-recreational sharing of prescribed medicines can have positive outcomes under some circumstances, but can also result in negative health outcomes. This paper describes a theoretically underpinned and systematic approach to exploring potential interventions to reduce harm. Individual, semi-structured, face-to-face interviews were conducted with purposively sampled pharmacists (n = 8), doctors (n = 4), nurses (n = 6) and patients (n = 17) from Auckland, New Zealand. Thematic analysis of suggested interventions was undertaken, and these were linked to relevant intervention functions of the Behaviour Change Wheel (BCW). Analysis of previously defined factors influencing sharing were mapped onto the "Capability, Opportunity, Motivation - Behaviour" (COM-B) model of the BCW. COM-B analysis of the factors influencing sharing behaviour revealed: (i) 'Capability'-related factors, such as patient misconceptions about the safety of certain medicines, forgetting to refill or to carry around own medicines, and lack of knowledge about safe disposal of leftover/unused medicines; (ii) 'Opportunity'-related factors included lack of access to health facilities, lack of time to see a doctor, linguistic and cultural barriers, lack of information from healthcare providers about risks of sharing, and having leftover/unused medicines, and (iii) 'Motivation'-related factors included altruism, illness denial, embarrassment about seeing a doctor, not carrying around own medicines, habit, and fear of negative health consequences from missing a few doses of medicines. Five intervention functions of the BCW appear to be the most likely candidates for targeting the factors which relate to medicine sharing. These are education, persuasion, enablement, environmental restructuring and restriction. A variety of personal and external factors which influence sharing behaviours were identified, and the BCW provided a means by which theoretically underpinned interventions to reduce

  14. Socially shared mourning: construction and consumption of collective memory

    Science.gov (United States)

    Harju, Anu

    2015-04-01

    Social media, such as YouTube, is increasingly a site of collective remembering where personal tributes to celebrity figures become sites of public mourning. YouTube, especially, is rife with celebrity commemorations. Examining fans' online mourning practices on YouTube, this paper examines video tributes dedicated to the late Steve Jobs, with a focus on collective remembering and collective construction of memory. Combining netnography with critical discourse analysis, the analysis focuses on the user comments where the past unfolds in interaction and meanings are negotiated and contested. The paper argues that celebrity death may, for avid fans, be a source of disenfranchised grief, a type of grief characterised by inadequate social support, usually arising from lack of empathy for the loss. The paper sheds light on the functions digital memorials have for mourning fans (and fandom) and argues that social media sites have come to function as spaces of negotiation, legitimisation and alleviation of disenfranchised grief. It is also suggested that when it comes to disenfranchised grief, and grief work generally, the concept of community be widened to include communities of weak ties, a typical form of communal belonging on social media.

  15. Effects of Violent and Non-Violent Computer Game Content on Memory Performance in Adolescents

    Science.gov (United States)

    Maass, Asja; Kollhorster, Kirsten; Riediger, Annemarie; MacDonald, Vanessa; Lohaus, Arnold

    2011-01-01

    The present study focuses on the short-term effects of electronic entertainment media on memory and learning processes. It compares the effects of violent versus non-violent computer game content in a condition of playing and in another condition of watching the same game. The participants consisted of 83 female and 94 male adolescents with a mean…

  16. [Neuroscience and collective memory: memory schemas linking brain, societies and cultures].

    Science.gov (United States)

    Legrand, Nicolas; Gagnepain, Pierre; Peschanski, Denis; Eustache, Francis

    2015-01-01

    During the last two decades, the effect of intersubjective relationships on cognition has been an emerging topic in cognitive neurosciences leading through a so-called "social turn" to the formation of new domains integrating society and cultures to this research area. Such inquiry has been recently extended to collective memory studies. Collective memory refers to shared representations that are constitutive of the identity of a group and distributed among all its members connected by a common history. After briefly describing those evolutions in the study of human brain and behaviors, we review recent researches that have brought together cognitive psychology, neuroscience and social sciences into collective memory studies. Using the reemerging concept of memory schema, we propose a theoretical framework allowing to account for collective memories formation with a specific focus on the encoding process of historical events. We suggest that (1) if the concept of schema has been mainly used to describe rather passive framework of knowledge, such structure may also be implied in more active fashions in the understanding of significant collective events. And, (2) if some schema researches have restricted themselves to the individual level of inquiry, we describe a strong coherence between memory and cultural frameworks. Integrating the neural basis and properties of memory schema to collective memory studies may pave the way toward a better understanding of the reciprocal interaction between individual memories and cultural resources such as media or education. © Société de Biologie, 2016.

  17. Glucose but not insulin or insulin resistance is associated with memory performance in middle-aged non-diabetic women: a cross sectional study.

    Science.gov (United States)

    Backeström, Anna; Eriksson, Sture; Nilsson, Lars-Göran; Olsson, Tommy; Rolandsson, Olov

    2015-01-01

    Elevated concentrations of plasma glucose appear to play a role in memory impairment, and it has been suggested that insulin might also have a negative effect on cognitive function. Our aim was to study whether glucose, insulin or insulin resistance are associated with episodic or semantic memory in a non-diabetic and non-demented population. We linked and matched two population-based data sets identifying 291 participants (127 men and 164 women, mean age of 50.7 ± 8.0 years). Episodic and semantic memory functions were tested, and fasting plasma insulin, fasting plasma glucose, and 2-hour glucose were analysed along with other potential influencing factors on memory function. Since men and women display different results on memory functions they were analysed separately. Insulin resistance was calculated using the HOMA-IR method. A higher fasting plasma glucose concentration was associated with lower episodic memory in women (r = -0.08, 95% CI -0.14; -0.01), but not in men. Plasma insulin levels and insulin resistance were not associated with episodic or semantic memory in women or in men after adjustments for age, fasting glucose, 2-hour glucose, BMI, education, smoking, cardiovascular disease, hypertension, cholesterol, and physical activity. This indicates that fasting glucose but not insulin, might have impact on episodic memory in middle-aged women.

  18. Contributions of Medial Temporal Lobe and Striatal Memory Systems to Learning and Retrieving Overlapping Spatial Memories

    Science.gov (United States)

    Brown, Thackery I.; Stern, Chantal E.

    2014-01-01

    Many life experiences share information with other memories. In order to make decisions based on overlapping memories, we need to distinguish between experiences to determine the appropriate behavior for the current situation. Previous work suggests that the medial temporal lobe (MTL) and medial caudate interact to support the retrieval of overlapping navigational memories in different contexts. The present study used functional magnetic resonance imaging (fMRI) in humans to test the prediction that the MTL and medial caudate play complementary roles in learning novel mazes that cross paths with, and must be distinguished from, previously learned routes. During fMRI scanning, participants navigated virtual routes that were well learned from prior training while also learning new mazes. Critically, some routes learned during scanning shared hallways with those learned during pre-scan training. Overlap between mazes required participants to use contextual cues to select between alternative behaviors. Results demonstrated parahippocampal cortex activity specific for novel spatial cues that distinguish between overlapping routes. The hippocampus and medial caudate were active for learning overlapping spatial memories, and increased their activity for previously learned routes when they became context dependent. Our findings provide novel evidence that the MTL and medial caudate play complementary roles in the learning, updating, and execution of context-dependent navigational behaviors. PMID:23448868

  19. Collective Empowerment through Local Memory Websites : balancing between group interest and common good

    NARCIS (Netherlands)

    M. de Kreek (Mike)

    2017-01-01

    markdownabstractThe research in this dissertation explores the social significance of local memory websites. Local memory websites offer local residents a platform where they collect and share memories about particular places or experiences in their neighbourhoods and districts. Following a

  20. NVL-C: Static Analysis Techniques for Efficient, Correct Programming of Non-Volatile Main Memory Systems

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seyong [ORNL; Vetter, Jeffrey S [ORNL

    2016-01-01

    Computer architecture experts expect that non-volatile memory (NVM) hierarchies will play a more significant role in future systems including mobile, enterprise, and HPC architectures. With this expectation in mind, we present NVL-C: a novel programming system that facilitates the efficient and correct programming of NVM main memory systems. The NVL-C programming abstraction extends C with a small set of intuitive language features that target NVM main memory, and can be combined directly with traditional C memory model features for DRAM. We have designed these new features to enable compiler analyses and run-time checks that can improve performance and guard against a number of subtle programming errors, which, when left uncorrected, can corrupt NVM-stored data. Moreover, to enable recovery of data across application or system failures, these NVL-C features include a flexible directive for specifying NVM transactions. So that our implementation might be extended to other compiler front ends and languages, the majority of our compiler analyses are implemented in an extended version of LLVM's intermediate representation (LLVM IR). We evaluate NVL-C on a number of applications to show its flexibility, performance, and correctness.

  1. Shared cognitive impairments and aetiology in ADHD symptoms and reading difficulties.

    Directory of Open Access Journals (Sweden)

    Celeste H M Cheung

    Full Text Available Twin studies indicate that the frequent co-occurrence of attention deficit hyperactivity disorder (ADHD symptoms and reading difficulties (RD is largely due to shared genetic influences. Both disorders are associated with multiple cognitive impairments, but it remains unclear which cognitive impairments share the aetiological pathway, underlying the co-occurrence of the symptoms. We address this question using a sample of twins aged 7-10 and a range of cognitive measures previously associated with ADHD symptoms or RD.We performed multivariate structural equation modelling analyses on parent and teacher ratings on the ADHD symptom domains of inattention and hyperactivity, parent ratings on RD, and cognitive data on response inhibition (commission errors, CE, reaction time variability (RTV, verbal short-term memory (STM, working memory (WM and choice impulsivity, from a population sample of 1312 twins aged 7-10 years.Three cognitive processes showed significant phenotypic and genetic associations with both inattention symptoms and RD: RTV, verbal WM and STM. While STM captured only 11% of the shared genetic risk between inattention and RD, the estimates increased somewhat for WM (21% and RTV (28%; yet most of the genetic sharing between inattention and RD remained unaccounted for in each case.While response inhibition and choice impulsivity did not emerge as important cognitive processes underlying the co-occurrence between ADHD symptoms and RD, RTV and verbal memory processes separately showed significant phenotypic and genetic associations with both inattention symptoms and RD. Future studies employing longitudinal designs will be required to investigate the developmental pathways and direction of causality further.

  2. Social contagion of correct and incorrect information in memory.

    Science.gov (United States)

    Rush, Ryan A; Clark, Steven E

    2014-01-01

    The present study examines how discussion between individuals regarding a shared memory affects their subsequent individual memory reports. In three experiments pairs of participants recalled items from photographs of common household scenes, discussed their recall with each other, and then recalled the items again individually. Results showed that after the discussion. individuals recalled more correct items and more incorrect items, with very small non-significant increases, or no change, in recall accuracy. The information people were exposed to during the discussion was generally accurate, although not as accurate as individuals' initial recall. Individuals incorporated correct exposure items into their subsequent recall at a higher rate than incorrect exposure items. Participants who were initially more accurate became less accurate, and initially less-accurate participants became more accurate as a result of their discussion. Comparisons to no-discussion control groups suggest that the effects were not simply the product of repeated recall opportunities or self-cueing, but rather reflect the transmission of information between individuals.

  3. Attempting to model dissociations of memory.

    Science.gov (United States)

    Reber, Paul J.

    2002-05-01

    Kinder and Shanks report simulations aimed at describing a single-system model of the dissociation between declarative and non-declarative memory. This model attempts to capture both Artificial Grammar Learning (AGL) and recognition memory with a single underlying representation. However, the model fails to reflect an essential feature of recognition memory - that it occurs after a single exposure - and the simulations may instead describe a potentially interesting property of over-training non-declarative memory.

  4. Conglomerate memory and cosmopolitanism

    Directory of Open Access Journals (Sweden)

    Susannah Ryan

    2016-01-01

    Full Text Available Under what conditions do countries and cultures considered radically different find a basis for allegiance and kinship? What part does memory play in this process? This article responds to these questions in two ways: 1 Through Emmanuel Levinas and Hannah Arendt, I propose that when an other appears in empathetic discourses that both honor difference and cite shared human experiences, seemingly irreconcilable people can develop a sense of mutual responsibility and 2 Conglomerate memory, memories that fuse together others through common pains, contributes to such an appearance. To illustrate this point, I turn to Congolese voices as they are articulated in online American discourses; although currently, authors of online texts typically rely on traditional narrative forms that position Central Africa as incommensurate to Western civilizations, the Internet's worldwide accessibility and intertextual capacities render it a place primed for developing international collectives by connecting memories while maintaining difference.

  5. [Artificial intelligence meeting neuropsychology. Semantic memory in normal and pathological aging].

    Science.gov (United States)

    Aimé, Xavier; Charlet, Jean; Maillet, Didier; Belin, Catherine

    2015-03-01

    Artificial intelligence (IA) is the subject of much research, but also many fantasies. It aims to reproduce human intelligence in its learning capacity, knowledge storage and computation. In 2014, the Defense Advanced Research Projects Agency (DARPA) started the restoring active memory (RAM) program that attempt to develop implantable technology to bridge gaps in the injured brain and restore normal memory function to people with memory loss caused by injury or disease. In another IA's field, computational ontologies (a formal and shared conceptualization) try to model knowledge in order to represent a structured and unambiguous meaning of the concepts of a target domain. The aim of these structures is to ensure a consensual understanding of their meaning and a univariant use (the same concept is used by all to categorize the same individuals). The first representations of knowledge in the AI's domain are largely based on model tests of semantic memory. This one, as a component of long-term memory is the memory of words, ideas, concepts. It is the only declarative memory system that resists so remarkably to the effects of age. In contrast, non-specific cognitive changes may decrease the performance of elderly in various events and instead report difficulties of access to semantic representations that affect the semantics stock itself. Some dementias, like semantic dementia and Alzheimer's disease, are linked to alteration of semantic memory. We propose in this paper, using the computational ontologies model, a formal and relatively thin modeling, in the service of neuropsychology: 1) for the practitioner with decision support systems, 2) for the patient as cognitive prosthesis outsourced, and 3) for the researcher to study semantic memory.

  6. Intergenerational transmission of historical memories and social-distance attitudes in post-war second-generation Croatians.

    Science.gov (United States)

    Svob, Connie; Brown, Norman R; Takšić, Vladimir; Katulić, Katarina; Žauhar, Valnea

    2016-08-01

    Intergenerational transmission of memory is a process by which biographical knowledge contributes to the construction of collective memory (representation of a shared past). We investigated the intergenerational transmission of war-related memories and social-distance attitudes in second-generation post-war Croatians. We compared 2 groups of young adults from (1) Eastern Croatia (extensively affected by the war) and (2) Western Croatia (affected relatively less by the war). Participants were asked to (a) recall the 10 most important events that occurred in one of their parents' lives, (b) estimate the calendar years of each, and (c) provide scale ratings on them. Additionally, (d) all participants completed a modified Bogardus Social Distance scale, as well as an (e) War Events Checklist for their parents' lives. There were several findings. First, approximately two-thirds of Eastern Croatians and one-half of Western Croatians reported war-related events from their parents' lives. Second, war-related memories impacted the second-generation's identity to a greater extent than did non-war-related memories; this effect was significantly greater in Eastern Croatians than in Western Croatians. Third, war-related events displayed markedly different mnemonic characteristics than non-war-related events. Fourth, the temporal distribution of events surrounding the war produced an upheaval bump, suggesting major transitions (e.g., war) contribute to the way collective memory is formed. And, finally, outright social ostracism and aggression toward out-groups were rarely expressed, independent of region. Nonetheless, social-distance scores were notably higher in Eastern Croatia than in Western Croatia.

  7. Maltreated and non-maltreated children's true and false memories of neutral and emotional word lists in the Deese/Roediger-McDermott task.

    Science.gov (United States)

    Baugerud, Gunn Astrid; Howe, Mark L; Magnussen, Svein; Melinder, Annika

    2016-03-01

    Maltreated (n=26) and non-maltreated (n=31) 7- to 12-year-old children were tested on the Deese/Roediger-McDermott (DRM) false memory task using emotional and neutral word lists. True recall was significantly better for non-maltreated than maltreated children regardless of list valence. The proportion of false recall for neutral lists was comparable regardless of maltreatment status. However, maltreated children showed a significantly higher false recall rate for the emotional lists than non-maltreated children. Together, these results provide new evidence that maltreated children could be more prone to false memory illusions for negatively valenced information than their non-maltreated counterparts. Copyright © 2015 Elsevier Inc. All rights reserved.

  8. Sharing family and household:

    DEFF Research Database (Denmark)

    Winther, Ida Wentzel

    Keynote: Family relationships are normatively assumed to be characterized by ‘sharing’, such as living together in the same home, occupying the same place, sharing stuff, blood and biology, spending special and ordinary time together, and consequently creating shared biographical experiences....... In that way, families are thrown into togetherness. At the same time, we see families in varying forms where 'sharing' is lived and contested differently. In Denmark, many children live in nuclear families, and many live in different variations of more than one household. For those who share household...... and family, 'sharing' will be a basic condition. No matter what, they should share life circumstances, more stories, more places and spaces, more households families with both kin and non-kin. This keynote addresses the particular of children’s experiences of living apart and/or living together in sharing...

  9. Mnemonic transmission, social contagion, and emergence of collective memory: Influence of emotional valence, group structure, and information distribution.

    Science.gov (United States)

    Choi, Hae-Yoon; Kensinger, Elizabeth A; Rajaram, Suparna

    2017-09-01

    Social transmission of memory and its consequence on collective memory have generated enduring interdisciplinary interest because of their widespread significance in interpersonal, sociocultural, and political arenas. We tested the influence of 3 key factors-emotional salience of information, group structure, and information distribution-on mnemonic transmission, social contagion, and collective memory. Participants individually studied emotionally salient (negative or positive) and nonemotional (neutral) picture-word pairs that were completely shared, partially shared, or unshared within participant triads, and then completed 3 consecutive recalls in 1 of 3 conditions: individual-individual-individual (control), collaborative-collaborative (identical group; insular structure)-individual, and collaborative-collaborative (reconfigured group; diverse structure)-individual. Collaboration enhanced negative memories especially in insular group structure and especially for shared information, and promoted collective forgetting of positive memories. Diverse group structure reduced this negativity effect. Unequally distributed information led to social contagion that creates false memories; diverse structure propagated a greater variety of false memories whereas insular structure promoted confidence in false recognition and false collective memory. A simultaneous assessment of network structure, information distribution, and emotional valence breaks new ground to specify how network structure shapes the spread of negative memories and false memories, and the emergence of collective memory. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  10. How physician electronic health record screen sharing affects patient and doctor non-verbal communication in primary care.

    Science.gov (United States)

    Asan, Onur; Young, Henry N; Chewning, Betty; Montague, Enid

    2015-03-01

    Use of electronic health records (EHRs) in primary-care exam rooms changes the dynamics of patient-physician interaction. This study examines and compares doctor-patient non-verbal communication (eye-gaze patterns) during primary care encounters for three different screen/information sharing groups: (1) active information sharing, (2) passive information sharing, and (3) technology withdrawal. Researchers video recorded 100 primary-care visits and coded the direction and duration of doctor and patient gaze. Descriptive statistics compared the length of gaze patterns as a percentage of visit length. Lag sequential analysis determined whether physician eye-gaze influenced patient eye gaze, and vice versa, and examined variations across groups. Significant differences were found in duration of gaze across groups. Lag sequential analysis found significant associations between several gaze patterns. Some, such as DGP-PGD ("doctor gaze patient" followed by "patient gaze doctor") were significant for all groups. Others, such DGT-PGU ("doctor gaze technology" followed by "patient gaze unknown") were unique to one group. Some technology use styles (active information sharing) seem to create more patient engagement, while others (passive information sharing) lead to patient disengagement. Doctors can engage patients in communication by using EHRs in the visits. EHR training and design should facilitate this. Copyright © 2014 Elsevier Ireland Ltd. All rights reserved.

  11. Only visual impressions are almost always present in long-term memories, and reported completeness, accuracy, and verbalizability of recollections increase with age.

    Science.gov (United States)

    Westman, A S; Orellana, C

    1996-10-01

    In two studies, students answered questions about their earliest memories from childhood and either elementary school and high school or college and yesterday. Visual sensory impressions were present in all childhood and almost all later memories. Sound aspects were more frequent in memories from high school and college than in those from childhood. Earliest memories from yesterday almost always included internal sensations. Recollections were rated as more accurate, complete, and verbalizable as events occurred later in life. Memories from childhood, elementary, and high school were thought about, found useful, or shared equally frequently. Yesterday's events were less likely shared, but, if shared, enhanced social relationships.

  12. Collective memory: a perspective from (experimental) clinical psychology.

    Science.gov (United States)

    Wessel, Ineke; Moulds, Michelle L

    2008-04-01

    This paper considers the concept of collective memory from an experimental clinical psychology perspective. Exploration of the term collective reveals a broad distinction between literatures that view collective memories as a property of groups (collectivistic memory) and those that regard these memories as a property of individuals who are, to a greater or lesser extent, an integral part of their social environment (social memory). First, we argue that the understanding of collectivistic memory phenomena may benefit from drawing parallels with current psychological models such as the self-memory system theory of individualistic autobiographical memory. Second, we suggest that the social memory literature may inform the study of trauma-related disorders. We argue that a factual focus induced by collaborative remembering may be beneficial to natural recovery in the immediate aftermath of trauma, and propose that shared remembering techniques may provide a useful addition to the treatment of post-traumatic stress disorder.

  13. Knowledge of memory functions in European and Asian American adults and children: the relation to autobiographical memory.

    Science.gov (United States)

    Wang, Qi; Koh, Jessie Bee Kim; Song, Qingfang; Hou, Yubo

    2015-01-01

    This study investigated explicit knowledge of autobiographical memory functions using a newly developed questionnaire. European and Asian American adults (N = 57) and school-aged children (N = 68) indicated their agreement with 13 statements about why people think about and share memories pertaining to four broad functions-self, social, directive and emotion regulation. Children were interviewed for personal memories concurrently with the memory function knowledge assessment and again 3 months later. It was found that adults agreed to the self, social and directive purposes of memory to a greater extent than did children, whereas European American children agreed to the emotion regulation purposes of memory to a greater extent than did European American adults. Furthermore, European American children endorsed more self and emotion regulation functions than did Asian American children, whereas Asian American adults endorsed more directive functions than did European American adults. Children's endorsement of memory functions, particularly social functions, was associated with more detailed and personally meaningful memories. These findings are informative for the understanding of developmental and cultural influences on memory function knowledge and of the relation of such knowledge to autobiographical memory development.

  14. Global aspects of radiation memory

    International Nuclear Information System (INIS)

    Winicour, J

    2014-01-01

    Gravitational radiation has a memory effect represented by a net change in the relative positions of test particles. Both the linear and nonlinear sources proposed for this radiation memory are of the ‘electric’ type, or E mode, as characterized by the even parity of the polarization pattern. Although ‘magnetic’ type, or B mode, radiation memory is mathematically possible, no physically realistic source has been identified. There is an electromagnetic counterpart to radiation memory in which the velocity of charged test particles obtain a net ‘kick’. Again, the physically realistic sources of electromagnetic radiation memory that have been identified are of the electric type. In this paper, a global null cone description of the electromagnetic field is applied to establish the non-existence of B-mode radiation memory and the non-existence of E-mode radiation memory due to a bound charge distribution. (paper)

  15. Distributed-Memory Fast Maximal Independent Set

    Energy Technology Data Exchange (ETDEWEB)

    Kanewala Appuhamilage, Thejaka Amila J.; Zalewski, Marcin J.; Lumsdaine, Andrew

    2017-09-13

    The Maximal Independent Set (MIS) graph problem arises in many applications such as computer vision, information theory, molecular biology, and process scheduling. The growing scale of MIS problems suggests the use of distributed-memory hardware as a cost-effective approach to providing necessary compute and memory resources. Luby proposed four randomized algorithms to solve the MIS problem. All those algorithms are designed focusing on shared-memory machines and are analyzed using the PRAM model. These algorithms do not have direct efficient distributed-memory implementations. In this paper, we extend two of Luby’s seminal MIS algorithms, “Luby(A)” and “Luby(B),” to distributed-memory execution, and we evaluate their performance. We compare our results with the “Filtered MIS” implementation in the Combinatorial BLAS library for two types of synthetic graph inputs.

  16. Glucose but not insulin or insulin resistance is associated with memory performance in middle-aged non-diabetic women : a cross sectional study

    OpenAIRE

    Backeström, Anna; Eriksson, Sture; Nilsson, Lars-Göran; Olsson, Tommy; Rolandsson, Olov

    2015-01-01

    Background: Elevated concentrations of plasma glucose appear to play a role in memory impairment, and it has been suggested that insulin might also have a negative effect on cognitive function. Our aim was to study whether glucose, insulin or insulin resistance are associated with episodic or semantic memory in a non-diabetic and non-demented population.  Methods: We linked and matched two population-based data sets identifying 291 participants (127 men and 164 women, mean age of 50.7 +/- 8.0...

  17. Implementing Explicit and Finding Implicit Sharing in Embedded DSLs

    Directory of Open Access Journals (Sweden)

    Oleg Kiselyov

    2011-09-01

    Full Text Available Aliasing, or sharing, is prominent in many domains, denoting that two differently-named objects are in fact identical: a change in one object (memory cell, circuit terminal, disk block is instantly reflected in the other. Languages for modelling such domains should let the programmer explicitly define the sharing among objects or expressions. A DSL compiler may find other identical expressions and share them, implicitly. Such common subexpression elimination is crucial to the efficient implementation of DSLs. Sharing is tricky in embedded DSL, since host aliasing may correspond to copying of the underlying objects rather than their sharing. This tutorial summarizes discussions of implementing sharing in Haskell DSLs for automotive embedded systems and hardware description languages. The technique has since been used in a Haskell SAT solver and the DSL for music synthesis. We demonstrate the embedding in pure Haskell of a simple DSL with a language form for explicit sharing. The DSL also has implicit sharing, implemented via hash-consing. Explicit sharing greatly speeds up hash-consing. The seemingly imperative nature of hash-consing is hidden beneath a simple combinator language. The overall implementation remains pure functional and easy to reason about.

  18. Memory-Optimized Software Synthesis from Dataflow Program Graphs with Large Size Data Samples

    Directory of Open Access Journals (Sweden)

    Hyunok Oh

    2003-05-01

    Full Text Available In multimedia and graphics applications, data samples of nonprimitive type require significant amount of buffer memory. This paper addresses the problem of minimizing the buffer memory requirement for such applications in embedded software synthesis from graphical dataflow programs based on the synchronous dataflow (SDF model with the given execution order of nodes. We propose a memory minimization technique that separates global memory buffers from local pointer buffers: the global buffers store live data samples and the local buffers store the pointers to the global buffer entries. The proposed algorithm reduces 67% memory for a JPEG encoder, 40% for an H.263 encoder compared with unshared versions, and 22% compared with the previous sharing algorithm for the H.263 encoder. Through extensive buffer sharing optimization, we believe that automatic software synthesis from dataflow program graphs achieves the comparable code quality with the manually optimized code in terms of memory requirement.

  19. Functional magnetic resonance imaging study of external source memory and its relation to cognitive insight in non-clinical subjects.

    Science.gov (United States)

    Buchy, Lisa; Hawco, Colin; Bodnar, Michael; Izadi, Sarah; Dell'Elce, Jennifer; Messina, Katrina; Lepage, Martin

    2014-09-01

    Previous research has linked cognitive insight (a measure of self-reflectiveness and self-certainty) in psychosis with neurocognitive and neuroanatomical disturbances in the fronto-hippocampal neural network. The authors' goal was to use functional magnetic resonance imaging (fMRI) to investigate the neural correlates of cognitive insight during an external source memory paradigm in non-clinical subjects. At encoding, 24 non-clinical subjects travelled through a virtual city where they came across 20 separate people, each paired with a unique object in a distinct location. fMRI data were then acquired while participants viewed images of the city, and completed source recognition memory judgments of where and with whom objects were seen, which is known to involve prefrontal cortex. Cognitive insight was assessed with the Beck Cognitive Insight Scale. External source memory was associated with neural activity in a widespread network consisting of frontal cortex, including ventrolateral prefrontal cortex (VLPFC), temporal and occipital cortices. Activation in VLPFC correlated with higher self-reflectiveness and activation in midbrain correlated with lower self-certainty during source memory attributions. Neither self-reflectiveness nor self-certainty significantly correlated with source memory accuracy. By means of virtual reality and in the context of an external source memory paradigm, the study identified a preliminary functional neural basis for cognitive insight in the VLPFC in healthy people that accords with our fronto-hippocampal theoretical model as well as recent neuroimaging data in people with psychosis. The results may facilitate the understanding of the role of neural mechanisms in psychotic disorders associated with cognitive insight distortions. © 2014 The Authors. Psychiatry and Clinical Neurosciences © 2014 Japanese Society of Psychiatry and Neurology.

  20. Molecular and Neuronal Plasticity Mechanisms in the Amygdala-Prefrontal Cortical Circuit: Implications for Opiate Addiction Memory Formation

    Directory of Open Access Journals (Sweden)

    Laura G Rosen

    2015-11-01

    Full Text Available The persistence of associative memories linked to the rewarding properties of drugs of abuse is a core underlying feature of the addiction process. Opiate class drugs in particular, possess potent euphorigenic effects which, when linked to environmental cues, can produce drug-related ‘trigger’ memories that may persist for lengthy periods of time, even during abstinence, in both humans and other animals. Furthermore, the transitional switch from the drug-naïve, non-dependent state to states of dependence and withdrawal, represents a critical boundary between distinct neuronal and molecular substrates associated with opiate-reward memory formation. Identifying the functional molecular and neuronal mechanisms related to the acquisition, consolidation, recall and extinction phases of opiate-related reward memories is critical for understanding, and potentially reversing, addiction-related memory plasticity characteristic of compulsive drug-seeking behaviors. The mammalian prefrontal cortex (PFC and basolateral nucleus of the amygdala (BLA share important functional and anatomical connections that are involved importantly in the processing of associative memories linked to drug reward. In addition, both regions share interconnections with the mesolimbic pathway’s ventral tegmental area (VTA and nucleus accumbens (NAc and can modulate dopamine (DA transmission and neuronal activity associated with drug-related DAergic signaling dynamics. In this review, we will summarize research from both human and animal modelling studies highlighting the importance of neuronal and molecular plasticity mechanisms within this circuitry during critical phases of opiate addiction-related learning and memory processing. Specifically, we will focus on two molecular signaling pathways known to be involved in both drug-related neuroadaptations and in memory-related plasticity mechanisms; the extracellular-signal-regulated kinase system (ERK and the Ca2+/calmodulin

  1. Electrical and ferroelectric properties of RF sputtered PZT/SBN on silicon for non-volatile memory applications

    Science.gov (United States)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    We report the integration of multilayer ferroelectric film deposited by RF magnetron sputtering and explore the electrical characteristics for its application as the gate of ferroelectric field effect transistor for non-volatile memories. PZT (Pb[Zr0.35Ti0.65]O3) and SBN (SrBi2Nb2O9) ferroelectric materials were selected for the stack fabrication due to their large polarization and fatigue free properties respectively. Electrical characterization has been carried out to obtain memory window, leakage current density, PUND and endurance characteristics. Fabricated multilayer ferroelectric film capacitor structure shows large memory window of 17.73 V and leakage current density of the order 10-6 A cm-2 for the voltage sweep of -30 to +30 V. This multilayer gate stack of PZT/SBN shows promising endurance property with no degradation in the remnant polarization for the read/write iteration cycles upto 108.

  2. Sparse Distributed Memory: understanding the speed and robustness of expert memory

    Directory of Open Access Journals (Sweden)

    Marcelo Salhab Brogliato

    2014-04-01

    Full Text Available How can experts, sometimes in exacting detail, almost immediately and very precisely recall memory items from a vast repertoire? The problem in which we will be interested concerns models of theoretical neuroscience that could explain the speed and robustness of an expert's recollection. The approach is based on Sparse Distributed Memory, which has been shown to be plausible, both in a neuroscientific and in a psychological manner, in a number of ways. A crucial characteristic concerns the limits of human recollection, the `tip-of-tongue' memory event--which is found at a non-linearity in the model. We expand the theoretical framework, deriving an optimization formula to solve to this non-linearity. Numerical results demonstrate how the higher frequency of rehearsal, through work or study, immediately increases the robustness and speed associated with expert memory.

  3. Memory by association: Integrating memories prolongs retention by two-year-olds.

    Science.gov (United States)

    Hayne, Harlene; Gross, Julien

    2017-02-01

    Recalling one memory often leads to the recollection of other memories that share overlapping features. This phenomenon, spreading activation, was originally documented in studies conducted with verbal adults, and more recently, it has been demonstrated with preverbal infants. Here, we examine the effect of spreading activation on long-term retention by 2-year-olds. Participants were tested in the Visual Recognition Memory (VRM) paradigm and the deferred imitation paradigm. Typically, infants of this age exhibit retention in the VRM paradigm for 24h, while they exhibit retention in the deferred imitation paradigm for at least 8 weeks. In the present experiment, we paired these tasks together during original encoding and tested infants after an 8-week delay. Two-year-olds exhibited retention in both tasks. That is, when these two tasks initially occurred together - one task that is extremely memorable and one that is not - retrieving the memory of the more memorable task cued retrieval of the less memorable task, extending its longevity. Copyright © 2016 Elsevier Inc. All rights reserved.

  4. Emerging memory technologies design, architecture, and applications

    CERN Document Server

    2014-01-01

    This book explores the design implications of emerging, non-volatile memory (NVM) technologies on future computer memory hierarchy architecture designs. Since NVM technologies combine the speed of SRAM, the density of DRAM, and the non-volatility of Flash memory, they are very attractive as the basis for future universal memories. This book provides a holistic perspective on the topic, covering modeling, design, architecture and applications. The practical information included in this book will enable designers to exploit emerging memory technologies to improve significantly the performance/power/reliability of future, mainstream integrated circuits. • Provides a comprehensive reference on designing modern circuits with emerging, non-volatile memory technologies, such as MRAM and PCRAM; • Explores new design opportunities offered by emerging memory technologies, from a holistic perspective; • Describes topics in technology, modeling, architecture and applications; • Enables circuit designers to ex...

  5. A Novel Non-Destructive Silicon-on-Insulator Nonvolatile Memory - LDRD 99-0750 Final Report

    Energy Technology Data Exchange (ETDEWEB)

    DRAPER,BRUCE L.; FLEETWOOD,D. M.; MEISENHEIMER,TIMOTHY L.; MURRAY,JAMES R.; SCHWANK,JAMES R.; SHANEYFELT,MARTY R.; SMITH,PAUL M.; VANHEUSDEN,KAREL J.; WARREN,WILLIAM L.

    1999-11-01

    Defects in silicon-on-insulator (SOI) buried oxides are normally considered deleterious to device operation. Similarly, exposing devices to hydrogen at elevated temperatures often can lead to radiation-induced charge buildup. However, in this work, we take advantage of as-processed defects in SOI buried oxides and moderate temperature hydrogen anneals to generate mobile protons in the buried oxide to form the basis of a ''protonic'' nonvolatile memory. Capacitors and fully-processed transistors were fabricated. SOI buried oxides are exposed to hydrogen at moderate temperatures using a variety of anneal conditions to optimize the density of mobile protons. A fast ramp cool down anneal was found to yield the maximum number of mobile protons. Unfortunately, we were unable to obtain uniform mobile proton concentrations across a wafer. Capacitors were irradiated to investigate the potential use of protonic memories for space and weapon applications. Irradiating under a negative top-gate bias or with no applied bias was observed to cause little degradation in the number of mobile protons. However, irradiating to a total dose of 100 krad(SiO{sub 2}) under a positive top-gate bias caused approximately a 100% reduction in the number of mobile protons. Cycling capacitors up to 10{sup 4} cycles had little effect on the switching characteristics. No change in the retention characteristics were observed for times up to 3 x 10{sup 4} s for capacitors stored unbiased at 200 C. These results show the proof-of-concept for a protonic nonvolatile memory. Two memory architectures are proposed for a protonic non-destructive, nonvolatile memory.

  6. Memory, Conviviality and Coexistence

    DEFF Research Database (Denmark)

    Duru, Deniz Neriman

    2016-01-01

    that postulates cohesion and conflict as rooted in ethnic and religious differences. It suggests ‘conviviality’ as the production of space, by arguing that hard times, tensions as well as sensorial pleasures produce a sense of belonging in a place, through shared ways of living. While memories of ‘coexistence......The article explores the narratives and memories of past diversity and current practices of conviviality to investigate how class, lifestyle and tastes affect the daily interactions between people belonging to different ethno-religious backgrounds. This chapter critiques ‘coexistence’ as a concept......’ emphasize the fragmentation of people into ethnic and religious groups as a consequence of the homogenization process in the post-Ottoman Turkish context, bitter sweet memories of conviviality create a sense of belonging to Burgaz....

  7. The Precategorical Nature of Visual Short-Term Memory

    Science.gov (United States)

    Quinlan, Philip T.; Cohen, Dale J.

    2016-01-01

    We conducted a series of recognition experiments that assessed whether visual short-term memory (VSTM) is sensitive to shared category membership of to-be-remembered (tbr) images of common objects. In Experiment 1 some of the tbr items shared the same basic level category (e.g., hand axe): Such items were no better retained than others. In the…

  8. Feature-Based Memory-Driven Attentional Capture: Visual Working Memory Content Affects Visual Attention

    Science.gov (United States)

    Olivers, Christian N. L.; Meijer, Frank; Theeuwes, Jan

    2006-01-01

    In 7 experiments, the authors explored whether visual attention (the ability to select relevant visual information) and visual working memory (the ability to retain relevant visual information) share the same content representations. The presence of singleton distractors interfered more strongly with a visual search task when it was accompanied by…

  9. Phase change memory

    CERN Document Server

    Qureshi, Moinuddin K

    2011-01-01

    As conventional memory technologies such as DRAM and Flash run into scaling challenges, architects and system designers are forced to look at alternative technologies for building future computer systems. This synthesis lecture begins by listing the requirements for a next generation memory technology and briefly surveys the landscape of novel non-volatile memories. Among these, Phase Change Memory (PCM) is emerging as a leading contender, and the authors discuss the material, device, and circuit advances underlying this exciting technology. The lecture then describes architectural solutions t

  10. Selective verbal recognition memory impairments are associated with atrophy of the language network in non-semantic variants of primary progressive aphasia.

    Science.gov (United States)

    Nilakantan, Aneesha S; Voss, Joel L; Weintraub, Sandra; Mesulam, M-Marsel; Rogalski, Emily J

    2017-06-01

    Primary progressive aphasia (PPA) is clinically defined by an initial loss of language function and preservation of other cognitive abilities, including episodic memory. While PPA primarily affects the left-lateralized perisylvian language network, some clinical neuropsychological tests suggest concurrent initial memory loss. The goal of this study was to test recognition memory of objects and words in the visual and auditory modality to separate language-processing impairments from retentive memory in PPA. Individuals with non-semantic PPA had longer reaction times and higher false alarms for auditory word stimuli compared to visual object stimuli. Moreover, false alarms for auditory word recognition memory were related to cortical thickness within the left inferior frontal gyrus and left temporal pole, while false alarms for visual object recognition memory was related to cortical thickness within the right-temporal pole. This pattern of results suggests that specific vulnerability in processing verbal stimuli can hinder episodic memory in PPA, and provides evidence for differential contributions of the left and right temporal poles in word and object recognition memory. Copyright © 2017 Elsevier Ltd. All rights reserved.

  11. Evolution of non-speech sound memory in postlingual deafness: implications for cochlear implant rehabilitation.

    Science.gov (United States)

    Lazard, D S; Giraud, A L; Truy, E; Lee, H J

    2011-07-01

    Neurofunctional patterns assessed before or after cochlear implantation (CI) are informative markers of implantation outcome. Because phonological memory reorganization in post-lingual deafness is predictive of the outcome, we investigated, using a cross-sectional approach, whether memory of non-speech sounds (NSS) produced by animals or objects (i.e. non-human sounds) is also reorganized, and how this relates to speech perception after CI. We used an fMRI auditory imagery task in which sounds were evoked by pictures of noisy items for post-lingual deaf candidates for CI and for normal-hearing subjects. When deaf subjects imagined sounds, the left inferior frontal gyrus, the right posterior temporal gyrus and the right amygdala were less activated compared to controls. Activity levels in these regions decreased with duration of auditory deprivation, indicating declining NSS representations. Whole brain correlations with duration of auditory deprivation and with speech scores after CI showed an activity decline in dorsal, fronto-parietal, cortical regions, and an activity increase in ventral cortical regions, the right anterior temporal pole and the hippocampal gyrus. Both dorsal and ventral reorganizations predicted poor speech perception outcome after CI. These results suggest that post-CI speech perception relies, at least partially, on the integrity of a neural system used for processing NSS that is based on audio-visual and articulatory mapping processes. When this neural system is reorganized, post-lingual deaf subjects resort to inefficient semantic- and memory-based strategies. These results complement those of other studies on speech processing, suggesting that both speech and NSS representations need to be maintained during deafness to ensure the success of CI. Copyright © 2011 Elsevier Ltd. All rights reserved.

  12. What drives memory-driven attentional capture? The effects of memory type, display type and search type

    NARCIS (Netherlands)

    Olivers, C.N.L.

    2009-01-01

    An important question is whether visual attention (the ability to select relevant visual information) and visual working memory (the ability to retain relevant visual information) share the same content representations. Some past research has indicated that they do: Singleton distractors interfered

  13. Susceptibility of memory consolidation during lapses in recall

    Science.gov (United States)

    Marra, Vincenzo; O’Shea, Michael; Benjamin, Paul R.; Kemenes, Ildikó

    2013-01-01

    Memories that can be recalled several hours after learning may paradoxically become inaccessible for brief periods after their formation. This raises major questions about the function of these early memory lapses in the structure of memory consolidation. These questions are difficult to investigate because of the lack of information on the precise timing of lapses. However, the use of a single-trial conditioning paradigm in Lymnaea solves this problem. Here we use electrophysiological and behavioural experiments to reveal lapses in memory recall at 30 min and 2 h post conditioning. We show that only during these lapses is consolidation of long-term memory susceptible to interruption by external disturbance. These shared time points of memory lapse and susceptibility correspond to transitions between different phases of memory that have different molecular requirements. We propose that during periods of molecular transition memory recall is weakened, allowing novel sensory cues to block the consolidation of long-term memory. PMID:23481386

  14. Susceptibility of memory consolidation during lapses in recall.

    Science.gov (United States)

    Marra, Vincenzo; O'Shea, Michael; Benjamin, Paul R; Kemenes, Ildikó

    2013-01-01

    Memories that can be recalled several hours after learning may paradoxically become inaccessible for brief periods after their formation. This raises major questions about the function of these early memory lapses in the structure of memory consolidation. These questions are difficult to investigate because of the lack of information on the precise timing of lapses. However, the use of a single-trial conditioning paradigm in Lymnaea solves this problem. Here we use electrophysiological and behavioural experiments to reveal lapses in memory recall at 30 min and 2 h post conditioning. We show that only during these lapses is consolidation of long-term memory susceptible to interruption by external disturbance. These shared time points of memory lapse and susceptibility correspond to transitions between different phases of memory that have different molecular requirements. We propose that during periods of molecular transition memory recall is weakened, allowing novel sensory cues to block the consolidation of long-term memory.

  15. A highly efficient parallel algorithm for solving the neutron diffusion nodal equations on shared-memory computers

    International Nuclear Information System (INIS)

    Azmy, Y.Y.; Kirk, B.L.

    1990-01-01

    Modern parallel computer architectures offer an enormous potential for reducing CPU and wall-clock execution times of large-scale computations commonly performed in various applications in science and engineering. Recently, several authors have reported their efforts in developing and implementing parallel algorithms for solving the neutron diffusion equation on a variety of shared- and distributed-memory parallel computers. Testing of these algorithms for a variety of two- and three-dimensional meshes showed significant speedup of the computation. Even for very large problems (i.e., three-dimensional fine meshes) executed concurrently on a few nodes in serial (nonvector) mode, however, the measured computational efficiency is very low (40 to 86%). In this paper, the authors present a highly efficient (∼85 to 99.9%) algorithm for solving the two-dimensional nodal diffusion equations on the Sequent Balance 8000 parallel computer. Also presented is a model for the performance, represented by the efficiency, as a function of problem size and the number of participating processors. The model is validated through several tests and then extrapolated to larger problems and more processors to predict the performance of the algorithm in more computationally demanding situations

  16. Dedup Est Machina : Memory Deduplication as an Advanced Exploitation Vector

    NARCIS (Netherlands)

    Bosman, Erik; Razavi, Kaveh; Bos, Herbert; Giuffrida, Cristiano

    2016-01-01

    Memory deduplication, a well-known technique to reduce the memory footprint across virtual machines, is now also a default-on feature inside the Windows 8.1 and Windows 10 operating systems. Deduplication maps multiple identical copies of a physical page onto a single shared copy with copy-on-write

  17. Radical uncertainty, non-predictability, antifragility and risk-sharing Islamic finance

    Directory of Open Access Journals (Sweden)

    Umar Rafi

    2016-12-01

    Full Text Available Under conditions of radical uncertainty, risk sharing renders financial systems anti-fragile. Our goal in this paper is to show that risk-sharing Islamic finance (RSIF shares the characteristics defined by Taleb for an anti-fragile system, by mapping some characteristics of anti-fragility onto those of risk-sharing Islamic finance. A key insight around which such a connection can be established is by relating the principle of “no risk-no gain”from Islamic finance to the concept of skin-in-the-game from anti-fragility theory. The relationship is then extended to other characteristics of the two frameworks, to show that RSIF overlaps with anti-fragility over many dimensions. The broader case for an antifragile system includes another important characteristic, namely “soul in the game” and concern for social justice. It is the authors’ hope that emerging research on anti-fragility, combined with the emerging research on RSIF, can have a lasting impact on the field of finance by laying the foundations for a compelling case that it is time for humanity to replace the dominant debt-based risk transfer/risk shifting financial system with a system in which everyone shares the risks faced by society. JEL: D81, D89, E44, F34, G32

  18. Atomic crystals resistive switching memory

    International Nuclear Information System (INIS)

    Liu Chunsen; Zhang David Wei; Zhou Peng

    2017-01-01

    Facing the growing data storage and computing demands, a high accessing speed memory with low power and non-volatile character is urgently needed. Resistive access random memory with 4F 2 cell size, switching in sub-nanosecond, cycling endurances of over 10 12 cycles, and information retention exceeding 10 years, is considered as promising next-generation non-volatile memory. However, the energy per bit is still too high to compete against static random access memory and dynamic random access memory. The sneak leakage path and metal film sheet resistance issues hinder the further scaling down. The variation of resistance between different devices and even various cycles in the same device, hold resistive access random memory back from commercialization. The emerging of atomic crystals, possessing fine interface without dangling bonds in low dimension, can provide atomic level solutions for the obsessional issues. Moreover, the unique properties of atomic crystals also enable new type resistive switching memories, which provide a brand-new direction for the resistive access random memory. (topical reviews)

  19. No effects of psychosocial stress on memory retrieval in non-treated young students with Generalized Social Phobia.

    Science.gov (United States)

    Espín, Laura; Marquina, Mónica; Hidalgo, Vanesa; Salvador, Alicia; Gómez-Amor, Jesús

    2016-11-01

    Generalized Social Phobia (GSP) is a common anxiety disorder that produces clear social life disruptions. There is no consensus on the specific processes involved in its development, but the role of the hypothalamic-pituitary-adrenal (HPA) axis has been suggested. This study analyzed the effects of the cortisol response to the Trier Social Stress Test (TSST) on the memory retrieval of pictures with different emotional valences in 45 non-treated young students with GSP and 50 non-anxious (NA) subjects (mean=19.35years, SD=0.18). No differences were found in the cortisol response of GSP and NA subjects to the TSST and control sessions. In addition, psychosocial stress impaired memory retrieval in both the GSP and NA groups, with no differences between them. Regarding the sex factor, no effects were found in the cortisol response to the TSST. However, during the encoding session, GSP men had higher cortisol levels than GSP women and NA subjects. There was also a significant interaction between sex and stress exposure on memory retrieval. Women recognized more unpleasant and neutral pictures than men; however, under stress, the women's advantage disappeared, and the men's performance improved. Sex also interacted with social phobia on positive mood, with GSP women exposed to the TSST showing the lowest positive mood. These results suggest that GSP subjects do not present an HPA axis sensitization to psychosocial stress, and they emphasize the importance of Sex in understanding stress effects on memory. Copyright © 2016 Elsevier Ltd. All rights reserved.

  20. [The Brumory test, an incidental long-term memory task designed for foreign, non-French-speaking people with low educational level].

    Science.gov (United States)

    Vanderaspoilden, V; Nury, D; Frisque, J; Peigneux, P

    2015-12-01

    Cognitive assessment among foreign patients is a growing need for several reasons: foreign patients have a different culture, they have an insufficient command of the language of the consulting center, and the available cognitive tools are largely unsuitable. For these reasons, we developed a non-verbal test of long-term memory called the Brumory test. This test is based on incident encoding of 48 colored images followed by retrieval by recognition. We compared the performance of indigenous participants with that of immigrant participants (mainly from Morocco). Immigrant participants did not speak French properly and had a low educational level. The results indicate no significant difference in memory performance between the two groups of participants. Moreover, the instructions were easily understood by immigrant participants, despite the fact they do not master French. We conclude that the Brumory test is an appropriate test to assess memory among foreign non-French-speaking patients people with low educational level. Copyright © 2015 Elsevier Masson SAS. All rights reserved.

  1. Short-term memory for tactile and temporal stimuli in a shared-attention recall task.

    Science.gov (United States)

    Bowers, R L; Mollenhauer, M S; Luxford, J

    1990-06-01

    The present study examined short-term memory for tactile and temporal stimuli. Subjects were required to touch three-dimensional sample objects of different shapes and textures, presented for three durations: short, medium, or long. After the sample duration elapsed, a retention interval (5 sec.-20 sec.) occurred followed by a recall test for one of the sample dimensions of shape, texture, or time, across trials. Analysis showed that accuracy for shape and texture was high throughout testing (95-99%), but memory for perceived duration was relatively poor (60%). Further analysis indicated that poor recall on the time dimension was isolated to the medium and long samples; accuracy for short durations was consistently high (90%). In addition, a reliable response bias emerged; subjects recalled durations shorter than the actual duration presented. The results were discussed in terms of two lines of research, one indicating that haptic short-term memory is strong relative to other memory systems, and the other suggesting that the choose-short bias occurs across species.

  2. Memory bottlenecks and memory contention in multi-core Monte Carlo transport codes

    International Nuclear Information System (INIS)

    Tramm, J.R.; Siegel, A.R.

    2013-01-01

    The simulation of whole nuclear cores through the use of Monte Carlo codes requires an impracticably long time-to-solution. We have extracted a kernel that executes only the most computationally expensive steps of the Monte Carlo particle transport algorithm - the calculation of macroscopic cross sections - in an effort to expose bottlenecks within multi-core, shared memory architectures. (authors)

  3. Social Transmission of False Memory in Small Groups and Large Networks.

    Science.gov (United States)

    Maswood, Raeya; Rajaram, Suparna

    2018-05-21

    Sharing information and memories is a key feature of social interactions, making social contexts important for developing and transmitting accurate memories and also false memories. False memory transmission can have wide-ranging effects, including shaping personal memories of individuals as well as collective memories of a network of people. This paper reviews a collection of key findings and explanations in cognitive research on the transmission of false memories in small groups. It also reviews the emerging experimental work on larger networks and collective false memories. Given the reconstructive nature of memory, the abundance of misinformation in everyday life, and the variety of social structures in which people interact, an understanding of transmission of false memories has both scientific and societal implications. © 2018 Cognitive Science Society, Inc.

  4. Temporary formation of highly conducting domain walls for non-destructive read-out of ferroelectric domain-wall resistance switching memories

    Science.gov (United States)

    Jiang, Jun; Bai, Zi Long; Chen, Zhi Hui; He, Long; Zhang, David Wei; Zhang, Qing Hua; Shi, Jin An; Park, Min Hyuk; Scott, James F.; Hwang, Cheol Seong; Jiang, An Quan

    2018-01-01

    Erasable conductive domain walls in insulating ferroelectric thin films can be used for non-destructive electrical read-out of the polarization states in ferroelectric memories. Still, the domain-wall currents extracted by these devices have not yet reached the intensity and stability required to drive read-out circuits operating at high speeds. This study demonstrated non-destructive read-out of digital data stored using specific domain-wall configurations in epitaxial BiFeO3 thin films formed in mesa-geometry structures. Partially switched domains, which enable the formation of conductive walls during the read operation, spontaneously retract when the read voltage is removed, reducing the accumulation of mobile defects at the domain walls and potentially improving the device stability. Three-terminal memory devices produced 14 nA read currents at an operating voltage of 5 V, and operated up to T = 85 °C. The gap length can also be smaller than the film thickness, allowing the realization of ferroelectric memories with device dimensions far below 100 nm.

  5. 50 CFR 80.12 - Cost sharing.

    Science.gov (United States)

    2010-10-01

    ... of cash or in-kind contributions. (c) The non-Federal share of project costs may not be derived from... 50 Wildlife and Fisheries 6 2010-10-01 2010-10-01 false Cost sharing. 80.12 Section 80.12 Wildlife... WILDLIFE RESTORATION AND DINGELL-JOHNSON SPORT FISH RESTORATION ACTS § 80.12 Cost sharing. Federal...

  6. Why are you telling me that? A conceptual model of the social function of autobiographical memory.

    Science.gov (United States)

    Alea, Nicole; Bluck, Susan

    2003-03-01

    In an effort to stimulate and guide empirical work within a functional framework, this paper provides a conceptual model of the social functions of autobiographical memory (AM) across the lifespan. The model delineates the processes and variables involved when AMs are shared to serve social functions. Components of the model include: lifespan contextual influences, the qualitative characteristics of memory (emotionality and level of detail recalled), the speaker's characteristics (age, gender, and personality), the familiarity and similarity of the listener to the speaker, the level of responsiveness during the memory-sharing process, and the nature of the social relationship in which the memory sharing occurs (valence and length of the relationship). These components are shown to influence the type of social function served and/or, the extent to which social functions are served. Directions for future empirical work to substantiate the model and hypotheses derived from the model are provided.

  7. Division of attention as a function of the number of steps, visual shifts, and memory load

    Science.gov (United States)

    Chechile, R. A.; Butler, K.; Gutowski, W.; Palmer, E. A.

    1986-01-01

    The effects on divided attention of visual shifts and long-term memory retrieval during a monitoring task are considered. A concurrent vigilance task was standardized under all experimental conditions. The results show that subjects can perform nearly perfectly on all of the time-shared tasks if long-term memory retrieval is not required for monitoring. With the requirement of memory retrieval, however, there was a large decrease in accuracy for all of the time-shared activities. It was concluded that the attentional demand of longterm memory retrieval is appreciable (even for a well-learned motor sequence), and thus memory retrieval results in a sizable reduction in the capability of subjects to divide their attention. A selected bibliography on the divided attention literature is provided.

  8. Verbal declarative memory impairments in specific language impairment are related to working memory deficits

    OpenAIRE

    Lum, Jarrad A.G.; Ullman, Michael T.; Conti-Ramsden, Gina

    2015-01-01

    This study examined verbal declarative memory functioning in SLI and its relationship to working memory. Encoding, recall, and recognition of verbal information was examined in children with SLI who had below average working memory (SLILow WM), children with SLI who had average working memory (SLIAvg. WM) and, a group of non-language impaired children with average working memory (TDAvg. WM). The SLILow WM group was significantly worse than both the SLIAvg. WM and TDAvg. WM groups at encoding ...

  9. Can Web 2.0 shape meta-memory?

    OpenAIRE

    Sá, Alberto

    2009-01-01

    The social features of recent Web 2.0 technologies applications can bear a strong relationship to memory production and can help to shape personal identity through emotional connections by synchronizing people’s subjective experiences. When added to life, the proliferation of mechanical memory, experienced and produced by technology, makes for a new type of shared awareness. Therefore, we should look at these tools as instruments of reminiscence and as creative mnemonic aids. The input of ...

  10. Improvement of multiprocessing performance by using optical centralized shared bus

    Science.gov (United States)

    Han, Xuliang; Chen, Ray T.

    2004-06-01

    With the ever-increasing need to solve larger and more complex problems, multiprocessing is attracting more and more research efforts. One of the challenges facing the multiprocessor designers is to fulfill in an effective manner the communications among the processes running in parallel on multiple multiprocessors. The conventional electrical backplane bus provides narrow bandwidth as restricted by the physical limitations of electrical interconnects. In the electrical domain, in order to operate at high frequency, the backplane topology has been changed from the simple shared bus to the complicated switched medium. However, the switched medium is an indirect network. It cannot support multicast/broadcast as effectively as the shared bus. Besides the additional latency of going through the intermediate switching nodes, signal routing introduces substantial delay and considerable system complexity. Alternatively, optics has been well known for its interconnect capability. Therefore, it has become imperative to investigate how to improve multiprocessing performance by utilizing optical interconnects. From the implementation standpoint, the existing optical technologies still cannot fulfill the intelligent functions that a switch fabric should provide as effectively as their electronic counterparts. Thus, an innovative optical technology that can provide sufficient bandwidth capacity, while at the same time, retaining the essential merits of the shared bus topology, is highly desirable for the multiprocessing performance improvement. In this paper, the optical centralized shared bus is proposed for use in the multiprocessing systems. This novel optical interconnect architecture not only utilizes the beneficial characteristics of optics, but also retains the desirable properties of the shared bus topology. Meanwhile, from the architecture standpoint, it fits well in the centralized shared-memory multiprocessing scheme. Therefore, a smooth migration with substantial

  11. Memory bias for negative emotional words in recognition memory is driven by effects of category membership.

    Science.gov (United States)

    White, Corey N; Kapucu, Aycan; Bruno, Davide; Rotello, Caren M; Ratcliff, Roger

    2014-01-01

    Recognition memory studies often find that emotional items are more likely than neutral items to be labelled as studied. Previous work suggests this bias is driven by increased memory strength/familiarity for emotional items. We explored strength and bias interpretations of this effect with the conjecture that emotional stimuli might seem more familiar because they share features with studied items from the same category. Categorical effects were manipulated in a recognition task by presenting lists with a small, medium or large proportion of emotional words. The liberal memory bias for emotional words was only observed when a medium or large proportion of categorised words were presented in the lists. Similar, though weaker, effects were observed with categorised words that were not emotional (animal names). These results suggest that liberal memory bias for emotional items may be largely driven by effects of category membership.

  12. Motor system contributions to verbal and non-verbal working memory

    Directory of Open Access Journals (Sweden)

    Diana A Liao

    2014-09-01

    Full Text Available Working memory (WM involves the ability to maintain and manipulate information held in mind. Neuroimaging studies have shown that secondary motor areas activate during WM for verbal content (e.g., words or letters, in the absence of primary motor area activation. This activation pattern may reflect an inner speech mechanism supporting online phonological rehearsal. Here, we examined the causal relationship between motor system activity and WM processing by using transcranial magnetic stimulation (TMS to manipulate motor system activity during WM rehearsal. We tested WM performance for verbalizable (words and pseudowords and non-verbalizable (Chinese characters visual information. We predicted that disruption of motor circuits would specifically affect WM processing of verbalizable information. We found that TMS targeting motor cortex slowed response times on verbal WM trials with high (pseudoword vs. low (real word phonological load. However, non-verbal WM trials were also significantly slowed with motor TMS. WM performance was unaffected by sham stimulation or TMS over visual cortex. Self-reported use of motor strategy predicted the degree of motor stimulation disruption on WM performance. These results provide evidence of the motor system’s contributions to verbal and non-verbal WM processing. We speculate that the motor system supports WM by creating motor traces consistent with the type of information being rehearsed during maintenance.

  13. Peak performance: remote memory revisited

    NARCIS (Netherlands)

    Mühleisen, H.; Gonçalves, R.; Kersten, M.; Johnson, R.; Kemper, A.

    2013-01-01

    Many database systems share a need for large amounts of fast storage. However, economies of scale limit the utility of extending a single machine with an arbitrary amount of memory. The recent broad availability of the zero-copy data transfer protocol RDMA over low-latency and high-throughput

  14. Developmental Differences in the Use of Recognition Memory Rejection Mechanisms

    Science.gov (United States)

    Odegard, Timothy N.; Jenkins, Kara M.; Koen, Joshua D.

    2010-01-01

    The current experiment examined the use of plausibility judgments by children to reject distractors presented on "yes/no" recognition memory tests. Participants studied two lists of word pairs that shared either a categorical or rhyme association, which constituted the global nature of the two study conditions. During the recognition memory tests,…

  15. Auditory memory function in expert chess players.

    Science.gov (United States)

    Fattahi, Fariba; Geshani, Ahmad; Jafari, Zahra; Jalaie, Shohreh; Salman Mahini, Mona

    2015-01-01

    Chess is a game that involves many aspects of high level cognition such as memory, attention, focus and problem solving. Long term practice of chess can improve cognition performances and behavioral skills. Auditory memory, as a kind of memory, can be influenced by strengthening processes following long term chess playing like other behavioral skills because of common processing pathways in the brain. The purpose of this study was to evaluate the auditory memory function of expert chess players using the Persian version of dichotic auditory-verbal memory test. The Persian version of dichotic auditory-verbal memory test was performed for 30 expert chess players aged 20-35 years and 30 non chess players who were matched by different conditions; the participants in both groups were randomly selected. The performance of the two groups was compared by independent samples t-test using SPSS version 21. The mean score of dichotic auditory-verbal memory test between the two groups, expert chess players and non-chess players, revealed a significant difference (p≤ 0.001). The difference between the ears scores for expert chess players (p= 0.023) and non-chess players (p= 0.013) was significant. Gender had no effect on the test results. Auditory memory function in expert chess players was significantly better compared to non-chess players. It seems that increased auditory memory function is related to strengthening cognitive performances due to playing chess for a long time.

  16. Topological Schemas of Memory Spaces

    Science.gov (United States)

    Babichev, Andrey; Dabaghian, Yuri A.

    2018-01-01

    Hippocampal cognitive map—a neuronal representation of the spatial environment—is widely discussed in the computational neuroscience literature for decades. However, more recent studies point out that hippocampus plays a major role in producing yet another cognitive framework—the memory space—that incorporates not only spatial, but also non-spatial memories. Unlike the cognitive maps, the memory spaces, broadly understood as “networks of interconnections among the representations of events,” have not yet been studied from a theoretical perspective. Here we propose a mathematical approach that allows modeling memory spaces constructively, as epiphenomena of neuronal spiking activity and thus to interlink several important notions of cognitive neurophysiology. First, we suggest that memory spaces have a topological nature—a hypothesis that allows treating both spatial and non-spatial aspects of hippocampal function on equal footing. We then model the hippocampal memory spaces in different environments and demonstrate that the resulting constructions naturally incorporate the corresponding cognitive maps and provide a wider context for interpreting spatial information. Lastly, we propose a formal description of the memory consolidation process that connects memory spaces to the Morris' cognitive schemas-heuristic representations of the acquired memories, used to explain the dynamics of learning and memory consolidation in a given environment. The proposed approach allows evaluating these constructs as the most compact representations of the memory space's structure. PMID:29740306

  17. Topological Schemas of Memory Spaces

    Directory of Open Access Journals (Sweden)

    Andrey Babichev

    2018-04-01

    Full Text Available Hippocampal cognitive map—a neuronal representation of the spatial environment—is widely discussed in the computational neuroscience literature for decades. However, more recent studies point out that hippocampus plays a major role in producing yet another cognitive framework—the memory space—that incorporates not only spatial, but also non-spatial memories. Unlike the cognitive maps, the memory spaces, broadly understood as “networks of interconnections among the representations of events,” have not yet been studied from a theoretical perspective. Here we propose a mathematical approach that allows modeling memory spaces constructively, as epiphenomena of neuronal spiking activity and thus to interlink several important notions of cognitive neurophysiology. First, we suggest that memory spaces have a topological nature—a hypothesis that allows treating both spatial and non-spatial aspects of hippocampal function on equal footing. We then model the hippocampal memory spaces in different environments and demonstrate that the resulting constructions naturally incorporate the corresponding cognitive maps and provide a wider context for interpreting spatial information. Lastly, we propose a formal description of the memory consolidation process that connects memory spaces to the Morris' cognitive schemas-heuristic representations of the acquired memories, used to explain the dynamics of learning and memory consolidation in a given environment. The proposed approach allows evaluating these constructs as the most compact representations of the memory space's structure.

  18. Generalized hydrodynamic correlations and fractional memory functions

    Science.gov (United States)

    Rodríguez, Rosalio F.; Fujioka, Jorge

    2015-12-01

    A fractional generalized hydrodynamic (GH) model of the longitudinal velocity fluctuations correlation, and its associated memory function, for a complex fluid is analyzed. The adiabatic elimination of fast variables introduces memory effects in the transport equations, and the dynamic of the fluctuations is described by a generalized Langevin equation with long-range noise correlations. These features motivate the introduction of Caputo time fractional derivatives and allows us to calculate analytic expressions for the fractional longitudinal velocity correlation function and its associated memory function. Our analysis eliminates a spurious constant term in the non-fractional memory function found in the non-fractional description. It also produces a significantly slower power-law decay of the memory function in the GH regime that reduces to the well-known exponential decay in the non-fractional Navier-Stokes limit.

  19. Organic ferroelectric opto-electronic memories

    NARCIS (Netherlands)

    Asadi, K.; Li, M.; Blom, P.W.M.; Kemerink, M.; Leeuw, D.M. de

    2011-01-01

    Memory is a prerequisite for many electronic devices. Organic non-volatile memory devices based on ferroelectricity are a promising approach towards the development of a low-cost memory technology based on a simple cross-bar array. In this review article we discuss the latest developments in this

  20. Effects of motor congruence on visual working memory.

    Science.gov (United States)

    Quak, Michel; Pecher, Diane; Zeelenberg, Rene

    2014-10-01

    Grounded-cognition theories suggest that memory shares processing resources with perception and action. The motor system could be used to help memorize visual objects. In two experiments, we tested the hypothesis that people use motor affordances to maintain object representations in working memory. Participants performed a working memory task on photographs of manipulable and nonmanipulable objects. The manipulable objects were objects that required either a precision grip (i.e., small items) or a power grip (i.e., large items) to use. A concurrent motor task that could be congruent or incongruent with the manipulable objects caused no difference in working memory performance relative to nonmanipulable objects. Moreover, the precision- or power-grip motor task did not affect memory performance on small and large items differently. These findings suggest that the motor system plays no part in visual working memory.

  1. Quantum associative memory with linear and non-linear algorithms for the diagnosis of some tropical diseases.

    Science.gov (United States)

    Tchapet Njafa, J-P; Nana Engo, S G

    2018-01-01

    This paper presents the QAMDiagnos, a model of Quantum Associative Memory (QAM) that can be a helpful tool for medical staff without experience or laboratory facilities, for the diagnosis of four tropical diseases (malaria, typhoid fever, yellow fever and dengue) which have several similar signs and symptoms. The memory can distinguish a single infection from a polyinfection. Our model is a combination of the improved versions of the original linear quantum retrieving algorithm proposed by Ventura and the non-linear quantum search algorithm of Abrams and Lloyd. From the given simulation results, it appears that the efficiency of recognition is good when particular signs and symptoms of a disease are inserted given that the linear algorithm is the main algorithm. The non-linear algorithm helps confirm or correct the diagnosis or give some advice to the medical staff for the treatment. So, our QAMDiagnos that has a friendly graphical user interface for desktop and smart-phone is a sensitive and a low-cost diagnostic tool that enables rapid and accurate diagnosis of four tropical diseases. Copyright © 2017 Elsevier Ltd. All rights reserved.

  2. Subthalamic stimulation differentially modulates declarative and nondeclarative memory.

    Science.gov (United States)

    Hälbig, Thomas D; Gruber, Doreen; Kopp, Ute A; Scherer, Peter; Schneider, Gerd-Helge; Trottenberg, Thomas; Arnold, Guy; Kupsch, Andreas

    2004-03-01

    Declarative memory has been reported to rely on the medial temporal lobe system, whereas non-declarative memory depends on basal ganglia structures. We investigated the functional role of the subthalamic nucleus (STN), a structure closely connected with the basal ganglia for both types of memory. Via deep brain high frequency stimulation (DBS) we manipulated neural activity of the STN in humans. We found that DBS-STN differentially modulated memory performance: declarative memory was impaired, whereas non-declarative memory was improved in the presence of STN-DBS indicating a specific role of the STN in the activation of memory systems. Copyright 2004 Lippincott Williams & Wilkins

  3. Non-Dependent and Dependent Daily Cannabis Users Differ in Mental Health but Not Prospective Memory Ability

    Directory of Open Access Journals (Sweden)

    Ruth Braidwood

    2018-03-01

    Full Text Available Research suggests that daily cannabis users have impaired memory for past events, but it is not clear whether they are also impaired in prospective memory (PM for future events. The present study examined PM in daily cannabis users who were either dependent (n = 18 or non-dependent (n = 18, and compared them with non-using controls (n = 18. The effect of future event simulation (FES on PM performance was also examined. Participants were matched across groups on age, gender, and highest level of education. The virtual week (VW was used to objectively assess PM abilities, both at baseline and following FES. Other measures used were: cannabis use variables, immediate and delayed prose recall, phonemic and category fluency, spot-the-word test (premorbid intelligence, Beck Depression Inventory, Beck Anxiety Inventory, and a measure of schizotypy (Oxford-Liverpool Inventory of Feelings and Experiences: unusual experiences subscale. No group differences were found in PM performance on the VW, and FES did not improve PM performance in any group. Dependent cannabis users scored higher on depression, anxiety, and schizotypy than both other groups with non-dependent cannabis users scoring at a similar level to controls. There were no group differences in alcohol use. Findings suggest that when carefully matched on baseline variables, and not differing in premorbid IQ or alcohol use, young, near-daily cannabis users do not differ from non-using controls in PM performance.

  4. Storybooks aren't just for fun: narrative and non-narrative picture books foster equal amounts of generic language during mother-toddler book sharing.

    Science.gov (United States)

    Nyhout, Angela; O'Neill, Daniela K

    2014-01-01

    Parents and children encounter a variety of animals and objects in the early picture books they share, but little is known about how the context in which these entities are presented influences talk about them. The present study investigated how the presence or absence of a visual narrative context influences mothers' tendency to refer to animals as individual characters or as members of a kind when sharing picture books with their toddlers (mean age 21.3 months). Mother-child dyads shared both a narrative and a non-narrative book, each featuring six animals and matched in terms of length and quantity of text. Mothers made more specific (individual-referring) statements about animals in the narrative books, whereas they provided more labels for animals in the non-narrative books. But, of most interest, the frequency and proportion of mothers' use of generic (kind-referring) utterances did not differ across the two different types of books. Further coding of the content of the utterances revealed that mothers provided more story-specific descriptions of states and actions of the animals when sharing narrative books and more physical descriptions of animals when sharing non-narrative books. However, the two books did not differ in terms of their elicitation of natural facts about the animals. Overall, although the two types of books encouraged different types of talk from mothers, they stimulated generic language and talk about natural facts to an equal degree. Implications for learning from picture storybooks and book genre selection in classrooms and home reading are discussed.

  5. Ways of Knowing, Sharing, and Translating Agricultural Knowledge and Perspectives: Alternative Epistemologies across Non-Formal and Informal Settings

    Science.gov (United States)

    Mars, Matthew M.; Ball, Anna L.

    2016-01-01

    The mainstream agricultural literacy movement has been mostly focused on school-based learning through formal curricula and standardized non-formal models (e.g., FFA, 4-H). The purpose of the current study is to qualitatively explore through a grounded theory approach, the development, sharing, and translation of diverse forms of agricultural…

  6. Efficient Management for Hybrid Memory in Managed Language Runtime

    OpenAIRE

    Wang , Chenxi; Cao , Ting; Zigman , John; Lv , Fang; Zhang , Yunquan; Feng , Xiaobing

    2016-01-01

    Part 1: Memory: Non-Volatile, Solid State Drives, Hybrid Systems; International audience; Hybrid memory, which leverages the benefits of traditional DRAM and emerging memory technologies, is a promising alternative for future main memory design. However popular management policies through memory-access recording and page migration may invoke non-trivial overhead in execution time and hardware space. Nowadays, managed language applications are increasingly dominant in every kind of platform. M...

  7. Chimpanzees share forbidden fruit.

    Directory of Open Access Journals (Sweden)

    Kimberley J Hockings

    2007-09-01

    Full Text Available The sharing of wild plant foods is infrequent in chimpanzees, but in chimpanzee communities that engage in hunting, meat is frequently used as a 'social tool' for nurturing alliances and social bonds. Here we report the only recorded example of regular sharing of plant foods by unrelated, non-provisioned wild chimpanzees, and the contexts in which these sharing behaviours occur. From direct observations, adult chimpanzees at Bossou (Republic of Guinea, West Africa very rarely transferred wild plant foods. In contrast, they shared cultivated plant foods much more frequently (58 out of 59 food sharing events. Sharing primarily consists of adult males allowing reproductively cycling females to take food that they possess. We propose that hypotheses focussing on 'food-for-sex and -grooming' and 'showing-off' strategies plausibly account for observed sharing behaviours. A changing human-dominated landscape presents chimpanzees with fresh challenges, and our observations suggest that crop-raiding provides adult male chimpanzees at Bossou with highly desirable food commodities that may be traded for other currencies.

  8. Transcranial magnetic stimulation of visual cortex in memory: cortical state, interference and reactivation of visual content in memory.

    Science.gov (United States)

    van de Ven, Vincent; Sack, Alexander T

    2013-01-01

    Memory for perceptual events includes the neural representation of the sensory information at short or longer time scales. Recent transcranial magnetic stimulation (TMS) studies of human visual cortex provided evidence that sensory cortex contributes to memory functions. In this review, we provide an exhaustive overview of these studies and ascertain how well the available evidence supports the idea of a causal role of sensory cortex in memory retention and retrieval. We discuss the validity and implications of the studies using a number of methodological and theoretical criteria that are relevant for brain stimulation of visual cortex. While most studies applied TMS to visual cortex to interfere with memory functions, a handful of pioneering studies used TMS to 'reactivate' memories in visual cortex. Interestingly, similar effects of TMS on memory were found in different memory tasks, which suggests that different memory systems share a neural mechanism of memory in visual cortex. At the same time, this neural mechanism likely interacts with higher order brain areas. Based on this overview and evaluation, we provide a first attempt to an integrative framework that describes how sensory processes contribute to memory in visual cortex, and how higher order areas contribute to this mechanism. Copyright © 2012 Elsevier B.V. All rights reserved.

  9. KCNQ channels regulate age-related memory impairment.

    Directory of Open Access Journals (Sweden)

    Sonia Cavaliere

    Full Text Available In humans KCNQ2/3 heteromeric channels form an M-current that acts as a brake on neuronal excitability, with mutations causing a form of epilepsy. The M-current has been shown to be a key regulator of neuronal plasticity underlying associative memory and ethanol response in mammals. Previous work has shown that many of the molecules and plasticity mechanisms underlying changes in alcohol behaviour and addiction are shared with those of memory. We show that the single KCNQ channel in Drosophila (dKCNQ when mutated show decrements in associative short- and long-term memory, with KCNQ function in the mushroom body α/βneurons being required for short-term memory. Ethanol disrupts memory in wildtype flies, but not in a KCNQ null mutant background suggesting KCNQ maybe a direct target of ethanol, the blockade of which interferes with the plasticity machinery required for memory formation. We show that as in humans, Drosophila display age-related memory impairment with the KCNQ mutant memory defect mimicking the effect of age on memory. Expression of KCNQ normally decreases in aging brains and KCNQ overexpression in the mushroom body neurons of KCNQ mutants restores age-related memory impairment. Therefore KCNQ is a central plasticity molecule that regulates age dependent memory impairment.

  10. Distributed-memory matrix computations

    DEFF Research Database (Denmark)

    Balle, Susanne Mølleskov

    1995-01-01

    The main goal of this project is to investigate, develop, and implement algorithms for numerical linear algebra on parallel computers in order to acquire expertise in methods for parallel computations. An important motivation for analyzaing and investigating the potential for parallelism in these......The main goal of this project is to investigate, develop, and implement algorithms for numerical linear algebra on parallel computers in order to acquire expertise in methods for parallel computations. An important motivation for analyzaing and investigating the potential for parallelism...... in these algorithms is that many scientific applications rely heavily on the performance of the involved dense linear algebra building blocks. Even though we consider the distributed-memory as well as the shared-memory programming paradigm, the major part of the thesis is dedicated to distributed-memory architectures....... We emphasize distributed-memory massively parallel computers - such as the Connection Machines model CM-200 and model CM-5/CM-5E - available to us at UNI-C and at Thinking Machines Corporation. The CM-200 was at the time this project started one of the few existing massively parallel computers...

  11. Episodic memory for natural and transformed food.

    Science.gov (United States)

    Aiello, Marilena; Vignando, Miriam; Foroni, Francesco; Pergola, Giulio; Rossi, Paola; Silveri, Maria Caterina; Rumiati, Raffaella I

    2018-05-10

    It has been proposed that the conceptual knowledge of food and its putative subdivision into natural (i.e., fruit/vegetables) and transformed (i.e., food that underwent thermic or non-thermic processing) may follow the living/non-living distinction. In the present study, we investigated whether the advantage for living things compared to non-living things observed in episodic memory (the so-called animacy effect) extends to natural foods and transformed foods respectively. We pursued this issue in two experiments. In Experiment 1, we measured episodic memory for natural and transformed foods in young participants. In Experiment 2, we enrolled dementia-free centenarians, patients with Alzheimer's disease (DAT), Progressive primary aphasia (PPA), and healthy controls whose episodic memory was also tested for living/non-living things. Results showed that young participants had better recognition memory for transformed foods compared to natural foods. This difference disappeared in centenarians and patients. However, centenarians and PPA exhibited enhanced levels of false alarms (FA) with natural food, and DAT patients with both natural and transformed food. As far as the living/non-living distinction is concerned, the episodic memory for the living category appears more resilient to the decline compared to the non-living category in patients, particularly those with PPA. In conclusion, our study shows that transformed food is better remembered than natural food, suggesting that it is more salient and possibly relevant from an evolutionary perspective. The natural/transformed distinction appears susceptible to erosion only in the presence of a high degree of episodic memory impairment. These results offer novel insight on episodic memory of food, and also extend the current knowledge on the animacy effect in episodic memory. Copyright © 2018 Elsevier Ltd. All rights reserved.

  12. Memory-dependent adjustment of vocal response latencies in a territorial songbird.

    Science.gov (United States)

    Geberzahn, Nicole; Hultsch, Henrike; Todt, Dietmar

    2013-06-01

    Vocal interactions in songbirds can be used as a model system to investigate the interplay of intrinsic singing programmes (e.g. influences from vocal memories) and external variables (e.g. social factors). When characterizing vocal interactions between territorial rivals two aspects are important: (1) the timing of songs in relation to the conspecific's singing and (2) the use of a song pattern that matches the rival's song. Responses in both domains can be used to address a territorial rival. This study is the first to investigate the relation of the timing of vocal responses to (1) the vocal memory of a responding subject and (2) the selection of the song pattern that the subject uses as a response. To this end, we conducted interactive playback experiments with adult nightingales (Luscinia megarhynchos) that had been hand-reared and tutored in the laboratory. We analysed the subjects' vocal response latencies towards broadcast playback stimuli that they either had in their own vocal repertoire (songs shared with playback) or that they had not heard before (unknown songs). Likewise, we compared vocal response latencies between responses that matched the stimulus song and those that did not. Our findings showed that the latency of singing in response to the playback was shorter for shared versus unknown song stimuli when subjects overlapped the playback stimuli with their own song. Moreover birds tended to overlap faster when vocally matching the stimulus song rather than when replying with a non-matching song type. We conclude that memory of song patterns influenced response latencies and discuss possible mechanisms. Copyright © 2012 Elsevier Ltd. All rights reserved.

  13. Functional memory metals

    International Nuclear Information System (INIS)

    Dunne, D.P.

    2000-01-01

    The field of shape memory phenomena in metals and alloys has developed in a sporadic fashion from a scientific curiosity to a vigorously growing niche industry, over a period close to a full working lifetime. Memory metal research and development is replete with scientist and engineer 'true believers', who can finally feel content that their longstanding confidence in the potential of these unusual functional materials has not been misplaced. This paper reviews the current range of medical and non-medical systems and devices which are based on memory metals and attempts to predict trends in applications over the next decade. The market is dominated by Ni Ti alloys which have proved to exhibit the best and most reproducible properties for application in a wide range of medical and non-medical devices

  14. High performance non-volatile ferroelectric copolymer memory based on a ZnO nanowire transistor fabricated on a transparent substrate

    International Nuclear Information System (INIS)

    Nedic, Stanko; Welland, Mark; Tea Chun, Young; Chu, Daping; Hong, Woong-Ki

    2014-01-01

    A high performance ferroelectric non-volatile memory device based on a top-gate ZnO nanowire (NW) transistor fabricated on a glass substrate is demonstrated. The ZnO NW channel was spin-coated with a poly (vinylidenefluoride-co-trifluoroethylene) (P(VDF-TrFE)) layer acting as a top-gate dielectric without buffer layer. Electrical conductance modulation and memory hysteresis are achieved by a gate electric field induced reversible electrical polarization switching of the P(VDF-TrFE) thin film. Furthermore, the fabricated device exhibits a memory window of ∼16.5 V, a high drain current on/off ratio of ∼10 5 , a gate leakage current below ∼300 pA, and excellent retention characteristics for over 10 4 s

  15. A Hybrid Approach to Processing Big Data Graphs on Memory-Restricted Systems

    KAUST Repository

    Harshvardhan,; West, Brandon; Fidel, Adam; Amato, Nancy M.; Rauchwerger, Lawrence

    2015-01-01

    that sacrifice performance. In this work, we propose a novel RAM-Disk hybrid approach to graph processing that can scale well from a single shared-memory node to large distributed-memory systems. It works by partitioning the graph into sub graphs that fit in RAM

  16. Process Qualification Strategy for Advances Embedded Non Volatile Memory Technology : The Philips' 0.18um Embedded Flash Case

    NARCIS (Netherlands)

    Tao, Guoqiao; Scarpa, Andrea; van Dijk, Kitty; Kuper, Fred G.

    2003-01-01

    A qualification strategy for advanced embedded non-volatile memory technology has been revealed. This strategy consists of: a thorough understanding of the requirements, extensive use and frequent update of the FMEA (failure mode effect analysis), a qualification plan with excellent coverage of all

  17. Ad Hoc Categories and False Memories: Memory Illusions for Categories Created On-The-Spot

    Science.gov (United States)

    Soro, Jerônimo C.; Ferreira, Mário B.; Semin, Gün R.; Mata, André; Carneiro, Paula

    2017-01-01

    Three experiments were designed to test whether experimentally created ad hoc associative networks evoke false memories. We used the DRM (Deese, Roediger, McDermott) paradigm with lists of ad hoc categories composed of exemplars aggregated toward specific goals (e.g., going for a picnic) that do not share any consistent set of features. Experiment…

  18. Universal algorithm of time sharing

    International Nuclear Information System (INIS)

    Silin, I.N.; Fedyun'kin, E.D.

    1979-01-01

    Timesharing system algorithm is proposed for the wide class of one- and multiprocessor computer configurations. Dynamical priority is the piece constant function of the channel characteristic and system time quantum. The interactive job quantum has variable length. Characteristic recurrent formula is received. The concept of the background job is introduced. Background job loads processor if high priority jobs are inactive. Background quality function is given on the base of the statistical data received in the timesharing process. Algorithm includes optimal trashing off procedure for the jobs replacements in the memory. Sharing of the system time in proportion to the external priorities is guaranteed for the all active enough computing channels (back-ground too). The fast answer is guaranteed for the interactive jobs, which use small time and memory. The external priority control is saved for the high level scheduler. The experience of the algorithm realization on the BESM-6 computer in JINR is discussed

  19. Novel applications of non-volatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Duthie, I

    1982-01-01

    The author reviews briefly the evolution of the programmable memory and the alternative technologies, before discussing the operation of a small EEPROM when used in conjunction with a microprocessor for typical applications. Some applications are reviewed and the opportunities which eeproms can offer for new applications are presented, together with the requirements for artificial intelligence to become a reality.

  20. Personal semantics: at the crossroads of semantic and episodic memory.

    Science.gov (United States)

    Renoult, Louis; Davidson, Patrick S R; Palombo, Daniela J; Moscovitch, Morris; Levine, Brian

    2012-11-01

    Declarative memory is usually described as consisting of two systems: semantic and episodic memory. Between these two poles, however, may lie a third entity: personal semantics (PS). PS concerns knowledge of one's past. Although typically assumed to be an aspect of semantic memory, it is essentially absent from existing models of knowledge. Furthermore, like episodic memory (EM), PS is idiosyncratically personal (i.e., not culturally-shared). We show that, depending on how it is operationalized, the neural correlates of PS can look more similar to semantic memory, more similar to EM, or dissimilar to both. We consider three different perspectives to better integrate PS into existing models of declarative memory and suggest experimental strategies for disentangling PS from semantic and episodic memory. Copyright © 2012 Elsevier Ltd. All rights reserved.

  1. 47 CFR 25.259 - Time sharing between NOAA meteorological satellite systems and non-voice, non-geostationary...

    Science.gov (United States)

    2010-10-01

    ... 47 Telecommunication 2 2010-10-01 2010-10-01 false Time sharing between NOAA meteorological... SATELLITE COMMUNICATIONS Technical Standards § 25.259 Time sharing between NOAA meteorological satellite... Atmospheric Administration (“NOAA”) satellite systems. When calculating the protection areas for a NOAA...

  2. A compact PE memory for vision chips

    Science.gov (United States)

    Cong, Shi; Zhe, Chen; Jie, Yang; Nanjian, Wu; Zhihua, Wang

    2014-09-01

    This paper presents a novel compact memory in the processing element (PE) for single-instruction multiple-data (SIMD) vision chips. The PE memory is constructed with 8 × 8 register cells, where one latch in the slave stage is shared by eight latches in the master stage. The memory supports simultaneous read and write on the same address in one clock cycle. Its compact area of 14.33 μm2/bit promises a higher integration level of the processor. A prototype chip with a 64 × 64 PE array is fabricated in a UMC 0.18 μm CMOS technology. Five types of the PE memory cell structure are designed and compared. The testing results demonstrate that the proposed PE memory architecture well satisfies the requirement of the vision chip in high-speed real-time vision applications, such as 1000 fps edge extraction.

  3. A compact PE memory for vision chips

    International Nuclear Information System (INIS)

    Shi Cong; Chen Zhe; Yang Jie; Wu Nanjian; Wang Zhihua

    2014-01-01

    This paper presents a novel compact memory in the processing element (PE) for single-instruction multiple-data (SIMD) vision chips. The PE memory is constructed with 8 × 8 register cells, where one latch in the slave stage is shared by eight latches in the master stage. The memory supports simultaneous read and write on the same address in one clock cycle. Its compact area of 14.33 μm 2 /bit promises a higher integration level of the processor. A prototype chip with a 64 × 64 PE array is fabricated in a UMC 0.18 μm CMOS technology. Five types of the PE memory cell structure are designed and compared. The testing results demonstrate that the proposed PE memory architecture well satisfies the requirement of the vision chip in high-speed real-time vision applications, such as 1000 fps edge extraction. (semiconductor integrated circuits)

  4. Deficits in visual short-term memory binding in children at risk of non-verbal learning disabilities.

    Science.gov (United States)

    Garcia, Ricardo Basso; Mammarella, Irene C; Pancera, Arianna; Galera, Cesar; Cornoldi, Cesare

    2015-01-01

    It has been hypothesized that learning disabled children meet short-term memory (STM) problems especially when they must bind different types of information, however the hypothesis has not been systematically tested. This study assessed visual STM for shapes and colors and the binding of shapes and colors, comparing a group of children (aged between 8 and 10 years) at risk of non-verbal learning disabilities (NLD) with a control group of children matched for general verbal abilities, age, gender, and socioeconomic level. Results revealed that groups did not differ in retention of either shapes or colors, but children at risk of NLD were poorer than controls in memory for shape-color bindings. Copyright © 2015 Elsevier Ltd. All rights reserved.

  5. Exploration of verbal and non-verbal semantic knowledge and autobiographical memories starting from popular songs in Alzheimer's disease.

    Science.gov (United States)

    Basaglia-Pappas, S; Laterza, M; Borg, C; Richard-Mornas, A; Favre, E; Thomas-Antérion, C

    2013-05-01

    In mild Alzheimer's disease (AD), a deficit in episodic memory, particularly autobiographical memory, is clearly established. Several recent studies have also shown impaired semantic memory from the onset of the disease. Musical memory capacities may be especially preserved and listening to music might encourage autobiographical recall. The aim of this study was to explore recall of popular songs in AD. We tested 12 patients with mild AD and 12 control subjects. We created a tool made up of old French popular songs: POP 10. This tool is a questionnaire composed of several subtests: melodic free recall, chorus free recall, melodic recognition, chorus recognition, semantic knowledge, autobiographical recall about the song, and autobiographical recall about the interpreter. We used non-parametric tests, the Mann-Whitney test (M-W), the Friedman test, and the a posteriori Wilcoxon test. Results of AD patients were rather similar to those of control participants for melodic memory. Concerning chorus memory (except recognition), semantic knowledge, and autobiographical recall about the interpreter, results of AD patients were significantly weaker than those of control participants. The most important result concerned autobiographical recall about the song: we found no impairment-related differences between the two groups. Our findings demonstrate that popular songs can be excellent stimuli for reminiscence, such as the ability to produce an autobiographical memory related to a song. Thus, we confirm that musical semantic knowledge associated with a song may be relatively preserved in the early stages of AD. This leads to new possibilities for cognitive stimulation.

  6. Shared and distinct contributions of rostrolateral prefrontal cortex to analogical reasoning and episodic memory retrieval.

    Science.gov (United States)

    Westphal, Andrew J; Reggente, Nicco; Ito, Kaori L; Rissman, Jesse

    2016-03-01

    Rostrolateral prefrontal cortex (RLPFC) is widely appreciated to support higher cognitive functions, including analogical reasoning and episodic memory retrieval. However, these tasks have typically been studied in isolation, and thus it is unclear whether they involve common or distinct RLPFC mechanisms. Here, we introduce a novel functional magnetic resonance imaging (fMRI) task paradigm to compare brain activity during reasoning and memory tasks while holding bottom-up perceptual stimulation and response demands constant. Univariate analyses on fMRI data from twenty participants identified a large swath of left lateral prefrontal cortex, including RLPFC, that showed common engagement on reasoning trials with valid analogies and memory trials with accurately retrieved source details. Despite broadly overlapping recruitment, multi-voxel activity patterns within left RLPFC reliably differentiated these two trial types, highlighting the presence of at least partially distinct information processing modes. Functional connectivity analyses demonstrated that while left RLPFC showed consistent coupling with the fronto-parietal control network across tasks, its coupling with other cortical areas varied in a task-dependent manner. During the memory task, this region strengthened its connectivity with the default mode and memory retrieval networks, whereas during the reasoning task it coupled more strongly with a nearby left prefrontal region (BA 45) associated with semantic processing, as well as with a superior parietal region associated with visuospatial processing. Taken together, these data suggest a domain-general role for left RLPFC in monitoring and/or integrating task-relevant knowledge representations and showcase how its function cannot solely be attributed to episodic memory or analogical reasoning computations. © 2015 Wiley Periodicals, Inc.

  7. Dissociating the two faces of selective memory retrieval.

    Science.gov (United States)

    Dobler, Ina M; Bäuml, Karl-Heinz T

    2012-07-01

    Research in the past four decades has repeatedly shown that selective retrieval of some (non-target) memories can impair subsequent retrieval of other (target) information, a finding known as retrieval-induced forgetting. More recently, however, there is evidence that selective retrieval can both impair and enhance recall of related memories (K-H. T. Bäuml & Samenieh, 2010). To identify possible experimental dissociations between the detrimental and the beneficial effects of memory retrieval, we examined retrieval dynamics in listwise directed forgetting, varying the delay between preceding non-target and subsequent target recall. When target recall immediately followed non-target recall, we replicated the prior work and found detrimental effects of memory retrieval on to-be-remembered items but beneficial effects on to-be-forgotten items. In contrast, when a delay was introduced between non-target and target recall, the detrimental effects were present but the beneficial effects were absent. The results demonstrate a first experimental dissociation between the two effects of memory retrieval. They are consistent with a recent two-factor account of the two faces of selective memory retrieval.

  8. Challenge in Sharing Tacit Knowledge: Academicians’ Behavior towards Developing A Web Portal for Sharing Research Ideas

    Directory of Open Access Journals (Sweden)

    Hafiza Adenan

    2013-08-01

    Full Text Available Academicians’ collective memories soft information, such as research ideas, expertise, experiences, academic skills, know-what, know-how and know-why which inevitability it is considered should made accessible. The Higher Education Institution needs to identify, collect, classify, verbalize and diffuse the academicians’ soft information specifically research ideas present in the university for knowledge enrichment. This can be implemented by the academicians actively sharing their research ideas with others. Actively sharing research ideas by academicians will have great impact on the enrichment of their intellectual capability as most of the valuable knowledge resides in one’s brain. However, as there is no specific medium to bring their research ideas into the surface and be visible to others, the precious research ideas still remain in the academicians’ brains. Therefore, the objective of the study is to explore academicians’ behavior toward the development of a sharing research ideas web portal at private university colleges in Malaysia. This study used the qualitative method that is a multiple cases study. The study refers to four private university colleges in Malaysia. In-depth interview, focus group discussion and document analysis were formed the data collection for this study. The theory of Planned Behavior by Ajzen (1991 was used to determine academicians’ behavior. This study showed that the academicians’ attitude, subjective norms, and perceived behavioral control towards developing a web portal for sharing research ideas all affect their intention to share their research ideas with others.

  9. Non-spatial pre-training in the water maze as a clinically relevant model for evaluating learning and memory in experimental TBI.

    Science.gov (United States)

    Wagner, Amy K; Brayer, Samuel W; Hurwitz, Max; Niyonkuru, Christian; Zou, Huichao; Failla, Michelle; Arenth, Patricia; Manole, Mioara D; Skidmore, Elizabeth; Thiels, Edda

    2013-11-01

    Explicit and implicit learning and memory networks exist where each network can facilitate or inhibit cognition. Clinical evidence suggests that implicit networks are relatively preserved after traumatic brain injury (TBI). Non-spatial pre-training (NSPT) in the Morris Water Maze (MWM) provides the necessary behavioral components to complete the task, while limiting the formation of spatial maps. Our study utilized NSPT in the MWM to assess implicit and explicit learning and memory system deficits in the controlled cortical impact (CCI) model of TBI. 76 adult male Sprague-Dawley rats were divided: CCI vs. sham surgery, NSPT vs. No-NSPT, and cued vs. non-cued groups. NSPT occurred for 4d prior to surgery (dynamic hidden platform location, extra-maze cues covered, static pool entry point). Acquisition (d14-18), Probe/Visible Platform (d19), and Reversal (d20-21) trials were conducted with or without extra-maze cues. Novel time allocation and search strategy selection metrics were utilized. Results indicated implicit and explicit learning/memory networks are distinguishable in the MWM. In the cued condition, NSPT reduced thigmotaxis, improved place learning, and largely eliminated the apparent injury-induced deficits typically observed between untrained CCI and sham rats. However, among NSPT groups, incorporation of cues into search strategy selection for CCI rats was relatively impaired compared to shams. Non-cued condition performance showed sham/NSPT and CCI/NSPT rats perform similarly, suggesting implicit memory networks are largely intact 2weeks after CCI. Place learning differences between CCI/NSPT and sham/NSPT rats more accurately reflect spatial deficits in our CCI model compared to untrained controls. These data suggest NSPT as a clinically relevant construct for evaluating potential neurorestorative and neuroprotective therapies. These findings also support development of non-spatial cognitive training paradigms for evaluating rehabilitation relevant

  10. Getting connected: Both associative and semantic links structure semantic memory for newly learned persons.

    Science.gov (United States)

    Wiese, Holger; Schweinberger, Stefan R

    2015-01-01

    The present study examined whether semantic memory for newly learned people is structured by visual co-occurrence, shared semantics, or both. Participants were trained with pairs of simultaneously presented (i.e., co-occurring) preexperimentally unfamiliar faces, which either did or did not share additionally provided semantic information (occupation, place of living, etc.). Semantic information could also be shared between faces that did not co-occur. A subsequent priming experiment revealed faster responses for both co-occurrence/no shared semantics and no co-occurrence/shared semantics conditions, than for an unrelated condition. Strikingly, priming was strongest in the co-occurrence/shared semantics condition, suggesting additive effects of these factors. Additional analysis of event-related brain potentials yielded priming in the N400 component only for combined effects of visual co-occurrence and shared semantics, with more positive amplitudes in this than in the unrelated condition. Overall, these findings suggest that both semantic relatedness and visual co-occurrence are important when novel information is integrated into person-related semantic memory.

  11. Double dissociation between rules and memory in music: an event-related potential study.

    Science.gov (United States)

    Miranda, Robbin A; Ullman, Michael T

    2007-11-01

    Language and music share a number of characteristics. Crucially, both domains depend on both rules and memorized representations. Double dissociations between the neurocognition of rule-governed and memory-based knowledge have been found in language but not music. Here, the neural bases of both of these aspects of music were examined with an event-related potential (ERP) study of note violations in melodies. Rule-only violations consisted of out-of-key deviant notes that violated tonal harmony rules in novel (unfamiliar) melodies. Memory-only violations consisted of in-key deviant notes in familiar well-known melodies; these notes followed musical rules but deviated from the actual melodies. Finally, out-of-key notes in familiar well-known melodies constituted violations of both rules and memory. All three conditions were presented, within-subjects, to healthy young adults, half musicians and half non-musicians. The results revealed a double dissociation, independent of musical training, between rules and memory: both rule violation conditions, but not the memory-only violations, elicited an early, somewhat right-lateralized anterior-central negativity (ERAN), consistent with previous studies of rule violations in music, and analogous to the early left-lateralized anterior negativities elicited by rule violations in language. In contrast, both memory violation conditions, but not the rule-only violation, elicited a posterior negativity that might be characterized as an N400, an ERP component that depends, at least in part, on the processing of representations stored in long-term memory, both in language and in other domains. The results suggest that the neurocognitive rule/memory dissociation extends from language to music, further strengthening the similarities between the two domains.

  12. New non-linear model of groundwater recharge: Inclusion of memory, heterogeneity and visco-elasticity

    Directory of Open Access Journals (Sweden)

    Spannenberg Jescica

    2017-09-01

    Full Text Available Fractional differentiation has adequate use for investigating real world scenarios related to geological formations associated with elasticity, heterogeneity, viscoelasticity, and the memory effect. Since groundwater systems exist in these geological formations, modelling groundwater recharge as a real world scenario is a challenging task to do because existing recharge estimation methods are governed by linear equations which make use of constant field parameters. This is inadequate because in reality these parameters are a function of both space and time. This study therefore concentrates on modifying the recharge equation governing the EARTH model, by application of the Eton approach. Accordingly, this paper presents a modified equation which is non-linear, and accounts for parameters in a way that it is a function of both space and time. To be more specific, herein, recharge and drainage resistance which are parameters within the equation, became a function of both space and time. Additionally, the study entailed solving the non-linear equation using an iterative method as well as numerical solutions by means of the Crank-Nicolson scheme. The numerical solutions were used alongside the Riemann-Liouville, Caputo-Fabrizio, and Atangana-Baleanu derivatives, so that account was taken for elasticity, heterogeneity, viscoelasticity, and the memory effect. In essence, this paper presents a more adequate model for recharge estimation.

  13. Study of large shareholders’ behavior after non-tradable shares reform: A perspective of related party transactions

    Directory of Open Access Journals (Sweden)

    Hongbo Zhang

    2013-09-01

    Full Text Available Purpose: This paper explores the behavior choice of large shareholders in the related party transactions which occur between the large shareholders and listed companies by using the data of shares from 2007 to 2010. Design/methodology/appraoch: Based on the classical research paradigm (that is, LLSV, we analysis controlling shareholders’ propping and tunneling behaviors aiming to make sure their impacts to the medium and small shareholders in theory. Findings: We get the following findings: After our capital market entering the era of full circulation, we find that the relationship between the ratio of controlling shareholders and the related party transactions present (RPTs an inverted “U” shape curve, which means that it exits a typical “Grab-synergy” effect. we should take different measures to the transactions occurred between the large shareholders and listed companies according to the property nature of the large shareholders. State-owned shareholders choose to realize their private benefits by means of RPTs, while the non state-owned shareholders conduct RPTs with an expectation of reducing costs.Practical implications: Since Guo Shuqing, the Chairman of China Securities Regulatory Commission, took office, he has taken a lot measures to curb the related party transactions harshly. Under this circumstance, it is just the right time to have a research on large shareholders’ behavior. It has important significance both in theory and practice. Originality/value: Considering the Chinese special national conditions, this paper added lots of comprehensive facts to study large shareholders’ behavior including the rate of the share held by indirect controller, the probability of thievish behaviors have been discovered, and the strict punishment regulations. The discussions in this paper help to bring into focus a highly topical issue within the context of the large shareholders’ behavior after Non-tradable Shares Reform.

  14. Comparative Evaluation and Case Studies of Shared-Memory and Data-Parallel Execution Patterns

    Directory of Open Access Journals (Sweden)

    Xiaodong Zhang

    1999-01-01

    Full Text Available Shared‐memory and data‐parallel programming models are two important paradigms for scientific applications. Both models provide high‐level program abstractions, and simple and uniform views of network structures. The common features of the two models significantly simplify program coding and debugging for scientific applications. However, the underlining execution and overhead patterns are significantly different between the two models due to their programming constraints, and due to different and complex structures of interconnection networks and systems which support the two models. We performed this experimental study to present implications and comparisons of execution patterns on two commercial architectures. We implemented a standard electromagnetic simulation program (EM and a linear system solver using the shared‐memory model on the KSR‐1 and the data‐parallel model on the CM‐5. Our objectives are to examine the execution pattern changes required for an implementation transformation between the two models; to study memory access patterns; to address scalability issues; and to investigate relative costs and advantages/disadvantages of using the two models for scientific computations. Our results indicate that the EM program tends to become computation‐intensive in the KSR‐1 shared‐memory system, and memory‐demanding in the CM‐5 data‐parallel system when the systems and the problems are scaled. The EM program, a highly data‐parallel program performed extremely well, and the linear system solver, a highly control‐structured program suffered significantly in the data‐parallel model on the CM‐5. Our study provides further evidence that matching execution patterns of algorithms to parallel architectures would achieve better performance.

  15. Meeting Organizational Performance with Shared Knowledge Management Processes

    OpenAIRE

    Franco, Massimo; Mariano, Stefania

    2010-01-01

    Using empirical research data, this study investigated how knowledge is stored and retrieved in an American company and contributed to the growing body of literature on the use of knowledge, technology, and memory systems to improve organizational performance. It demonstrated the importance of individual motivation and efforts, managerial capabilities, and shared organizational technologies in the management of organizational processes and revealed factors influencing the processes of knowled...

  16. Transparent Memory For Harsh Electronics

    KAUST Repository

    Ho, C. H.; Duran Retamal, Jose Ramon; Yang, P. K.; Lee, C. P.; Tsai, M. L.; Kang, C. F.; He, Jr-Hau

    2017-01-01

    As a new class of non-volatile memory, resistive random access memory (RRAM) offers not only superior electronic characteristics, but also advanced functionalities, such as transparency and radiation hardness. However, the environmental tolerance

  17. An ethical framework for sharing patient data without consent

    Directory of Open Access Journals (Sweden)

    Robert Navarro

    2008-12-01

    Discussion The hard problem of non-consented data sharing should be divided into the easier (though non-trivial ones of data and recipient breach risk measurement. Directed research in these two areas will help move the data sharing problem into the 'solved' pile.

  18. Four-state non-volatile memory in a multiferroic spin filter tunnel junction

    Science.gov (United States)

    Ruan, Jieji; Li, Chen; Yuan, Zhoushen; Wang, Peng; Li, Aidong; Wu, Di

    2016-12-01

    We report a spin filter type multiferroic tunnel junction with a ferromagnetic/ferroelectric bilayer barrier. Memory functions of a spin filter magnetic tunnel junction and a ferroelectric tunnel junction are combined in this single device, producing four non-volatile resistive states that can be read out in a non-destructive manner. This concept is demonstrated in a LaNiO3/Pr0.8Ca0.2MnO3/BaTiO3/La0.7Sr0.3MnO3 all-oxide tunnel junction. The ferromagnetic insulator Pr0.8Ca0.2MnO3 serves as the spin filter and the ferromagnetic metal La0.7Sr0.3MnO3 is the spin analyzer. The ferroelectric polarization reversal in the BaTiO3 barrier switches the tunneling barrier height to produce a tunneling electroresistance. The ferroelectric switching also modulates the spin polarization and the spin filtering efficiency in Pr0.8Ca0.2MnO3.

  19. Memory effects on stochastic resonance

    Science.gov (United States)

    Neiman, Alexander; Sung, Wokyung

    1996-02-01

    We study the phenomenon of stochastic resonance (SR) in a bistable system with internal colored noise. In this situation the system possesses time-dependent memory friction connected with noise via the fluctuation-dissipation theorem, so that in the absence of periodic driving the system approaches the thermodynamic equilibrium state. For this non-Markovian case we find that memory usually suppresses stochastic resonance. However, for a large memory time SR can be enhanced by the memory.

  20. PGHPF – An Optimizing High Performance Fortran Compiler for Distributed Memory Machines

    Directory of Open Access Journals (Sweden)

    Zeki Bozkus

    1997-01-01

    Full Text Available High Performance Fortran (HPF is the first widely supported, efficient, and portable parallel programming language for shared and distributed memory systems. HPF is realized through a set of directive-based extensions to Fortran 90. It enables application developers and Fortran end-users to write compact, portable, and efficient software that will compile and execute on workstations, shared memory servers, clusters, traditional supercomputers, or massively parallel processors. This article describes a production-quality HPF compiler for a set of parallel machines. Compilation techniques such as data and computation distribution, communication generation, run-time support, and optimization issues are elaborated as the basis for an HPF compiler implementation on distributed memory machines. The performance of this compiler on benchmark programs demonstrates that high efficiency can be achieved executing HPF code on parallel architectures.

  1. Enhanced Memory Consolidation Via Automatic Sound Stimulation During Non-REM Sleep.

    Science.gov (United States)

    Leminen, Miika M; Virkkala, Jussi; Saure, Emma; Paajanen, Teemu; Zee, Phyllis C; Santostasi, Giovanni; Hublin, Christer; Müller, Kiti; Porkka-Heiskanen, Tarja; Huotilainen, Minna; Paunio, Tiina

    2017-03-01

    Slow-wave sleep (SWS) slow waves and sleep spindle activity have been shown to be crucial for memory consolidation. Recently, memory consolidation has been causally facilitated in human participants via auditory stimuli phase-locked to SWS slow waves. Here, we aimed to develop a new acoustic stimulus protocol to facilitate learning and to validate it using different memory tasks. Most importantly, the stimulation setup was automated to be applicable for ambulatory home use. Fifteen healthy participants slept 3 nights in the laboratory. Learning was tested with 4 memory tasks (word pairs, serial finger tapping, picture recognition, and face-name association). Additional questionnaires addressed subjective sleep quality and overnight changes in mood. During the stimulus night, auditory stimuli were adjusted and targeted by an unsupervised algorithm to be phase-locked to the negative peak of slow waves in SWS. During the control night no sounds were presented. Results showed that the sound stimulation increased both slow wave (p = .002) and sleep spindle activity (p memory performance was compared between stimulus and control nights, we found a significant effect in word pair task but not in other memory tasks. The stimulation did not affect sleep structure or subjective sleep quality. We showed that the memory effect of the SWS-targeted individually triggered single-sound stimulation is specific to verbal associative memory. Moreover, the ambulatory and automated sound stimulus setup was promising and allows for a broad range of potential follow-up studies in the future. © Sleep Research Society 2017. Published by Oxford University Press [on behalf of the Sleep Research Society].

  2. What Drives Memory-Driven Attentional Capture? The Effects of Memory Type, Display Type, and Search Type

    Science.gov (United States)

    Olivers, Christian N. L.

    2009-01-01

    An important question is whether visual attention (the ability to select relevant visual information) and visual working memory (the ability to retain relevant visual information) share the same content representations. Some past research has indicated that they do: Singleton distractors interfered more strongly with a visual search task when they…

  3. Memory dynamics under stress.

    Science.gov (United States)

    Quaedflieg, Conny W E M; Schwabe, Lars

    2018-03-01

    Stressful events have a major impact on memory. They modulate memory formation in a time-dependent manner, closely linked to the temporal profile of action of major stress mediators, in particular catecholamines and glucocorticoids. Shortly after stressor onset, rapidly acting catecholamines and fast, non-genomic glucocorticoid actions direct cognitive resources to the processing and consolidation of the ongoing threat. In parallel, control of memory is biased towards rather rigid systems, promoting habitual forms of memory allowing efficient processing under stress, at the expense of "cognitive" systems supporting memory flexibility and specificity. In this review, we discuss the implications of this shift in the balance of multiple memory systems for the dynamics of the memory trace. Specifically, stress appears to hinder the incorporation of contextual details into the memory trace, to impede the integration of new information into existing knowledge structures, to impair the flexible generalisation across past experiences, and to hamper the modification of memories in light of new information. Delayed, genomic glucocorticoid actions might reverse the control of memory, thus restoring homeostasis and "cognitive" control of memory again.

  4. Shared Variable Oriented Parallel Precompiler for SPMD Model

    Institute of Scientific and Technical Information of China (English)

    1995-01-01

    For the moment,commercial parallel computer systems with distributed memory architecture are usually provided with parallel FORTRAN or parallel C compliers,which are just traditional sequential FORTRAN or C compilers expanded with communication statements.Programmers suffer from writing parallel programs with communication statements. The Shared Variable Oriented Parallel Precompiler (SVOPP) proposed in this paper can automatically generate appropriate communication statements based on shared variables for SPMD(Single Program Multiple Data) computation model and greatly ease the parallel programming with high communication efficiency.The core function of parallel C precompiler has been successfully verified on a transputer-based parallel computer.Its prominent performance shows that SVOPP is probably a break-through in parallel programming technique.

  5. From Nose to Memory: The Involuntary Nature of Odor-evoked Autobiographical Memories in Alzheimer's Disease.

    Science.gov (United States)

    El Haj, Mohamad; Gandolphe, Marie Charlotte; Gallouj, Karim; Kapogiannis, Dimitrios; Antoine, Pascal

    2017-12-25

    Research suggests that odors may serve as a potent cue for autobiographical retrieval. We tested this hypothesis in Alzheimer's disease (AD) and investigated whether odor-evoked autobiographical memory is an involuntary process that shares similarities with music-evoked autobiographical memory. Participants with mild AD and controls were asked to retrieve 2 personal memories after odor exposure, after music exposure, and in an odor-and music-free condition. AD participants showed better specificity, emotional experience, mental time travel, and retrieval time after odor and music exposure than in the control condition. Similar beneficial effects of odor and music exposure were observed for autobiographical characteristics (i.e., specificity, emotional experience, and mental time travel), except for retrieval time which was more improved after odor than after music exposure. Interestingly, regression analyses suggested executive involvement in memories evoked in the control condition but not in those evoked after music or odor exposure. These findings suggest the involuntary nature of odor-evoked autobiographical memory in AD. They also suggest that olfactory cuing could serve as a useful and ecologically valid tool to stimulate autobiographical memory, at least in the mild stage of the disease. © The Author(s) 2017. Published by Oxford University Press. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  6. Modifiable risk factors for prescription medicine sharing behaviours.

    Science.gov (United States)

    Beyene, Kebede; Aspden, Trudi; McNeill, Rob; Sheridan, Janie

    2018-04-06

    Prescription medicine sharing has been defined as giving one's own medicine to someone else (lending) or taking someone else's medicine (borrowing). Medicines can be shared for non-medical purposes (recreational sharing or drug abuse) or for their intended therapeutic benefits (non-recreational sharing, e.g. sharing antibiotics to self-treat); the latter is the focus of this research. Limited research evidence is available about modifiable risk factors for non-recreational medicine sharing and addressing this issue was the main aim of this research. An online, cross-sectional survey design was used. The study population comprised a convenience sample of 233 adults, who were primarily recruited through patient support groups across New Zealand. Principal component analysis was used to develop scales assessing attitudes toward medicine lending and borrowing. Logistic regression was used to examine the relationship between explanatory (demographics, medical conditions, and attitudes towards medicine sharing) and outcome (medicine sharing behaviours) variables. Half of the study participants reported ever borrowing/lending medicines, and approximately a third of participants reported borrowing/lending in the past year. Modifiable risk factors associated with an increased risk of medicine borrowing behaviour were having more difficulty with accessing medicine ('access-related issue'), stronger 'emotional beliefs about borrowing', and greater 'concern about missing doses.' Greater 'concern for the wellbeing of others' and stronger 'beliefs about the benefits and safety of lending' were associated with an increased risk of medicine lending behaviour. Those with a higher 'perceived risk of harm' were less likely to borrow or lend medicines. This research expands the current knowledge of medicine sharing by examining underlying behavioural factors which predict sharing behaviours and that can be modified by interventions. This research suggests using multifaceted

  7. Brief, pre-learning stress reduces false memory production and enhances true memory selectively in females.

    Science.gov (United States)

    Zoladz, Phillip R; Peters, David M; Kalchik, Andrea E; Hoffman, Mackenzie M; Aufdenkampe, Rachael L; Woelke, Sarah A; Wolters, Nicholas E; Talbot, Jeffery N

    2014-04-10

    Some of the previous research on stress-memory interactions has suggested that stress increases the production of false memories. However, as accumulating work has shown that the effects of stress on learning and memory depend critically on the timing of the stressor, we hypothesized that brief stress administered immediately before learning would reduce, rather than increase, false memory production. In the present study, participants submerged their dominant hand in a bath of ice cold water (stress) or sat quietly (no stress) for 3 min. Then, participants completed a short-term memory task, the Deese-Roediger-McDermott paradigm, in which they were presented with 10 different lists of semantically related words (e.g., candy, sour, sugar) and, after each list, were tested for their memory of presented words (e.g., candy), non-presented unrelated "distractor" words (e.g., hat), and non-presented semantically related "critical lure" words (e.g., sweet). Stress, overall, significantly reduced the number of critical lures recalled (i.e., false memory) by participants. In addition, stress enhanced memory for the presented words (i.e., true memory) in female, but not male, participants. These findings reveal that stress does not unequivocally enhance false memory production and that the timing of the stressor is an important variable that could mediate such effects. Such results could have important implications for understanding the dependability of eyewitness accounts of events that are observed following stress. Copyright © 2014 Elsevier Inc. All rights reserved.

  8. A hybrid magnetic/complementary metal oxide semiconductor three-context memory bit cell for non-volatile circuit design

    International Nuclear Information System (INIS)

    Jovanović, B.; Brum, R. M.; Torres, L.

    2014-01-01

    After decades of continued scaling to the beat of Moore's law, it now appears that conventional silicon based devices are approaching their physical limits. In today's deep-submicron nodes, a number of short-channel and quantum effects are emerging that affect the manufacturing process, as well as, the functionality of the microelectronic systems-on-chip. Spintronics devices that exploit both the intrinsic spin of the electron and its associated magnetic moment, in addition to its fundamental electronic charge, are promising solutions to circumvent these scaling threats. Being compatible with the CMOS technology, such devices offer a promising synergy of radiation immunity, infinite endurance, non-volatility, increased density, etc. In this paper, we present a hybrid (magnetic/CMOS) cell that is able to store and process data both electrically and magnetically. The cell is based on perpendicular spin-transfer torque magnetic tunnel junctions (STT-MTJs) and is suitable for use in magnetic random access memories and reprogrammable computing (non-volatile registers, processor cache memories, magnetic field-programmable gate arrays, etc). To demonstrate the potential our hybrid cell, we physically implemented a small hybrid memory block using 45 nm × 45 nm round MTJs for the magnetic part and 28 nm fully depleted silicon on insulator (FD-SOI) technology for the CMOS part. We also report the cells measured performances in terms of area, robustness, read/write speed and energy consumption

  9. A hybrid magnetic/complementary metal oxide semiconductor three-context memory bit cell for non-volatile circuit design

    Energy Technology Data Exchange (ETDEWEB)

    Jovanović, B., E-mail: bojan.jovanovic@lirmm.fr, E-mail: lionel.torres@lirmm.fr; Brum, R. M.; Torres, L. [LIRMM—University of Montpellier 2/UMR CNRS 5506, 161 Rue Ada, 34095 Montpellier (France)

    2014-04-07

    After decades of continued scaling to the beat of Moore's law, it now appears that conventional silicon based devices are approaching their physical limits. In today's deep-submicron nodes, a number of short-channel and quantum effects are emerging that affect the manufacturing process, as well as, the functionality of the microelectronic systems-on-chip. Spintronics devices that exploit both the intrinsic spin of the electron and its associated magnetic moment, in addition to its fundamental electronic charge, are promising solutions to circumvent these scaling threats. Being compatible with the CMOS technology, such devices offer a promising synergy of radiation immunity, infinite endurance, non-volatility, increased density, etc. In this paper, we present a hybrid (magnetic/CMOS) cell that is able to store and process data both electrically and magnetically. The cell is based on perpendicular spin-transfer torque magnetic tunnel junctions (STT-MTJs) and is suitable for use in magnetic random access memories and reprogrammable computing (non-volatile registers, processor cache memories, magnetic field-programmable gate arrays, etc). To demonstrate the potential our hybrid cell, we physically implemented a small hybrid memory block using 45 nm × 45 nm round MTJs for the magnetic part and 28 nm fully depleted silicon on insulator (FD-SOI) technology for the CMOS part. We also report the cells measured performances in terms of area, robustness, read/write speed and energy consumption.

  10. Activating Attachments Reduces Memories of Traumatic Images.

    Directory of Open Access Journals (Sweden)

    Richard A Bryant

    Full Text Available Emotional memories, and especially intrusive memories, are a common feature of many psychological disorders, and are overconsolidated by stress. Attachment theory posits that activation of mental representations of attachment figures can reduce stress and boost coping. This study tested the proposition that attachment activation would reduce consolidation of emotional and intrusive memories. Sixty-seven undergraduate students viewed subliminal presentations of traumatic and neutral images, which were preceded by subliminal presentations of either attachment-related images or non-attachment-related images; free recall and intrusive memories were assessed two days later. Participants with low avoidant attachment tendencies who received the attachment primes recalled fewer memories and reported fewer intrusions than those who received the non-attachment primes. Unexpectedly, those with high anxious attachment tendencies reported fewer memories. These findings generally accord with attachment theory, and suggest that consolidation of emotional memories can be moderated by activation of attachment representations.

  11. Memory transition between communicating agents

    Directory of Open Access Journals (Sweden)

    Elena FELL

    2012-01-01

    Full Text Available What happens to a memory when it has been externalised and embodied but has not reached its addressee yet? A letter that has been written but has not been read, a monument before it is unveiled or a Neolithic tool buried in the ground – all these objects harbour human memories engrained in their physicality; messages intended for those who will read the letter, admire the monument and hold the tool. According to Ilyenkov’s theory of objective idealism, the conscious and wilful input encoded in all manmade objects as the ‘ideal’ has an objective existence, independent from the author, but this existence lasts only while memories are shared between communicating parties. If all human minds were absent from the world for a period of time, the ‘ideal’, or memories, would cease to exist. They would spring back to existence, however, once humans re-entered the world. Ilyenkov’s analysis of memories existing outside an individual human consciousness is informative and thorough but, following his line of thought, we would have to accept an ontological gap in the process of memory acquisition, storage and transmission. If there is a period, following memory acquisition and preceding its transmission, when memories plainly do not exist, then each time a new reader, spectator or user perceives them, he or she must create the author’s memories ex nihilo. Bergson’s theory of duration and intuition can help us to resolve this paradox.This paper will explore the ontological characteristics of memory passage in communication taken at different stages of the process. There will be an indication of how the findings of this investigation could be applicable to concrete cases of memory transmission. In particular, this concerns intergenerational communication, technological memory, the use of digital devices and the Internet.

  12. Transactional Memory

    CERN Document Server

    Harris, Tim; Rajwar, Ravi

    2010-01-01

    The advent of multicore processors has renewed interest in the idea of incorporating transactions into the programming model used to write parallel programs.This approach, known as transactional memory, offers an alternative, and hopefully better, way to coordinate concurrent threads. The ACI(atomicity, consistency, isolation) properties of transactions provide a foundation to ensure that concurrent reads and writes of shared data do not produce inconsistent or incorrect results. At a higher level, a computation wrapped in a transaction executes atomically - either it completes successfullyand

  13. Individual differences in susceptibility to false memories: The effect of memory specificity.

    Science.gov (United States)

    Dewhurst, Stephen A; Anderson, Rachel J; Berry, Donna M; Garner, Sarah R

    2017-06-25

    Previous research has highlighted the wide individual variability in susceptibility to the false memories produced by the Deese/Roediger-McDermott (DRM) procedure [Deese, J. (1959). On the prediction of occurrence of particular verbal intrusions in immediate recall. Journal of Experimental Psychology, 58, 17-22; Roediger, H. L., III, & McDermott, K. B. (1995). Creating false memories: Remembering words not presented in lists. Journal of Experimental Psychology: Learning, Memory, & Cognition, 21, 803-814]. The current study investigated whether susceptibility to false memories is influenced by individual differences in the specificity of autobiographical memory retrieval. Memory specificity was measured using the Sentence Completion for Events from the Past Test (SCEPT) [Raes, F., Hermans, D., Williams, J. M. G., & Eelen, P. (2007). A sentence completion procedure as an alternative to the Autobiographical Memory Test for assessing overgeneral memory in non-clinical populations. Memory, 15, 495-507]. Memory specificity did not correlate with correct recognition, but a specific retrieval style was positively correlated with levels of false recognition. It is proposed that the contextual details that frequently accompany false memories of nonstudied lures are more accessible in individuals with specific retrieval styles.

  14. Memory behaviour in a radiation environment

    International Nuclear Information System (INIS)

    Brucker, G.J.; Thurlow, L.

    1979-01-01

    Memory devices are often required for storage of data which must not be altered during a nuclear burst. If the properties of non-alterability and low power consumption during a standby mode of operation are combined, then the choice is narrowed down to static C-MOS bulk or silicon-on-sapphire (SOS) memories. Previous investigations have indicated that the SOS devices will achieve the maximum non-scrambling dose rate. However, it is interesting to determine the limitations of bulk as well as SOS devices for those programs where circumvention and refreshing of the memory is allowed. This article will present the results of an investigation of the characteristics of these memory types in a transient environment. (author)

  15. Information and processes underlying semantic and episodic memory across tasks, items, and individuals.

    Science.gov (United States)

    Cox, Gregory E; Hemmer, Pernille; Aue, William R; Criss, Amy H

    2018-04-01

    The development of memory theory has been constrained by a focus on isolated tasks rather than the processes and information that are common to situations in which memory is engaged. We present results from a study in which 453 participants took part in five different memory tasks: single-item recognition, associative recognition, cued recall, free recall, and lexical decision. Using hierarchical Bayesian techniques, we jointly analyzed the correlations between tasks within individuals-reflecting the degree to which tasks rely on shared cognitive processes-and within items-reflecting the degree to which tasks rely on the same information conveyed by the item. Among other things, we find that (a) the processes involved in lexical access and episodic memory are largely separate and rely on different kinds of information, (b) access to lexical memory is driven primarily by perceptual aspects of a word, (c) all episodic memory tasks rely to an extent on a set of shared processes which make use of semantic features to encode both single words and associations between words, and (d) recall involves additional processes likely related to contextual cuing and response production. These results provide a large-scale picture of memory across different tasks which can serve to drive the development of comprehensive theories of memory. (PsycINFO Database Record (c) 2018 APA, all rights reserved).

  16. Colouring in the Blanks: Memory Drawings of the 1990 Kuwait Invasion

    Science.gov (United States)

    Pepin-Wakefield, Yvonne

    2009-01-01

    This study used drawing tasks to examine the similarities and differences between females and males who shared a collective traumatic event in early childhood. Could these childhood memories be recorded, measured, and compared for gender differences in drawings by young adults who had shared a similar experience as children? Exploration of this…

  17. The Contribution of Working Memory to Fluid Reasoning: Capacity, Control, or Both?

    Science.gov (United States)

    Chuderski, Adam; Necka, Edward

    2012-01-01

    Fluid reasoning shares a large part of its variance with working memory capacity (WMC). The literature on working memory (WM) suggests that the capacity of the focus of attention responsible for simultaneous maintenance and integration of information within WM, as well as the effectiveness of executive control exerted over WM, determines…

  18. Building a DBMS on top of the JuxMem Grid Data-Sharing Service

    OpenAIRE

    Almousa Almaksour , Abdullah; Antoniu , Gabriel; Bougé , Luc; Cudennec , Loïc; Gançarski , Stéphane

    2007-01-01

    Held in conjunction with Parallel Architectures and Compilation Techniques 2007 (PACT2007); International audience; We claim that building a distributed DBMS on top of a general-purpose grid data-sharing service is a natural extension of previous approaches based on the distributed shared memory paradigm. The approach we propose consists in providing the DBMS with a transparent, persistent and fault-tolerant access to the stored data, within a unstable, volatile and dynamic environment. The D...

  19. Time and interference: Effects on working memory.

    Science.gov (United States)

    Botto, Marta; Palladino, Paola

    2016-05-01

    This study tested predictions from the time-based resource-sharing (TBRS) model with a classical verbal working memory (WM) task, where target and non-target information interfere strongly with each other. Different predictions can be formulated according to the dominant perspectives (TBRS and interference hypothesis) on the role of inhibitory control in WM task performance. Here, we aimed to trace the activation of irrelevant information, examining priming effects in a lexical decision task immediately following WM recall. Results indicate the roles of both time and interference constraints in determining task performance. In particular, the role of time available seemed crucial at the highest WM loads (i.e., 3 and 4 memoranda). These were also associated with a higher activation of no-longer-relevant information but, in this case, independently from time available for processing. © 2015 The British Psychological Society.

  20. En-gendering Memory through Holocaust Alimentary Life Writing

    OpenAIRE

    Vasvári, Louise O.

    2015-01-01

    In her article "En-gendering Memory through Holocaust Alimentary Life Writing" Louise O. Vasvári aims to underline the cultural and gendered significance of the sharing of recipes as a survival tool by starving women in concentration camps during the Holocaust and the continuing role of food memories in the writing of Holocaust survivor women she considers a genealogy of intergenerational remembrance and transmission into the postmemory writing of their second generation daughters and occasio...