Zhang, Jie; Tao, Sha; Wang, Brian; Zhao, Jay
2017-02-01
In this paper, micro-processing of three kinds of super-hard materials of poly-crystal diamond (PCD)/tungsten-carbide (WC), CVD-diamond and cubic boron nitride (CNB) has been systematically studied using nanosecond laser (532nm and 355nm), and ultrafast laser (532nm and 515nm). Our purpose is to investigate a full laser micro-cutting solution to achieve a ready-to-use cutting tool insert (CTI). The results show a clean cut with little burns and recasting at edge. The cutting speed of 2-10mm/min depending on thickness was obtained. The laser ablation process was also studied by varying laser parameters (wavelength, pulse width, pulse energy, repetition rate) and tool path to improve cutting speed. Also, studies on material removal efficiency (MRE) of PCD/WC with 355nm-ns and 515nm-fs laser as a function of laser fluence show that 355nm-ns laser is able to achieve higher MRE for PCD and WC. Thus, ultrafast laser is not necessarily used for superhard material cutting. Instead, post-polishing with ultrafast laser can be used to clean cutting surface and improve smoothness.
Building blocks for future detectors: Silicon test masses and 1550 nm laser light
International Nuclear Information System (INIS)
Schnabel, R; Britzger, M; Burmeister, O; Danzmann, K; Duck, J; Eberle, T; Friedrich, D; Luck, H; Mehmet, M; Steinlechner, S; Willke, B; Brueckner, F; Nawrodt, R
2010-01-01
Current interferometric gravitational wave detectors use the combination of quasi-monochromatic, continuous-wave laser light at 1064 nm and fused silica test masses at room temperature. Detectors of the third generation, such as the Einstein-Telescope, will involve a considerable sensitivity increase. The combination of 1550 nm laser radiation and crystalline silicon test masses at low temperatures might be important ingredients in order to achieve the sensitivity goal. Here we compare some properties of the fused silica and silicon test mass materials relevant for decreasing the thermal noise in future detectors as well as the recent technology achievements in the preparation of laser radiation at 1064 nm and 1550 nm relevant for decreasing the quantum noise. We conclude that silicon test masses and 1550 nm laser light have the potential to form the future building blocks of gravitational wave detection.
Passively mode-locked Nd:YVO4 laser operating at 1073 nm and 1085 nm
Waritanant, Tanant; Major, Arkady
2018-02-01
A passively mode-locked Nd:YVO4 laser operating at 1073 nm and 1085 nm was demonstrated with an intracavity birefringent filter as the wavelength selecting element. The average output powers achieved were 2.17 W and 2.18 W with optical-to-optical efficiency of 19.6% and 19.7%, respectively. The slope efficiencies were more than 31% at both output wavelengths. The pulse durations at the highest average output power were 10.3 ps and 8.4 ps, respectively. We believe that this is the first report of mode locking of a Nd:YVO4 laser operating at 1073 nm or 1085 nm lines.
Gün, Teoman; Metz, Philip; Huber, Günter
2011-03-15
We report efficient cw laser operation of laser diode pumped Pr(3+)-doped LiYF4 crystals in the visible spectral region. Using two InGaN laser diodes emitting at λ(P)=443.9 nm with maximum output power of 1 W each and a 2.9-mm-long crystal with a doping concentration of 0.5%, output powers of 938 mW, 418 mW, 384 mW, and 773 mW were achieved for the laser wavelengths 639.5 nm, 607.2 nm, 545.9 nm, and 522.6 nm, respectively. The maximum absorbed pump powers were approximately 1.5 W, resulting in slope efficiencies of 63.6%, 32.0%, 52.1%, and 61.5%, as well as electro-optical efficiencies of 9.4%, 4.2%, 3.8%, and 7.7%, respectively. Within these experiments, laser diode-pumped laser action at 545.9 nm was demonstrated for what is believed to be the first time.
Chaliyawala, Harsh A.; Purohit, Zeel; Khanna, Sakshum; Ray, Abhijit; Pati, Ranjan K.; Mukhopadhyay, Indrajit
2018-05-01
We report an alternative approach to fabricate the vertically aligned aperiodic Si nanowire arrays by controlling the diameter of the Ag nanoparticles and tuneable ultrasonic removal. The process begins by sputtering the Ag thin film (t=5 nm) on the Si/SiO2 substrates. Followed by Ag thin film, annealed for various temperature (T=300°C, 400°C, 500°C and 600°C) to selectively achieve a high density, well-spaced and diameter controlled Ag nanoparticles (AgNPs) on the Si/SiO2 substrates. The sacrificial layer of AgNPs size indicates the controlled diameter of the Si nanowire arrays. Image J analysis for various annealed samples gives an indication of the high density, uniformity and equal distribution of closely packed AgNPs. Furthermore, the AgNPs covered with Au/Pd mesh (5 nm) as a template, was removed by ultrasonication in the etchant solution for several times in different intervals of preparation. The conventional and facile metal assisted electroless etching approach was finally employed to fabricate the vertically aperiodic sub-50 nm SiNWAs, can be applicable to various nanoscale opto-electronic applications.
Feasibility of achieving gain in transition to the ground state of C VI at 3.4 nm
International Nuclear Information System (INIS)
Avitzour, Yoav; Suckewer, Szymon
2007-01-01
We present numerical studies of recombination gain in the transition to the ground state of H-like C (2→1 transition at λ=3.4 nm). It is shown that high gain (up to about 180 cm -1 ) can be achieved using currently available, relatively compact, laser technology. The model includes the ionization of the plasma by an ultraintense, ultrashort laser pulse, followed by plasma expansion, cooling, and recombination. Transient population inversion is generated during the recombination process. We investigate the behavior of the gain with respect to different plasma parameters and pump pulse parameters and explain how the different properties of the plasma and the pump pulse affect the gain
Efficient continuous-wave 1112 nm Nd:YAG laser operation under direct diode pumping at 885 nm
International Nuclear Information System (INIS)
Gao, J; Dai, X J; Zhang, L; Wu, X D
2013-01-01
We report compact diode-end-pumped continuous-wave laser operation at 1112 nm under 885 nm diode-direct pumping for the first time. On the basis of the R 2 →Y 6 transition in a conventional Nd:YAG (yttrium aluminum garnet) single crystal, the maximum output power of 12.5 W is achieved, with an optical to optical efficiency of 46.6% and a slope efficiency of 52.9%. To the best of our knowledge, this represents the highest output at 1112 nm generated by a diode-end-pumped Nd:YAG laser. Furthermore, it is the highest optical to optical efficiency ever reported for 1112 nm Nd:YAG lasers. The short term power stability is ∼0.32% at 12.0 W output. (letter)
Stehlik, Stepan; Varga, Marian; Stenclova, Pavla; Ondic, Lukas; Ledinsky, Martin; Pangrac, Jiri; Vanek, Ondrej; Lipov, Jan; Kromka, Alexander; Rezek, Bohuslav
2017-11-08
Color centers in diamonds have shown excellent potential for applications in quantum information processing, photonics, and biology. Here we report chemical vapor deposition (CVD) growth of nanocrystalline diamond (NCD) films as thin as 5-6 nm with photoluminescence (PL) from silicon-vacancy (SiV) centers at 739 nm. Instead of conventional 4-6 nm detonation nanodiamonds (DNDs), we prepared and employed hydrogenated 2 nm DNDs (zeta potential = +36 mV) to form extremely dense (∼1.3 × 10 13 cm -2 ), thin (2 ± 1 nm), and smooth (RMS roughness < 0.8 nm) nucleation layers on an Si/SiO x substrate, which enabled the CVD growth of such ultrathin NCD films in two different and complementary microwave (MW) CVD systems: (i) focused MW plasma with an ellipsoidal cavity resonator and (ii) pulsed MW plasma with a linear antenna arrangement. Analytical ultracentrifuge, infrared and Raman spectroscopies, atomic force microscopy, and scanning electron microscopy are used for detailed characterization of the 2 nm H-DNDs and the nucleation layer as well as the ultrathin NCD films. We also demonstrate on/off switching of the SiV center PL in the NCD films thinner than 10 nm, which is achieved by changing their surface chemistry.
Energy Technology Data Exchange (ETDEWEB)
Zhang Fan; Liu Xiaohua; Pan Caofeng; Zhu Jing [Beijing National Center for Electron Microscopy, Tsinghua University, Beijing 100084 (China); Laboratory of Advanced Materials, Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China)
2007-08-29
Self-organized nano-porous anodic aluminium oxide (AAO) membranes with small pore diameters were obtained by applying a low anodizing potential in sulfuric acid solutions. The pore diameters of the as-prepared AAO membranes were in the range of about 6-19 nm and the interpore distances were about 20-58 nm. Low potentials (6-18 V) were applied in anodizing processes to make such small pores. A linear relationship between the anodizing potential (U{sub a}) and the interpore distance (D{sub int}) was also revealed. By carefully monitoring the current density's evolution as a function of time with different U{sub a} (2-18 V) during the anodizing processes, a new formula is proposed to simulate the self-ordering anodizing process.
Electron multibeam technology for mask and wafer writing at 0.1 nm address grid
Platzgummer, Elmar; Klein, Christof; Loeschner, Hans
2013-07-01
IMS Nanofabrication realized a 50 keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1 nm address grid and lithography performance capability. The POC system achieves the predicted 5 nm 1 sigma blur across the 82 μm×82 μm array of 512×512 (262,144) programmable 20 nm beams. 24-nm half pitch (HP) has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11-nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta), and first-generation high-volume manufacturing multibeam mask writer (MBMW) tools in 2016. In these MBMW systems the max beam current through the column is 1 μA. The new architecture has also the potential for 1× mask (master template) writing. Substantial further developments are needed for maskless e-beam direct write (EBDW) applications as a beam current of >2 mA is needed to achieve 100 wafer per hour industrial targets for 300 mm wafer size. Necessary productivity enhancements of more than three orders of magnitude are only possible by shrinking the multibeam optics such that 50 to 100 subcolumns can be placed on the area of a 300 mm wafer and by clustering 10 to 20 multicolumn tools. An overview of current EBDW efforts is provided.
Blue laser diode (450 nm) systems for welding copper
Silva Sa, M.; Finuf, M.; Fritz, R.; Tucker, J.; Pelaprat, J.-M.; Zediker, M. S.
2018-02-01
This paper will discuss the development of high power blue laser systems for industrial applications. The key development enabling high power blue laser systems is the emergence of high power, high brightness laser diodes at 450 nm. These devices have a high individual brightness rivaling their IR counterparts and they have the potential to exceed their performance and price barriers. They also have a very high To resulting in a 0.04 nm/°C wavelength shift. They have a very stable lateral far-field profile which can be combined with other diodes to achieve a superior brightness. This paper will report on the characteristics of the blue laser diodes, their integration into a modular laser system suitable for scaling the output power to the 1 kW level and beyond. Test results will be presented for welding of copper with power levels ranging from 150 Watts to 600 Watts
Potential Reciprocal Relationship between Motivation and Achievement: A Longitudinal Study
Liu, Yuan; Hou, Shumeng
2018-01-01
Among the non-cognitive factors that influence academic achievement, intrinsic motivation has been found to be a potential reciprocal factor. The present study aims to determine the causal relationship between other types of motivation and academic achievement. For this purpose, a large-scale data survey, the National Education Longitudinal Study…
Efficient laser-diode end-pumped Nd:GGG lasers at 1054 and 1067 nm.
Xu, Bin; Xu, Huiying; Cai, Zhiping; Camy, P; Doualan, J L; Moncorgé, R
2014-10-10
Efficient and compact laser-diode end-pumped Nd:GGG simultaneous multiwavelength continuous-wave lasers at ∼1059, ∼1060 and ∼1062 nm were first demonstrated in a free-running 30 mm plano-concave laser cavity. The maximum output power was up to 3.92 W with a slope efficiency of about 53.6% with respect to the absorbed pump power. By inserting a 0.1 mm optical glass plate acting as a Fabry-Pérot etalon, a single-wavelength laser at ∼1067 nm with a maximum output power of 1.95 W and a slope efficiency of 28.5% can be obtained. Multiwavelength lasers, including those at ∼1054 or ∼1067 nm, were also achievable by suitably tilting the glass etalon. These simultaneous multiwavelength lasers provide a potential source for terahertz wave generation.
Advances in 750 nm VECSELs (Conference Presentation)
Saarinen, Esa J.; Ranta, Sanna; Lyytikäinen, Jari; Saarela, Antti; Sirbu, Alexei; Iakovlev, Vladimir; Kapon, Eli; Guina, Mircea
2017-03-01
Lasers operating in the transmission window of tissue at wavelengths between 700 and 800 nm are needed in numerous medical and biomedical applications, including photodynamic therapy and fluorescence microscopy. However, the performance of diode lasers in this spectral range is limited by the lack of appropriate compound semiconductors. Here, we review our recent research on 750 nm VECSELs. Two approaches to reaching the 750 nm wavelength will be discussed. The first approach relies on intra-cavity frequency doubling a wafer-fused 1500 nm VECSEL. The VECSEL gain chip comprises a GaAs-based DBR and an InP-based gain section, which allows for optical pumping with low-cost commercial diodes at 980 nm. With this scheme we have achieved watt-level output powers and tuning of the laser wavelength over a 40 nm band at around 750 nm. The second approach is direct emission at 750 nm using the AlGaAs/GaAs material system. In this approach visible wavelengths are required for optical pumping. However, the consequent higher costs compared to pumping at 980 nm are mitigated by the more compact laser setup and prospects of doubling the frequency to the ultraviolet range.
Electron multi-beam technology for mask and wafer writing at 0.1nm address grid
Platzgummer, Elmar; Klein, Christof; Loeschner, Hans
2013-03-01
An overview of electron beam tool configurations is provided. The adoption of multi-beam writing is mandatory in order to fulfill industrial needs for 11nm HP nodes and below. IMS Nanofabrication realized a 50keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1nm address grid and lithography performance capability. The new architecture will be introduced for mask writing at first, but has also the potential for 1xmask (master template) and direct wafer writing. The POC system achieves the predicted 5nm 1sigma blur across the 82μm x 82μm array of 512 x 512 (262,144) programmable 20nm beams. 24nm HP has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta) and 1st generation HVM mask writer tools in 2016. Implementing a multi-axis column configuration, 50x / 100x productivity enhancements are possible for direct 300mm / 450mm wafer writing.
20 W continuous-wave cladding-pumped Nd-doped fiber laser at 910 nm.
Laroche, M; Cadier, B; Gilles, H; Girard, S; Lablonde, L; Robin, T
2013-08-15
We demonstrate a double-clad fiber laser operating at 910 nm with a record power of 20 W. Laser emission on the three-level scheme is enabled by the combination of a small inner cladding-to-core diameter ratio and a high brightness pump source at 808 nm. A laser conversion efficiency as high as 44% was achieved in CW operating regime by using resonant fiber Bragg reflectors at 910 nm that prevent the lasing at the 1060 nm competing wavelength. Furthermore, in a master oscillator power-amplifier scheme, an amplified power of 14.8 W was achieved at 914 nm in the same fiber.
International Nuclear Information System (INIS)
Stappel, M; Steinborn, R; Kolbe, D; Walz, J
2013-01-01
We present a high power single-frequency ytterbium fiber amplifier system with an output power of 30 W at 1091 nm. The amplifier system consists of two stages, a preamplifier stage in which amplified spontaneous emission is efficiently suppressed (>40 dB) and a high power amplifier with an efficiency of 52%. Two different approaches to frequency doubling are compared. We achieve 8.6 W at 545.5 nm by single-pass frequency doubling in a MgO-doped periodically poled stoichiometric LiTaO 3 crystal and up to 19.3 W at 545.5 nm by frequency doubling with a lithium-triborate crystal in an external enhancement cavity. (paper)
Energy Technology Data Exchange (ETDEWEB)
Lu, Zhansheng; Li, Shuo; Lv, Peng [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang 453007 (China); He, Chaozheng, E-mail: hecz2013@nynu.edu.cn [College of Physics and Electronic Engineering, Nanyang Normal University, Nanyang 473061 (China); Ma, Dongwei [School of Physics, Anyang Normal University, Anyang 455000 (China); Yang, Zongxian, E-mail: yzx@henannu.edu.cn [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang 453007 (China); Collaborative Innovation Center of Nano Functional Materials and Applications, Kaifeng (China)
2016-01-01
Graphical abstract: - Highlights: • The NM adatoms belong to embedded adsorption in 18C-hexagon of GDY. • The Rh and Ir/GDY can be applied to single metal catalysts or sensors. • A simple linear relationship between E{sub e-ads} and E{sub b} is presented. • The linear relationship can be used in the noble metal modified GDY. - Abstract: Based on the dispersion-corrected density functional calculations (DFT-D), we systematically studied the adsorption of noble metals (NM), Pd, Pt, Rh and Ir, on graphdiyne (GDY). We present a systematic study on the geometry, embedded adsorption energy and electronic structure of four different adatoms adsorbed on the GDY. The strong interaction between the NM adatoms and the GDY substrate is found with the NM embedded in the 18C-hexagon of the GDY. We investigated the mobility of the NM adatoms on the GDY, and found that the mobility barrier energy increases along with the increasing of the embedded adsorption energy. We present the NM adatoms growth of high concentrations on the GDY. Upon the analysis of the electronic structure and the frontier molecular orbitals, Rh and Ir adatoms of low concentrations (about 1.37 at%) on the GDY have the potential to be applied as single metal catalysts or gas molecule sensors.
Efficient generation of 509 nm light by sum-frequency mixing between two tapered diode lasers
DEFF Research Database (Denmark)
Tawfieq, Mahmoud; Jensen, Ole Bjarlin; Hansen, Anders Kragh
2015-01-01
We demonstrate a concept for visible laser sources based on sum-frequency generation of beam com- bined tapered diode lasers. In this specific case, a 1.7 W sum-frequency generated green laser at 509 nm is obtained, by frequency adding of 6.17 W from a 978 nm tapered diode laser with 8.06 W from...... a 1063 nm tapered diode laser, inside a periodically poled MgO doped lithium niobate crystal. This corresponds to an optical to optical conversion ef fi ciency of 12.1%. As an example of potential applica- tions, the generated nearly diffraction-limited green light is used for pumping a Ti:sapphire laser......, thus demonstrating good beam quality and power stability. The maximum output powers achieved when pumping the Ti:sapphire laser are 226 mW (CW) and 185 mW (mode-locked) at 1.7 W green pump power. The optical spectrum emitted by the mode-locked Ti:sapphire laser shows a spectral width of about 54 nm...
Cryogenic Lifetime Studies of 130 nm and 65 nm CMOS Technologies for High-Energy Physics Experiments
Energy Technology Data Exchange (ETDEWEB)
Hoff, James R. [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Deptuch, G. W. [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Wu, Guoying [Southern Methodist Univ., Dallas, TX (United States); Gui, Ping [Southern Methodist Univ., Dallas, TX (United States)
2015-06-04
The Long Baseline Neutrino Facility intends to use unprecedented volumes of liquid argon to fill a time projection chamber in an underground facility. Research is under way to place the electronics inside the cryostat. For reasons of efficiency and economics, the lifetimes of these circuits must be well in excess of 20 years. The principle mechanism for lifetime degradation of MOSFET devices and circuits operating at cryogenic temperatures is hot carrier degradation. Choosing a process technology that is, as much as possible, immune to such degradation and developing design techniques to avoid exposure to such damage are the goals. This, then, requires careful investigation and a basic understanding of the mechanisms that underlie hot carrier degradation and the secondary effects they cause in circuits. In this work, commercially available 130 nm and 65 nm nMOS transistors operating at cryogenic temperatures are investigated. Our results show that both technologies achieve the lifetimes required by the experiment. Minimal design changes are necessary in the case of the 130 nm process and no changes whatsoever are necessary for the 65 nm process.
Diode-pumped CW Nd:SGG laser at 1070 nm
International Nuclear Information System (INIS)
Liang, W; Sun, G C; Yu, X; Li, B Z; Jin, G Y
2011-01-01
We report for the first time (to our knowledge) a diode-pumped Nd:SGG laser emitting at 1070 nm. A power of 1.23 W at 1070 nm has been achieved in continuous-wave (CW) operation with a fiber-coupled laser diode emitting 18.2 W at 806 nm. Intracavity second-harmonic generation (SHG) in CW mode has also been demonstrated with a power of 328 mW at 535 nm by using a LiB 3 O 5 (LBO) nonlinear crystal. The green beam quality factor M 2 was less than 1.22. The green power stability was less 2.5% in 4 hour
16.7 W 885 nm diode-side-pumped actively Q -switched Nd:YAG/YVO4 intracavity Raman laser at 1176 nm
International Nuclear Information System (INIS)
Jiang, Pengbo; Zhang, Guizhong; Liu, Jian; Ding, Xin; Sheng, Quan; Sun, Bing; Shi, Rui; Wu, Liang; Yao, Jianquan; Yu, Xuanyi; Wang, Rui
2017-01-01
We proposed and experimentally demonstrated the generation of high-power 1176 nm Stokes wave by frequency shifting of a 885 nm diode-side-pumped Nd:YAG laser using a YVO 4 crystal in a Z -shaped cavity configuration. Employing the 885 nm diode-side-pumped scheme and the Z -shaped cavity, for the first time to our knowledge, we realized the thermal management effectively, achieving excellent 1176 nm Stokes wave consequently. With an incident pump power of ∼190.0 W, a maximum average output power of 16.7 W was obtained at the pulse repetition frequency of 10 kHz. The pulse duration and spectrum linewidth of the Stokes wave at the maximum output power were 20.3 ns and ∼0.08 nm, respectively. (paper)
Efficient 525 nm laser generation in single or double resonant cavity
Liu, Shilong; Han, Zhenhai; Liu, Shikai; Li, Yinhai; Zhou, Zhiyuan; Shi, Baosen
2018-03-01
This paper reports the results of a study into highly efficient sum frequency generation from 792 and 1556 nm wavelength light to 525 nm wavelength light using either a single or double resonant ring cavity based on a periodically poled potassium titanyl phosphate crystal (PPKTP). By optimizing the cavity's parameters, the maximum power achieved for the resultant 525 nm laser was 263 and 373 mW for the single and double resonant cavity, respectively. The corresponding quantum conversion efficiencies were 8 and 77% for converting 1556 nm photons to 525 nm photons with the single and double resonant cavity, respectively. The measured intra-cavity single pass conversion efficiency for both configurations was about 5%. The performances of the sum frequency generation in these two configurations was studied and compared in detail. This work will provide guidelines for optimizing the generation of sum frequency generated laser light for a variety of configurations. The high conversion efficiency achieved in this work will help pave the way for frequency up-conversion of non-classical quantum states, such as the squeezed vacuum and single photon states. The proposed green laser source will be used in our future experiments, which includes a plan to generate two-color entangled photon pairs and achieve the frequency down-conversion of single photons carrying orbital angular momentum.
Tseng, Shih-En; Chen, Alek
2012-06-01
Extreme ultraviolet (EUV) lithography is considered the most promising single exposure technology at the 27 nm half-pitch node and beyond. The imaging performance of ASML TWINSCAN NXE:3100 has been demonstrated to be able to resolve 26 nm Flash gate layer and 16 nm static random access memory (SRAM) metal layer with a 0.25 numerical aperture (NA) and conventional illumination. Targeting for high volume manufacturing, ASML TWINSCAN NXE:3300B, featuring a 0.33 NA lens with off-axis illumination, will generate a higher contrast aerial image due to improved diffraction order collection efficiency and is expected to reduce target dose via mask biasing. This work performed a simulation to determine how EUV high NA imaging benefits the mask rule check trade-offs required to achieve viable lithography solutions in two device application scenarios: a 14 nm node 6T-SRAM contact layer and a 16 nm half-pitch NAND Flash staggered contact layer. In each application, the three-dimensional mask effects versus Kirchhoff mask were also investigated.
Laser Shock Processing of 6061-T6 Al alloy with 1064 nm and 532 nm wavelengths
International Nuclear Information System (INIS)
Gomez-Rosas, G.; Rubio-Gonzalez, C.; Ocana, J.L.; Molpeceres, C.; Porro, J.A.; Morales, M.; Casillas, F.J.
2010-01-01
Laser Shock Processing (LSP) has been proposed as a competitive alternative technology to classical treatments for improving fatigue and wear resistance of metals. We present a configuration and results in the LSP concept for metal surface treatments in underwater laser irradiation at 532 nm and 1064 nm. The purpose of the work is to compare the effect of both wavelengths on the same material. A convergent lens is used to deliver 1.2 J/pulse (1064 nm) and 0.9 J/pulse (532 nm) in a 8 ns laser FWHM pulse produced by 10 Hz Q-switched Nd:YAG laser with spots of a 1.5 mm in diameter moving forward along the work piece. A LSP configuration with experimental results using a pulse density of 2500 pulses/cm 2 and 5000 pulses/cm 2 in 6061-T6 aluminum samples are presented. High level compressive residual stresses are produced using both wavelengths. It has been shown that surface residual stress level is comparable to that achieved by conventional shot peening, but with greater depths. This method can be applied to surface treatment of final metal products.
Structure, spectroscopic properties and laser performance of Nd:YNbO4 at 1066 nm
Ding, Shoujun; Peng, Fang; Zhang, Qingli; Luo, Jianqiao; Liu, Wenpeng; Sun, Dunlu; Dou, Renqin; Sun, Guihua
2016-12-01
We have demonstrated continuous wave (CW) laser operation of Nd:YNbO4 crystal at 1066 nm for the first time. A maximum output power of 1.12 W with the incident power of 5.0 W is successfully achieved corresponding to an optical-to-optical conversion efficiency of 22.4% and a slope efficiency of 24.0%. The large absorption cross section (8.7 × 10-20 cm2) and wide absorption band (6 nm) at around 808 nm indicates the good pumping efficiency by laser diodes (LD). The small emission cross section (29 × 10-20 cm2) and relative long lifetime of the 4F3/2 → 4I11/2 transition indicates good energy storage capacity of Nd:YNbO4. Moreover, the raw materials of Nd:YNbO4 are stable, thus, it can grow high-quality and large-size by Czochralski (CZ) method. Therefore the Nd:YNbO4 crystal is a potentially new laser material suitable for LD pumping.
Dynamic Assessment, Potential Giftedness and Mathematics Achievement in Elementary School
Popa, Nicoleta Laura; Pauc, Ramona Loredana
2015-01-01
Dynamic assessment is currently discussed in educational literature as one of the most promising practices in stimulating learning among various groups of students, including gifted and potentially gifted students. The present study investigates effects of dynamic assessment on mathematics achievement among elementary school students, with…
Diode laser (980nm) cartilage reshaping
El Kharbotly, A.; El Tayeb, T.; Mostafa, Y.; Hesham, I.
2011-03-01
Loss of facial or ear cartilage due to trauma or surgery is a major challenge to the otolaryngologists and plastic surgeons as the complicated geometric contours are difficult to be animated. Diode laser (980 nm) has been proven effective in reshaping and maintaining the new geometric shape achieved by laser. This study focused on determining the optimum laser parameters needed for cartilage reshaping with a controlled water cooling system. Harvested animal cartilages were angulated with different degrees and irradiated with different diode laser powers (980nm, 4x8mm spot size). The cartilage specimens were maintained in a deformation angle for two hours after irradiation then released for another two hours. They were serially measured and photographed. High-power Diode laser irradiation with water cooling is a cheep and effective method for reshaping the cartilage needed for reconstruction of difficult situations in otorhinolaryngologic surgery. Key words: cartilage,diode laser (980nm), reshaping.
Bandgap Engineering of 1300 nm Quantum Dots/Quantum Well Nanostructures Based Devices
Alhashim, Hala H.
2016-05-29
The main objectives of this thesis are to develop viable process and/or device technologies for bandgap tuning of 1300-nm InGaAs/GaAs quantum-dot (QD) laser structures, and broad linewidth 1300-nm InGaAsP/InP quantum well (QW) superluminescent diode structures. The high performance bandgap-engineered QD laser structures were achieved by employing quantum-dot intermixing (QDI) based on impurity free vacancy diffusion (IFVD) technique for eventual seamless active-passive integration, and bandgap-tuned lasers. QDI using various dielectric-capping materials, such as HfO2, SrTiO3, TiO2, Al2O3 and ZnO, etc, were experimented in which the resultant emission wavelength can be blueshifted to ∼ 1100 nm ─ 1200 nm range depending on process conditions. The significant results extracted from the PL characterization were used to perform an extensive laser characterization. The InAs/GaAs quantum-dot lasers with QDs transition energies were blueshifted by ~185 nm, and lasing around ~1070 – 1190 nm was achieved. Furthermore, from the spectral analysis, a simultaneous five-state lasing in the InAs/InGaAs intermixed QD laser was experimentally demonstrated for the first time in the very important wavelength range from 1030 to 1125 nm. The QDI methodology enabled the facile formation of a plethora of devices with various emission wavelengths suitable for a wide range of applications in the infrared. In addition, the wavelength range achieved is also applicable for coherent light generation in the green – yellow – orange visible wavelength band via frequency doubling, which is a cost-effective way of producing compact devices for pico-projectors, semiconductor laser based solid state lighting, etc. [1, 2] In QW-based superluminescent diode, the problem statement lies on achieving a flat-top and ultra-wide emission bandwidth. The approach was to design an inhomogeneous active region with a comparable simultaneous emission from different transition states in the QW stacks, in
Energy Technology Data Exchange (ETDEWEB)
Scarcella, Carmelo; Tosi, Alberto, E-mail: alberto.tosi@polimi.it; Villa, Federica; Tisa, Simone; Zappa, Franco [Politecnico di Milano, Dipartimento di Elettronica, Informazione e Bioingegneria, Piazza Leonardo da Vinci 32, I-20133 Milano (Italy)
2013-12-15
We developed a single-photon counting multichannel detection system, based on a monolithic linear array of 32 CMOS SPADs (Complementary Metal-Oxide-Semiconductor Single-Photon Avalanche Diodes). All channels achieve a timing resolution of 100 ps (full-width at half maximum) and a photon detection efficiency of 50% at 400 nm. Dark count rate is very low even at room temperature, being about 125 counts/s for 50 μm active area diameter SPADs. Detection performance and microelectronic compactness of this CMOS SPAD array make it the best candidate for ultra-compact time-resolved spectrometers with single-photon sensitivity from 300 nm to 900 nm.
International Nuclear Information System (INIS)
Fu, S C; Wang, X; Chu, H
2013-01-01
We report the generation of a green laser at 543 nm by intracavity frequency doubling of the continuous-wave (cw) laser operation of a 1086 nm Nd:YVO 4 laser under 888 nm diode pumping into the emitting level 4 F 3/2 . An LiB 3 O 5 (LBO) crystal, cut for critical type I phase matching at room temperature, is used for the laser second-harmonic generation. At an incident pump power of 17.8 W, as high as 4.53 W cw output power at 543 nm is achieved. The optical-to-optical conversion efficiency is up to 25.4%, and the fluctuation of the green output power is better than 2.3% in a 30 min period. (paper)
Widely Tunable High-Power Tapered Diode Laser at 1060 nm
DEFF Research Database (Denmark)
Jensen, Ole Bjarlin; Sumpf, Bernd; Erbert, Götz
2011-01-01
We report a large tuning range from 1018 to 1093 nm from a InGaAs single quantum-well 1060-nm external cavity tapered diode laser. More than 2.5-W output power has been achieved. The tuning range is to our knowledge the widest obtained from a high-power InGaAs single quantum-well tapered laser...... operating around 1060 nm. The light emitted by the laser has a nearly diffraction limited beam quality and a narrow linewidth of less than 6 pm everywhere in the tuning range....
Continuous-wave and passively Q-switched Nd:YVO4 laser at 1085 nm
Lin, Hongyi; Liu, Hong; Huang, Xiaohua; Zhang, Jiyan
2017-11-01
An admirable and efficient Nd:YVO4 laser at 1085 nm is demonstrated with a compact 35 mm plano-plano cavity. A chosen narrow bandpass filter with high-transmittance (HT) coating at 1064 nm (T=96%) and optimized part-reflection (PR) coating at 1085 nm (T=15%) is used as the output coupler. In the continuous-wave (CW) regime, the maximum output power reaches 3110 mW at the pump power of 11.41 W. Based on a Cr:YAG crystal with initial-transmittance of 91%, the first passively Q-switched Nd:YVO4 laser at 1085 nm is achieved. When the pump power is changed from the threshold of 4.50 to 6.08 W, the dual-wavelength lines at 1064 and 1085 nm are generated simultaneously. However, at the pump power of above 6.08 W, the single-wavelength line at 1085 nm is achieved. The largest output power, the highest peak power, and the narrowest pulse width are 1615 mW, 878 W and 26.2 ns, respectively.
Super ACO FEL oscillation at 300 nm
Nutarelli, D; Renault, E; Nahon, L; Couprie, Marie Emmanuelle
2000-01-01
Some recent improvements, involving both the optical cavity mirrors and the positron beam dynamics in the storage ring, have allowed us to achieve a laser oscillation at 300 nm on the Super ACO Storage Ring FEL. The Super ACO storage ring is operated at 800 MeV which is the nominal energy for the usual synchrotron radiation users, and the highest energy for a storage ring FEL. The lasing at 300 nm could be kept during 2 h per injection, with a stored current ranging between 30 and 60 mA. The FEL characteristics are presented here. The longitudinal stability and the FEL optics behaviour are also discussed.
308nm Excimer Laser in Dermatology
Mehraban, Shadi
2014-01-01
308nm xenon-chloride excimer laser, a novel mode of phototherapy, is an ultraviolet B radiation system consisting of a noble gas and halide. The aim of this systematic review was to investigate the literature and summarize all the experiments, clinical trials and case reports on 308-nm excimer laser in dermatological disorders. 308-nm excimer laser has currently a verified efficacy in treating skin conditions such as vitiligo, psoriasis, atopic dermatitis, alopecia areata, allergic rhinitis, folliculitis, granuloma annulare, lichen planus, mycosis fungoides, palmoplantar pustulosis, pityriasis alba, CD30+ lympho proliferative disorder, leukoderma, prurigo nodularis, localized scleroderma and genital lichen sclerosus. Although the 308-nm excimer laser appears to act as a promising treatment modality in dermatology, further large-scale studies should be undertaken in order to fully affirm its safety profile considering the potential risk, however minimal, of malignancy, it may impose. PMID:25606333
Boadi, Joseph; Byers, Robert A.; Fernandes, Jon; Mittar, Shweta; Hearnden, Vanessa; Lu, Zenghai; MacNeil, Sheila; Thornhill, Martin; Murdoch, Craig; Hunter, Keith D.; McKechnie, Alasdair; Matcher, Stephen J.
2016-02-01
OCT has demonstrated great potential to non-invasively detect oral epithelial cancers, potentially guiding biopsy and surgical resection. On non-ophthalmic tissues the preferred illumination wavelength is 1300 nm. Previous studies on skin have shown that useful image data can also be obtained at shorter wavelengths, with systems at 1060 nm and 820 nm offering reduced depth penetration but higher contrast. Here we apply a similar comparison to tissue engineered models of oral cancer and also to human biopsy samples, generally finding a similar trend. 1300 nm multi-beam OCT (Michelson Diagnostics EX1301) visualises stromal structures and surface keratin more clearly, providing useful image contrast down to around 1 mm. This system was compared with an ultra-high resolution home-built system operating at 890 nm (2.5 micron resolution vs 7.5 micron axial resolution for the EX1301). The UHR system reveals epithelial features more clearly, especially in the DOK pre-invasive cell line model and the biopsy samples. The relative effects of center wavelength vs axial resolution in generating the differential, wavelength-dependent contrast are assessed and the OCT biopsy images are compared with contemporary histology.
Diode-pumped cw Nd:YAG three-level laser at 869 nm.
Lü, Yanfei; Xia, Jing; Cheng, Weibo; Chen, Jifeng; Ning, Guobin; Liang, Zuoliang
2010-11-01
We report for the first time (to our knowledge) a diode-pumped Nd:YAG laser emitting at 869 nm based on the (4)F(3/2)-(4)I(9/2) transition, generally used for a 946 nm emission. Power of 453 mW at 869 nm has been achieved in cw operation with a fiber-coupled laser diode emitting 35.4 W at 809 nm. Intracavity second-harmonic generation in the cw mode has also been demonstrated with power of 118 mW at 435 nm by using a BiB(3)O(6) nonlinear crystal. In our experiment, we used a LiNbO(3) crystal lens to complement the thermal lens of the laser rod, and we obtained good beam quality and high output power stability.
Sub-5 nm, globally aligned graphene nanoribbons on Ge(001)
Energy Technology Data Exchange (ETDEWEB)
Kiraly, Brian; Mannix, Andrew J. [Center for Nanoscale Materials, Argonne National Laboratory, 9700 South Cass Avenue, Building 440, Argonne, Illinois 60439 (United States); Department of Materials Science and Engineering, Northwestern University, 2220 Campus Drive, Evanston, Illinois 60208 (United States); Jacobberger, Robert M.; Arnold, Michael S. [Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Fisher, Brandon L.; Guisinger, Nathan P., E-mail: nguisinger@anl.gov, E-mail: m-hersam@northwestern.edu [Center for Nanoscale Materials, Argonne National Laboratory, 9700 South Cass Avenue, Building 440, Argonne, Illinois 60439 (United States); Hersam, Mark C., E-mail: nguisinger@anl.gov, E-mail: m-hersam@northwestern.edu [Department of Materials Science and Engineering, Northwestern University, 2220 Campus Drive, Evanston, Illinois 60208 (United States); Department of Chemistry, Northwestern University, 2220 Campus Drive, Evanston, Illinois 60208 (United States)
2016-05-23
Graphene nanoribbons (GNRs) hold great promise for future electronics because of their edge and width dependent electronic bandgaps and exceptional transport properties. While significant progress toward GNR devices has been made, the field has been limited by difficulties achieving narrow widths, global alignment, and atomically pristine GNR edges on technologically relevant substrates. A recent advance has challenged these limits by using Ge(001) substrates to direct the bottom-up growth of GNRs with nearly pristine armchair edges and widths near ∼10 nm via atmospheric pressure chemical vapor deposition. In this work, the growth of GNRs on Ge(001) is extended to ultra-high vacuum conditions, resulting in the realization of GNRs with widths narrower than 5 nm. Armchair graphene nanoribbons oriented along Ge 〈110〉 surface directions are achieved with excellent width control and relatively large bandgaps. The bandgap magnitude and electronic uniformity of these sub-5 nm GNRs are well-suited for emerging nanoelectronic applications.
Size dependent compressibility of nano-ceria: Minimum near 33 nm
International Nuclear Information System (INIS)
Rodenbough, Philip P.; Song, Junhua; Chan, Siu-Wai; Walker, David; Clark, Simon M.; Kalkan, Bora
2015-01-01
We report the crystallite-size-dependency of the compressibility of nanoceria under hydrostatic pressure for a wide variety of crystallite diameters and comment on the size-based trends indicating an extremum near 33 nm. Uniform nano-crystals of ceria were synthesized by basic precipitation from cerium (III) nitrate. Size-control was achieved by adjusting mixing time and, for larger particles, a subsequent annealing temperature. The nano-crystals were characterized by transmission electron microscopy and standard ambient x-ray diffraction (XRD). Compressibility, or its reciprocal, bulk modulus, was measured with high-pressure XRD at LBL-ALS, using helium, neon, or argon as the pressure-transmitting medium for all samples. As crystallite size decreased below 100 nm, the bulk modulus first increased, and then decreased, achieving a maximum near a crystallite diameter of 33 nm. We review earlier work and examine several possible explanations for the peaking of bulk modulus at an intermediate crystallite size
Size dependent compressibility of nano-ceria: Minimum near 33 nm
Energy Technology Data Exchange (ETDEWEB)
Rodenbough, Philip P. [Department of Applied Physics and Applied Mathematics, Materials Science and Engineering Program, Columbia University, New York, New York 10027 (United States); Chemistry Department, Columbia University, New York, New York 10027 (United States); Song, Junhua; Chan, Siu-Wai, E-mail: sc174@columbia.edu [Department of Applied Physics and Applied Mathematics, Materials Science and Engineering Program, Columbia University, New York, New York 10027 (United States); Walker, David [Department of Earth and Environmental Sciences, Lamont-Doherty Earth Observatory, Columbia University, Palisades, New York 10964 (United States); Clark, Simon M. [ARC Center of Excellence for Core to Crust Fluid Systems and Department of Earth and Planetary Sciences, Macquarie University, Sydney, New South Wales 2019, Australia and The Bragg Institute, Australian Nuclear Science and Technology Organisation, Kirrawee DC, New South Wales 2232 (Australia); Kalkan, Bora [Department of Physics Engineering, Hacettepe University, 06800 Beytepe, Ankara (Turkey)
2015-04-20
We report the crystallite-size-dependency of the compressibility of nanoceria under hydrostatic pressure for a wide variety of crystallite diameters and comment on the size-based trends indicating an extremum near 33 nm. Uniform nano-crystals of ceria were synthesized by basic precipitation from cerium (III) nitrate. Size-control was achieved by adjusting mixing time and, for larger particles, a subsequent annealing temperature. The nano-crystals were characterized by transmission electron microscopy and standard ambient x-ray diffraction (XRD). Compressibility, or its reciprocal, bulk modulus, was measured with high-pressure XRD at LBL-ALS, using helium, neon, or argon as the pressure-transmitting medium for all samples. As crystallite size decreased below 100 nm, the bulk modulus first increased, and then decreased, achieving a maximum near a crystallite diameter of 33 nm. We review earlier work and examine several possible explanations for the peaking of bulk modulus at an intermediate crystallite size.
Anbalagan, Kousika; Thomas, Tiju
2018-05-01
Interatomic potentials for complex materials (like ceramic systems) are important for realistic molecular dynamics (MD) simulations. Such simulations are relevant for understanding equilibrium, transport and dynamical properties of materials, especially in the nanoregime. Here we derive a hybrid interatomic potential (based on bond valence (BV) derived Morse and Coulomb terms), for modeling a complex ceramic, barium tantalum oxynitride (BaTaO2N). This material has been chosen due to its relevance for capacitive and photoactive applications. However, the material presents processing challenges such as the emergence of non-stoichiometric phases during processing, demonstrating complex processing-property correlations. This makes MD investigations of this material both scientifically and technologically relevant. The BV based hybrid potential presented here has been used for simulating sintering of BaTaO2N nanoparticles ( 2-20 nm) under different conditions (using the relevant canonical ensemble). Notably, we show that sintering of particles of diameter 10 nm in size results in the formation of a cluster of tantalum and oxygen atoms at the interface of the BaTaO2N particles. This is in agreement with the experimental reports. The results presented here suggest that the potential proposed can be used to explore dynamical properties of BaTaO2N and related systems. This work will also open avenues for development of nanoscience-enabled aid-free sintering approaches to this and related materials.
Wide-Field Imaging of Single-Nanoparticle Extinction with Sub-nm2 Sensitivity
Payne, Lukas M.; Langbein, Wolfgang; Borri, Paola
2018-03-01
We report on a highly sensitive wide-field imaging technique for quantitative measurement of the optical extinction cross section σext of single nanoparticles. The technique is simple and high speed, and it enables the simultaneous acquisition of hundreds of nanoparticles for statistical analysis. Using rapid referencing, fast acquisition, and a deconvolution analysis, a shot-noise-limited sensitivity down to 0.4 nm2 is achieved. Measurements on a set of individual gold nanoparticles of 5 nm diameter using this method yield σext=(10.0 ±3.1 ) nm2, which is consistent with theoretical expectations and well above the background fluctuations of 0.9 nm2 .
Socci, Luciano; Sorianello, Vito; Romagnoli, Marco
2015-07-27
Adiabatic polarization splitter-rotators are investigated exploiting continuous symmetry breaking thereby achieving significant device size and losses reduction in a single mask fabrication process for both SOI channel and ridge waveguides. A crosstalk lower than -25 dB is expected over 300nm bandwidth, making the device suitable for full grid CWDM and diplexer/triplexer FTTH applications at 1310, 1490 and 1550nm.
Tapered diode laser pumped 946 nm Nd:YAG laser
DEFF Research Database (Denmark)
Cheng, Haynes Pak Hay; Jensen, Ole Bjarlin; Petersen, Paul Michael
2009-01-01
We successfully implemented a 946 nm Nd:YAG laser based on a 808 nm tapered diode pump laser. The tapered diode is developed at the Ferdinand-Braun-Institute fur Hochstfrequenztechnik in Germany. Figure 2 shows the experimental setup and results of each pump source coupled into a 1.5 mm crystal...... laser, we show that tapered diode laser pumping potentially increase the power of 946 nm lasers by a factor of two and reduce the threshold by a factor of three....
Black phosphorus saturable absorber for Q-switched Er:YAG laser at 1645 nm
Guo, Lei; Li, Tao; Zhang, Shuaiyi; Wang, Mingjian; Yang, Kejian; Fan, Mingqi; Zhao, Shengzhi; Li, Ming
2018-03-01
A Q-switched Er:YAG solid-state laser at 1645 nm based on black phosphorus (BP) saturable absorbers (SAs) was demonstrated firstly to our knowledge. The BP-SA was fabricated by drop-casting BP nanoplatelets dispersion on a YAG substrate and corresponding saturable absorption properties were characterized at 1.6 μm. By employing as-prepared BP-SAs, stable Q-switched laser operations were achieved with a pulse width of 2.8 μs and a repetition rate of 34 kHz, corresponding to the average output power of 0.33 W. The results verify that BP-SAs have great potential for pulsed 1.6 μm lasers.
Diode-pumped thin-disk Nd:GdVO4 laser at 893 nm
International Nuclear Information System (INIS)
Li, Y L; Fu, X H; Wang, A G
2011-01-01
We report for the first time a Nd:GdVO 4 laser operating in a continuous wave (CW) on the quasi-three-level laser at 893 nm, based on the 4 F 3/2 – 4 I 9/2 transition, generally used for a 912 nm emission. The use of a pump module with 16 passes through the crystal allowed the realization of a Nd:GdVO 4 thin-disk laser with 157 mW of CW output power at 893 nm. Moreover, intracavity second-harmonic generation (SHG) has also been achieved with a power of 23 mW at 447 nm by using a BiB 3 O 6 (BiBO) nonlinear crystal
Lü, Yanfei; Zhang, Xihe; Cheng, Weibo; Xia, Jing
2010-07-20
We generated efficient blue laser output at 454 nm by intracavity frequency doubling of a continuous-wave (cw) diode-pumped Nd:YLiF(4) (Nd:YLF) laser at 908 nm based on the (4)F(3/2)-(4)I(9/2) transition. With 32.8 W of incident pump power at 880 nm and the frequency-doubling crystal LiB(3)O(5), a level as high as 4.33 W of cw output power at 454 nm is achieved, corresponding to an optical conversion efficiency of 13.2% with respect to the incident pump power. To the best of our knowledge, this is the first blue laser at 454 nm generated by intracavity frequency doubling of a diode-pumped Nd:YLF.
Diode-side-pumped 131 W, 1319 nm single-wavelength cw Nd:YAG laser.
Haiyong, Zhu; Ge, Zhang; Chenghui, Huang; Yong, Wei; Lingxiong, Huang; Jing, Chen; Weidong, Chen; Zhenqiang, Chen
2007-01-20
A diode-side-pumped high-power 1319 nm single-wavelength Nd:YAG continuous wave (cw) laser is described. Through reasonable coating design of the cavity mirrors, the 1064 nm strongest line as well as the 1338 nm one have been successfully suppressed. The laser output powers corresponding to four groups of different output couplers operating at 1319 nm single wavelength have been compared. The output coupler with the transmission T=5.3% has the highest output power, and a 131 W cw output power was achieved at the pumping power of 555 W. The optical-optical conversion efficiency is 23.6%, and the slope efficiency is 46%. The output power is higher than the total output power of the dual-wavelength laser operating at 1319 nm and 1338 nm in the experiment.
Treatment of oral lichen planus using 308-nm excimer laser.
Liu, Wei-Bing; Sun, Li-Wei; Yang, Hua; Wang, Yan-Fei
2017-09-01
Oral lichen planus (OLP) is a chronic inflammatory disease, has prolonged courses, repeated attacks and resistance to treatment. The traditional narrow spectrum UVB treatment has an established efficacy on skin lichen planus, and high safety. However, most of ultraviolet phototherapy devices have a huge volume, thereby cannot be used in the treatment of OLP. Lymphocytic infiltration is evident in the lesions of lichen planus, and the direct irradiation of 308-nm excimer laser can induce apoptosis of the T lymphocytes in skin lesions, thereby has a unique therapeutic effect on the diseases involving T lymphocytes. This study aims to investigate the efficacy of 308-nm excimer laser in the treatment of OLP. A total of six OLP patients were enrolled into this study, and further pathological diagnosis was conducted, then 308-nm excimer laser was used in the treatment. The efficacy of 308-nm excimer laser in the treatment of OLP was satisfactory. The clinical symptoms of five patients were significantly improved. In two patients, the erosion surface based on congestion and the surrounding white spots completely disappeared, and clinical recovery was achieved. Three patients achieved partial remission, that is, the erosion surface healed, congestion and white spot area shrunk by more than 1/2 of the primary skin lesions. In the remaining one patient, the erosion surface had not completely healed after treatment, and congestion and white spot area shrunk by less than 1/2 of the primary skin lesions. Only one patients had developed mild pain during the treatment, and this symptom alleviated by itself. The 308-nm excimer laser therapy can serve as a safe and effective treatment for OLP. © 2017 Wiley Periodicals, Inc.
International Nuclear Information System (INIS)
Reuter, M.; Bovensmann, H.; Buchwitz, M.; Burrows, J.P.; Deutscher, N.M.; Heymann, J.; Rozanov, A.; Schneising, O.; Suto, H.; Toon, G.C.; Warneke, T.
2012-01-01
Pressing open questions about the carbon cycle can be addressed with precise measurements of the three most abundant CO 2 isotopologues 16 O 12 C 16 O, 16 O 13 C 16 O, and 16 O 12 C 18 O. Such measurements can, e.g., help to further constrain oceanic and biospheric net fluxes or to differentiate between the gross biospheric fluxes photosynthesis and respiration. The 2041–2047nm (about 4885–4900cm −1 ) spectral region contains separated absorption lines of the three most abundant CO 2 isotopologues. Their spectral properties make this spectral region well suited for the use of a light path proxy method for the retrieval of δ 13 C and δ 18 O (the ratio of heavier to lighter isotopologues relative to a standard). An optimal estimation based light path proxy retrieval for δ 13 C and δ 18 O has been set up, applicable to GOSAT (Greenhouse gases Observing Satellite) and ground-based FTS (Fourier transform spectrometer) measurements. Initial results show that it is possible to retrieve δ 13 C and δ 18 O from ground-based FTS instruments with a precision of 0.6–1.6‰ and from GOSAT with a precision of about 30‰. Comparison of the achievable precision with the expected atmospheric signals shows that ground-based FTS remote sensing measurements have the potential to gain valuable information on δ 13 C and δ 18 O if averaging a sufficient number of measurements. It seems unlikely that this applies also to GOSAT because of the lower precision and a conceptual larger sensitivity to scattering related errors in satellite viewing geometry. -- Highlights: ► The 2041–2047 nm region is suited for remote sensing atmospheric CO 2 isotopologues. ► A δ 13 C and δ 18 O retrieval was set up for ground-based FTS and the GOSAT satellite. ► The retrieval precision of δ 13 C and δ 18 O is about 0.6–1.6‰ (FTS) and 30‰ (GOSAT). ► FTS measurements can give valuable information on atmospheric δ 13 C and δ 18 O.
Tapping mode SPM local oxidation nanolithography with sub-10 nm resolution
International Nuclear Information System (INIS)
Nishimura, S; Ogino, T; Shirakashi, J; Takemura, Y
2008-01-01
Tapping mode SPM local oxidation nanolithography with sub-10 nm resolution is investigated by optimizing the applied bias voltage (V), scanning speed (S) and the oscillation amplitude of the cantilever (A). We fabricated Si oxide wires with an average width of 9.8 nm (V = 17.5 V, S 250 nm/s, A = 292 nm). In SPM local oxidation with tapping mode operation, it is possible to decrease the size of the water meniscus by enhancing the oscillation amplitude of cantilever. Hence, it seems that the water meniscus with sub-10 nm dimensions could be formed by precisely optimizing the oxidation conditions. Moreover, we quantitatively explain the size (width and height) of Si oxide wires with a model based on the oxidation ratio, which is defined as the oxidation time divided by the period of the cantilever oscillation. The model allows us to understand the mechanism of local oxidation in tapping mode operation with amplitude modulation. The results imply that the sub-10 nm resolution could be achieved using tapping mode SPM local oxidation technique with the optimization of the cantilever dynamics
Dust Explosion Characteristics of Agglomerated 35 nm and 100 nm Aluminum Particles
Directory of Open Access Journals (Sweden)
Hong-Chun Wu
2010-01-01
Full Text Available In the experiment, nanoparticles of 35 nm Al and 100 nm Al powders, respectively, formed particles with average sizes of 161 nm and 167 nm in agglomeration. The characteristics of dust cloud explosions with the two powder sizes, 35 nm and 100 nm, revealed considerable differences, as shown here: (dp/dtmax-35 nm = 1254 bar/s, (dp/dtmax-100 nm = 1105 bar/s; Pmax-35 nm = 7.5 bar, Pmax-100 nm = 12.3 bar, and MEC-35 nm = 40 g/m3, MEC-100 nm = 50 g/m3. The reason of Pmax-35 nm value is smaller than Pmax-100 nm may be due to agglomeration. From an analysis of the explosive residue, the study found that nanoparticles of 35 nm Al powder became filamentous strands after an explosion, where most of 100 nm Al nanoparticles maintained a spherical structure, This may be because the initial melting temperature of 35 nm Al is 435.71°C, while that for 100 nm Al is 523.58°C, higher by 87.87°C. This study discovered that explosive property between the 35 nm Al and 100 nm Al powders after agglomeration were different.
Directory of Open Access Journals (Sweden)
Guanquan Liang
2011-12-01
Full Text Available A prototype of planar silicon photonic structure is designed and simulated to provide narrow resonant line-width (∼2 nm in a wide photonic band gap (∼210 nm with broad tunable resonant wavelength range (∼100 nm around the optical communication wavelength 1550 nm. This prototype is based on the combination of two modified basic photonic structures, i.e. a split tapered photonic crystal micro-cavity embedded in a photonic wire waveguide, and a slot waveguide with narrowed slabs. This prototype is then further integrated with a MEMS (microelectromechanical systems based electrostatic comb actuator to achieve “coarse tune” and “fine tune” at the same time for wide range and narrow-band filtering and modulating. It also provides a wide range tunability to achieve the designed resonance even fabrication imperfection occurs.
Wang, Mingzhong; Deng, Xueli; Du, Xiuxiu
2018-04-01
This study examined (a) the potential mediating roles of effortful control and classroom engagement in the association between harsh parenting and adolescent academic achievement, and (b) the potential moderating role of gender. Sixth through eighth graders in rural China (n=815, mean age=12.55years) reported on harsh parenting, effortful control, and classroom engagement. Parents also reported on each other's harsh parenting. Academic achievement was assessed by students' test scores and teacher-rated academic performance. Results of structural equation modeling revealed gender differences in patterns of association among the model variables. Harsh parenting was negatively and directly associated with academic achievement for both boys and girls. It was also negatively and indirectly associated with academic achievement via effortful control and classroom engagement sequentially, forming a common indirect "path" for boys and girls. The indirect negative effect of harsh parenting on boys' academic achievement was mainly realized through the mediator of effortful control, whereas this same indirect effect for girls was mainly realized through the mediator of classroom engagement. Jointly, effortful control and classroom engagement precipitates more indirect effects for boys than for girls in the association between harsh parenting and academic achievement. The discussion analyzes the potential "paths" from harsh parenting to adolescent academic achievement, as well as gender differences in these "paths." The current study has implications for teachers and parents eager to improve students' classroom engagement and academic achievement. Copyright © 2017. Published by Elsevier Ltd.
Coherent Detection for 1550 nm, 5 Gbit/s VCSEL Based 40 km Bidirectional PON Transmission
DEFF Research Database (Denmark)
Jensen, Jesper Bevensee; Rodes Lopez, Roberto; Zibar, Darko
2011-01-01
Coherent detection of directly modulated 1550nm VCSELs in 5Gbit/s bidirectional 40km SSMF PON-links is presented. Receiver sensitivity of –37.3dBm after transmission is achieved with 30dB system margin, corresponding to 1:1024 passive powersplitting.......Coherent detection of directly modulated 1550nm VCSELs in 5Gbit/s bidirectional 40km SSMF PON-links is presented. Receiver sensitivity of –37.3dBm after transmission is achieved with 30dB system margin, corresponding to 1:1024 passive powersplitting....
The Relation between Time Management Skills and Academic Achievement of Potential Teachers
Cemaloglu, Necati; Filiz, Sevil
2010-01-01
The aim of this study is to determine the relationship between the time management skills and academic achievement of students who are potential teachers studying in faculties of education. The research was conducted in the 2007-08 academic term among 849 graduate students in the Faculty of Education at Gazi University. The "Time Management…
Potential for reducing air-pollutants while achieving 2 °C global temperature change limit target.
Hanaoka, Tatsuya; Akashi, Osamu; Fujiwara, Kazuya; Motoki, Yuko; Hibino, Go
2014-12-01
This study analyzes the potential to reduce air pollutants while achieving the 2 °C global temperature change limit target above pre-industrial levels, by using the bottom-up optimization model, AIM/Enduse[Global]. This study focuses on; 1) estimating mitigation potentials and costs for achieving 2 °C, 2.5 °C, and 3 °C target scenarios, 2) assessing co-benefits of reducing air pollutants such as NOx, SO2, BC, PM, and 3) analyzing features of sectoral attributions in Annex I and Non-Annex I groups of countries. The carbon tax scenario at 50 US$/tCO2-eq in 2050 can reduce GHG emissions more than the 3 °C target scenario, but a higher carbon price around 400 US$/tCO2-eq in 2050 is required to achieve the 2 °C target scenario. However, there is also a co-benefit of large reduction potential of air pollutants, in the range of 60-80% reductions in 2050 from the reference scenario while achieving the 2 °C target. Copyright © 2014 Elsevier Ltd. All rights reserved.
International Nuclear Information System (INIS)
Diemer, S.G.; Cain, S.R.
1993-01-01
The impact of private vs public ownership regimes on the magnitude of achievable industrial cogeneration capacity in Ontario is assessed. Estimates of technical and economic potential are presented for several industrial subsectors and heat demand categories, showing that nearly all of the technically feasible 7,600 MW is also economically efficient given a value of power of at least 4 cents/kWh in 1991 dollars. Using financial data and investment criteria specific to the two forms of ownership, the project evaluation model points to a significantly larger quantum of financial (achievable) potential with public rather than private development of industrial cogeneration. At avoided costs and associated buyback rates of 4 and 5 cents/kWh, the achievable cogeneration capacities are ca 2,400 and 7,600 MW under public ownership and 132 and 3,000 MW under private ownership. Ratepayer savings are significant: the full economic potential can be achieved through public ownership at a buyback rate of 5 cents/kWh; under private ownership, a comparable capacity requires a 6 cents buyback rate, reflecting additional ratepayer costs of nearly $600 million annually. 1 fig., 4 tabs
Directory of Open Access Journals (Sweden)
Andrew Walsh
2014-06-01
Full Text Available This paper discusses the potential benefits of using gamification techniques to increase student engagement with library resources. It outlines the link between student use of library resources and academic achievement, and suggests that gamification has to potential to increase usage of resources, which may also increase academic achievement. Some early findings from an implementation of a gamification project, Lemontree, are also discussed in which students reported increased usage of library resources and their acceptance of gamification techniques in Higher Education.
Demirbas, Umit; Baali, Ilyes
2015-10-15
We report significant average power and efficiency scaling of diode-pumped Cr:LiSAF lasers in continuous-wave (cw), cw frequency-doubled, and mode-locked regimes. Four single-emitter broad-area laser diodes around 660 nm were used as the pump source, which provided a total pump power of 7.2 W. To minimize thermal effects, a 20 mm long Cr:LiSAF sample with a relatively low Cr-concentration (0.8%) was used as the gain medium. In cw laser experiments, 2.4 W of output power, a slope efficiency of 50%, and a tuning range covering the 770-1110 nm region were achieved. Intracavity frequency doubling with beta-barium borate (BBO) crystals generated up to 1160 mW of blue power and a record tuning range in the 387-463 nm region. When mode locked with a saturable absorber mirror, the laser produced 195 fs pulses with 580 mW of average power around 820 nm at a 100.3 MHz repetition rate. The optical-to-optical conversion efficiency of the system was 33% in cw, 16% in cw frequency-doubled, and 8% in cw mode-locked regimes.
Diode-pumped CW frequency-doubled Nd:CNGG-BiBO blue laser at 468 nm
International Nuclear Information System (INIS)
Lü, Y F; Xia, J; Lin, J Q; Gao, X; Dong, Y; Xu, L J; Sun, G C; Zhao, Z M; Tan, Y; Chen, J F; Liu, Z X; Li, C L; Cai, H X; Liu, Z T; Ma, Z Y; Ning, G B
2011-01-01
Efficient and compact blue laser output at 468 nm is generated by intracavity frequency doubling of a continuous-wave (CW) diode-pumped Nd:CNGG laser at 935 nm. With 17.8 W of diode pump power and the frequency-doubling crystal BiB 3 O 6 (BiBO), a maximum output power of 490 mW in the blue spectral range at 468 nm has been achieved, corresponding to an optical-to-optical conversion efficiency of 2.8%; the output power stability over 4 h is better than 2.6%. To the best of our knowledge, this is first work on intracavity frequency doubling of a diode pumped Nd:CNGG laser at 935 nm
Mapping the Use of Engineered NM in Quebec's Industries and Research Laboratories
International Nuclear Information System (INIS)
Ostiguy, Claude; Emond, Claude; Dossa, Inès; Plavski, Anton; Malki, Yasmina; Boily, Chantale; Roughley, David; Endo, Charles-Anica
2013-01-01
Engineered NanoMaterials (NM) offer an opportunity to develop a wide variety of new products with unique properties but many studies have shown potential OHS risks specific to NM. Addressing these risks requires knowledge about release of NM into the workplaces. This research aimed to map the state of nanotechnology OHS practices in Quebec through a questionnaire following a first contact by telephone when possible and by compiling the type and volumes of NM used as well as gathering information related to the working conditions and OHS aspects. This survey was conducted among 1310 Quebec industries and 653 researchers working in different specialties potentially involved in the development/production/distribution/integration of NM and use of NM containing products. Overall, 90 questionnaires, including 51 from the industries, were completed. These showed that NM are mainly used into the powder form, in many different sectors and deserve a wide range of markets. The prevention measures implemented vary widely from a workplace to another but about one third of the participants report that they have implemented NP adapted prevention measures but they remain worried on some specific operations. More than 50% of the participants request more information about the safe laboratory/plant design, toxicity, regulation, good work practices and prevention measures, efficiency of personal protective equipment and environmental impacts.
Mapping the Use of Engineered NM in Quebec's Industries and Research Laboratories
Ostiguy, Claude; Emond, Claude; Dossa, Inès; Malki, Yasmina; Boily, Chantale; Roughley, David; Plavski, Anton; Endo, Charles-Anica
2013-04-01
Engineered NanoMaterials (NM) offer an opportunity to develop a wide variety of new products with unique properties but many studies have shown potential OHS risks specific to NM. Addressing these risks requires knowledge about release of NM into the workplaces. This research aimed to map the state of nanotechnology OHS practices in Quebec through a questionnaire following a first contact by telephone when possible and by compiling the type and volumes of NM used as well as gathering information related to the working conditions and OHS aspects. This survey was conducted among 1310 Quebec industries and 653 researchers working in different specialties potentially involved in the development/production/distribution/integration of NM and use of NM containing products. Overall, 90 questionnaires, including 51 from the industries, were completed. These showed that NM are mainly used into the powder form, in many different sectors and deserve a wide range of markets. The prevention measures implemented vary widely from a workplace to another but about one third of the participants report that they have implemented NP adapted prevention measures but they remain worried on some specific operations. More than 50% of the participants request more information about the safe laboratory/plant design, toxicity, regulation, good work practices and prevention measures, efficiency of personal protective equipment and environmental impacts.
In vivo retinal optical coherence tomography at 1030 nm with enhanced penetration into the choroid
Unterhuber, A.; Povazay, B.; Hermann, B.; Sattmann, H.; Michels, S.; Sacu, S.; Ahlers, C.; Scholda, C.; Chavez-Pirson, A.; Schmidt-Erfurth, U.; Fercher, Adolf F.; Drexler, W.
2005-08-01
In vivo retinal imaging with ~ 8 μm axial resolution at 1030 nm is demonstrated for the first time, enabling enhanced penetration into the choroid. A new high power, broad bandwidth light source based on amplified spontaneous emission (NP Photonics, λc = 1030 nm, Δλ= 50 nm, Pout = 25 mW) has been interfaced to a time domain ophthalmic OCT system. In vivo retinal OCT tomograms performed at 800 nm are compared to those achieved at 1030 nm. Retinal OCT at longer wavelengths, e.g. 1030 nm significantly improves the visualization of the retinal pigment epithelium/choriocapillaris/choroid interface and might therefore provide new insight into choroidal/choriocapillary changes in age-related macular degeneration and other diseases of the retinal pigment epithelium (RPE)-choroid complex. 1030 nm OCT could also become a valuable tool in monitoring treatment effects on the choroids as in Verteporfin therapy.
Vinnichenko, Victoriya; Kovalenko, Anastasiya; Arkhipova, Valeriya; Yaroslavsky, Ilya; Altshuler, Gregory; Gapontsev, Valentin
2018-02-01
Three lasers were directly compared, including the Ho:YAG laser (λ = 2100 nm), Tm fiber laser (λ = 1940 nm) operating in 3 different modes (CW, regular pulse, and super pulse), and blue diode laser (λ = 442 nm) for vaporization and coagulation efficiency for treating blood-rich soft tissues, ex vivo, in a porcine kidney model at quasi-contact cutting in water. In addition, experimental results were compared with published data on performance of KTP laser (λ = 532 nm) at similar experimental settings (Power = 60 W and cutting speed = 2 mm/s). Tm fiber laser in pulsed mode and blue laser produced highest vaporization rates of 3.7 and 3.4 mm3/s, respectively. Tm fiber laser (in both CW and pulsed modes) also produced the largest coagulation zone among the laser sources tested. A carbonization zone was observed for Tm fiber laser in CW and pulsed modes, as well as for the blue diode laser. Tm fiber laser in super-pulse mode and Ho:YAG laser both resulted in irregular coagulation zones without carbonization. Comparison with known data for KTP laser revealed that tissue effects of the blue laser are similar to that of the KTP laser. These results suggest that the combination of the two lasers (Tm fiber and blue diode) in one system may achieve high cutting efficiency and optimal coagulation for hemostasis during surgical treatment. Ex vivo testing of the combined system revealed feasibility of this approach. The combination of the CW Tm fiber laser (120W) and the blue diode laser (60W) emitting through a combination tip were compared with CW 120 W Tm fiber laser alone and 120 W Ho:YAG laser. Vaporization rates measured 34, 28, and 6 mm3/s, and coagulation zones measured 0.6, 1.3, and 1.7 mm, respectively. A carbonization zone was only observed with CW Tm fiber laser. The vaporization rate of combined CW Tm fiber laser / blue diode laser was comparable to published data for KTP laser for equivalent total power. Thus, high-power blue diode laser, Tm fiber laser, and
Thin film and multilayer optics for XUV spectral domain (1 nm to 60 nm)
International Nuclear Information System (INIS)
Delmotte, Franck
2010-02-01
The XUV spectral domain (1-60 nm wavelength range) has experienced rapid growth in recent years. On one side, the sources (synchrotron radiation, harmonic generation, x-ray laser, free-electron laser...) require ever more efficient optics, on the other hand, applications (diagnostics of hot plasma, solar physics, x-ray microscopy, EUV lithography, x-ray analysis...) provide new constraints on the design of multilayer stacks. The multilayer mirrors are the only way to achieve efficient optics operating at non-grazing incidence angles in this spectral range. Our work within the team XUV Optics at Laboratoire Charles Fabry de l'Institut d'Optique focuses on the study of materials in thin layers correlated to the study of optical properties of multilayers. The objective is to achieve new multilayer components previously unavailable in the XUV domain, through a better understanding of physical phenomena in these nano-layer stacks. We show through several examples of how we have managed both to improve the performance of multilayer mirrors in a broad spectral range, and secondly, to develop new optical functions: beam splitters, broadband mirrors, dual-band mirrors or phase compensation mirrors. (author)
Wu, Kan; Guo, Chaoshi; Wang, Hao; Zhang, Xiaoyan; Wang, Jun; Chen, Jianping
2017-07-24
All-optical phase shifters and switches play an important role for various all-optical applications including all-optical signal processing, sensing and communication. In this paper, we demonstrate a fiber all-optical phase shifter using few-layer 2D material tungsten disulfide (WS 2 ) deposited on a tapered fiber. WS 2 absorbs injected 980 nm pump (control light) and generates heat, which changes the refractive index of both WS 2 and tapered fiber due to thermo-optic effect and achieves a maximum phase shift of 6.1π near 1550 nm. The device has a loss of 3.7 dB. By constructing a Mach-Zehnder interferometer with WS 2 based phase shifter in one arm, an all-optical switch is also obtained with an extinction ratio of 15 dB and a rise time of 7.3 ms. This all fiber low-cost and compact optical phase shifter and switch demonstrates the potential of 2D transition metal dichalcogenides for all-optical signal processing devices.
Organic antireflective coatings for 193-nm lithography
Trefonas, Peter, III; Blacksmith, Robert F.; Szmanda, Charles R.; Kavanagh, Robert J.; Adams, Timothy G.; Taylor, Gary N.; Coley, Suzanne; Pohlers, Gerd
1999-06-01
Organic anti-reflective coatings (ARCs) continue to play an important role in semiconductor manufacturing. These materials provide a convenient means of greatly reducing the resist photospeed swing and reflective notching. In this paper, we describe a novel class of ARC materials optimized for lithographic applications using 193 nm exposure tools. These ARCs are based upon polymers containing hydroxyl-alkyl methacrylate monomers for crosslinkable sites, styrene for a chromophore at 193 nm, and additional alkyl-methacrylate monomers as property modifiers. A glycouril crosslinker and a thermally-activated acidic catalyst provide a route to forming an impervious crosslinked film activate data high bake temperatures. ARC compositions can be adjusted to optimize the film's real and imaginary refractive indices. Selection of optimal target indices for 193 nm lithographic processing through simulations is described. Potential chromophores for 193 nm were explored using ZNDO modeling. We show how these theoretical studies were combined with material selection criteria to yield a versatile organic anti-reflectant film, Shipley 193 G0 ARC. Lithographic process data indicates the materials is capable of supporting high resolution patterning, with the line features displaying a sharp resist/ARC interface with low line edge roughness. The resist Eo swing is successfully reduced from 43 percent to 6 percent.
Red laser-diode pumped 806 nm Tm3+: ZBLAN fibre laser
Juárez-Hernández, M.; Mejía, E. B.
2017-06-01
A Tm3+-doped fluorozirconate (ZBLAN) fibre laser operating CW at 806 nm when diode-pumped at 687 nm is described for the first time. This device is based on the 3F4 → 3H6 transition, and is suitable for first telecom window and sensing applications. A slope efficiency of 50.3% and low threshold pump-power of 11.6 mW were obtained. Maximum output power of 15 mW for 40 mW coupled pump was achieved.
Potential economic impacts of achieving good environmental status in Black Sea fisheries
Directory of Open Access Journals (Sweden)
Ian C. Goulding
2014-09-01
Full Text Available The Marine Strategy Framework Directive (MSFD mandates that European Union (EU member states achieve Good Environmental Status (GEnS based on an ecosystem-based approach to management. For commercial fisheries, the primary target under the MSFD is one of maximum sustainable yield. Of Black Sea riparian nations, only Romania and Bulgaria are EU member states. Focusing at the supranational level, we review institutions and instruments relevant to management of the Black Sea. The economic values of current fish catches are assessed, and the results of a recent analytical assessment of fish stocks are used to estimate potential future values based on maximum sustainable yields. In the Black Sea region, despite long-standing attempts to improve fisheries management, there remains a lack of effective regional cooperation. Evidence from the scenario analysis suggests that achieving GEnS would not have an undue negative impact on overall fishery sector incomes, and could, with appropriate investments in processing and marketing, deliver increased economic benefits for Black Sea countries. The ongoing policy debate between and within Black Sea coastal states needs to be extended to include recognition of the potential economic and social benefits of effective fisheries management. More work is required to assess returns on investment in interim management measures to deliver GEnS.
Mo, Annie X; Agosti, Jan M; Walson, Judd L; Hall, B Fenton; Gordon, Lance
2014-01-01
In March 2013, the National Institute of Allergy and Infectious Diseases and the Bill and Melinda Gates Foundation co-sponsored a meeting entitled "Schistosomiasis Elimination Strategy and Potential Role of a Vaccine in Achieving Global Health Goals" to discuss the potential role of schistosomiasis vaccines and other tools in the context of schistosomiasis control and elimination strategies. It was concluded that although schistosomiasis elimination in some focal areas may be achievable through current mass drug administration programs, global control and elimination will face several significant scientific and operational challenges, and will require an integrated approach with other, additional interventions. These challenges include vector (snail) control; environmental modification; water, sanitation, and hygiene; and other future innovative tools such as vaccines. Defining a clear product development plan that reflects a vaccine strategy as complementary to the existing control programs to combat different forms of schistosomiasis will be important to develop a vaccine effectively.
The main advance and achievements in the potential evaluation of uranium resource in China
International Nuclear Information System (INIS)
Zhang Jindai; Guo Qingyin; Li Youliang; Li Ziying; Cai Yuqi; Han Changqing
2012-01-01
The national uranium resources potential evaluation is one of the important national census in China. The evaluation is based on the data and results accomplished by nuclear geological industry in last decades and wholly performed on GIS platform by absorbing related technology and geological achievement in home and broad, and has figured out 329 uranium predicted mineralization areas and estimated more than 2000000 tones resource on a national scale. Innovative achievements has been made in the classification of uranium deposit type and mineralization belt, integration of geological-geophysical-geochemical-remote sensing information and research of uranium mineralization pattern. For the first time, the potential evaluation has been performed totally by digitalisation and information, the evaluation will provide important evidence for developing middle-long term planning of uranium exploration and laid good foundation to future dynamic and regular evaluation of uranium resource in China. (authors)
Q-switched Erbium-doped fiber laser at 1600 nm for photoacoustic imaging application
Energy Technology Data Exchange (ETDEWEB)
Piao, Zhonglie [Department of Cogno-Mechatronics Engineering, Pusan National University, Busan 609-735 (Korea, Republic of); Beckman Laser Institute, Department of Biomedical Engineering, University of California, Irvine, California 92612 (United States); Zeng, Lvming; Chen, Zhongping, E-mail: z2chen@uci.edu, E-mail: ckim@pusan.ac.kr [Beckman Laser Institute, Department of Biomedical Engineering, University of California, Irvine, California 92612 (United States); Kim, Chang-Seok, E-mail: z2chen@uci.edu, E-mail: ckim@pusan.ac.kr [Department of Cogno-Mechatronics Engineering, Pusan National University, Busan 609-735 (Korea, Republic of)
2016-04-04
We present a nanosecond Q-switched Erbium-doped fiber (EDF) laser system operating at 1600 nm with a tunable repetition rate from 100 kHz to 1 MHz. A compact fiber coupled, acousto-optic modulator-based EDF ring cavity was used to generate a nanosecond seed laser at 1600 nm, and a double-cladding EDF based power amplifier was applied to achieve the maximum average power of 250 mW. In addition, 12 ns laser pulses with the maximum pulse energy of 2.4 μJ were obtained at 100 kHz. Furthermore, the Stokes shift by Raman scattering over a 25 km long fiber was measured, indicating that the laser can be potentially used to generate the high repetition rate pulses at the 1.7 μm region. Finally, we detected the photoacoustic signal from a human hair at 200 kHz repetition rate with a pulse energy of 1.2 μJ, which demonstrates that a Q-switched Er-doped fiber laser can be a promising light source for the high speed functional photoacoustic imaging.
Ahmetoglu, Gorkan; Harding, Xanthe; Akhtar, Reece; Chamorro-Premuzic, Tomas
2015-01-01
Creativity is a key ingredient of organizational effectiveness, business innovation, and entrepreneurship. Yet there remain substantial gaps in the literature in terms of understanding the antecedents of creative achievement. This study investigated the effect of perfectionism, employee engagement, and entrepreneurial potential as predictors of…
FDML swept source at 1060 nm using a tapered amplifier
DEFF Research Database (Denmark)
Marschall, Sebastian; Klein, Thomas; Wieser, Wolfgang
2010-01-01
We present a novel frequency-swept light source working at 1060nm that utilizes a tapered amplifier as gain medium. These devices feature significantly higher saturation power than conventional semiconductor optical amplifiers and can thus improve the limited output power of swept sources in this...... an axial resolution of 15 µm in air (~11µm in tissue) for OCT applications can be achieved....
Nanostructured current-confined single quantum dot light-emitting diode at 1300 nm
Monat, C.; Alloing, B.; Zinoni, C.; Li, L.; Fiore, A.
2006-01-01
A novel light-emitting-diode structure is demonstrated, which relies on nanoscale current injection through an oxide aperture to achieve selective excitation of single InAs/GaAs quantum dots. Low-temp. electroluminescence spectra evidence discrete narrow lines around 1300 nm (line width ~ 75 micro
High efficiency single frequency 355 nm all-solid-state UV laser
International Nuclear Information System (INIS)
Xie, Xiaobing; Wei, Daikang; Ma, Xiuhua; Li, Shiguang; Liu, Jiqiao; Zhu, Xiaolei; Chen, Weibiao
2016-01-01
A novel conductively cooled high energy single-frequency 355 nm all-solid-state UV laser is presented based on sum-frequency mixing technique. In this system, a pulsed seeder laser at 1064 nm wavelength, modulated by an AOM, is directly amplified by the cascaded multi-stage hybrid laser amplifiers, and two LBO crystals are used for the SHG and SFG, finally a maximum UV pulse energy of 226 mJ at 355 nm wavelength is achieved with frequency-tripled conversion efficiency as high as 55%, the pulse width is around 12.2 ns at the repetition frequency of 30 Hz. The beam quality factor M 2 of the output UV laser is measured to be 2.54 and 2.98 respectively in two orthogonal directions. (paper)
Mulkens, Jan; Kubis, Michael; Hinnen, Paul; de Graaf, Roelof; van der Laan, Hans; Padiy, Alexander; Menchtchikov, Boris
2013-04-01
Immersion lithography is being extended to the 20-nm and 14-nm node and the lithography performance requirements need to be tightened further to enable this shrink. In this paper we present an integral method to enable high-order fieldto- field corrections for both imaging and overlay, and we show that this method improves the performance with 20% - 50%. The lithography architecture we build for these higher order corrections connects the dynamic scanner actuators with the angle resolved scatterometer via a separate application server. Improvements of CD uniformity are based on enabling the use of freeform intra-field dose actuator and field-to-field control of focus. The feedback control loop uses CD and focus targets placed on the production mask. For the overlay metrology we use small in-die diffraction based overlay targets. Improvements of overlay are based on using the high order intra-field correction actuators on a field-tofield basis. We use this to reduce the machine matching error, extending the heating control and extending the correction capability for process induced errors.
High power diode lasers emitting from 639 nm to 690 nm
Bao, L.; Grimshaw, M.; DeVito, M.; Kanskar, M.; Dong, W.; Guan, X.; Zhang, S.; Patterson, J.; Dickerson, P.; Kennedy, K.; Li, S.; Haden, J.; Martinsen, R.
2014-03-01
There is increasing market demand for high power reliable red lasers for display and cinema applications. Due to the fundamental material system limit at this wavelength range, red diode lasers have lower efficiency and are more temperature sensitive, compared to 790-980 nm diode lasers. In terms of reliability, red lasers are also more sensitive to catastrophic optical mirror damage (COMD) due to the higher photon energy. Thus developing higher power-reliable red lasers is very challenging. This paper will present nLIGHT's released red products from 639 nm to 690nm, with established high performance and long-term reliability. These single emitter diode lasers can work as stand-alone singleemitter units or efficiently integrate into our compact, passively-cooled Pearl™ fiber-coupled module architectures for higher output power and improved reliability. In order to further improve power and reliability, new chip optimizations have been focused on improving epitaxial design/growth, chip configuration/processing and optical facet passivation. Initial optimization has demonstrated promising results for 639 nm diode lasers to be reliably rated at 1.5 W and 690nm diode lasers to be reliably rated at 4.0 W. Accelerated life-test has started and further design optimization are underway.
Quasi-three-level thin-disk laser at 1024 nm based on diode-pumped Yb:YAG crystal
International Nuclear Information System (INIS)
Wang, A G; Li, Y L; Fu, X H
2011-01-01
We present for the first time, to the best of our knowledge, a Yb:YAG laser operating in a continuous wave (CW) on the quasi-three-level laser at 1024 nm, based on the 2 F 5/2 – 2 F 7/2 transition, generally used for a 1030 nm emission. The use of a pump module with 16 passes through the crystal allowed the realization of a Yb:YAG thin-disk laser with 370 mW of CW output power at 1024 nm. Moreover, intracavity second-harmonic generation (SHG) has also been achieved with a power of 45 mW at 512 nm by using a LiB 3 O 5 (LBO) nonlinear crystal
Computational Design of High-χ Block Oligomers for Accessing 1 nm Domains.
Chen, Qile P; Barreda, Leonel; Oquendo, Luis E; Hillmyer, Marc A; Lodge, Timothy P; Siepmann, J Ilja
2018-05-22
Molecular dynamics simulations are used to design a series of high-χ block oligomers (HCBOs) that can self-assemble into a variety of mesophases with domain sizes as small as 1 nm. The exploration of these oligomers with various chain lengths, volume fractions, and chain architectures at multiple temperatures reveals the presence of ordered lamellae, perforated lamellae, and hexagonally packed cylinders. The achieved periods are as small as 3.0 and 2.1 nm for lamellae and cylinders, respectively, which correspond to polar domains of approximately 1 nm. Interestingly, the detailed phase behavior of these oligomers is distinct from that of either solvent-free surfactants or block polymers. The simulations reveal that the behavior of these HCBOs is a product of an interplay between both "surfactant factors" (headgroup interactions, chain flexibility, and interfacial curvature) and "block polymer factors" (χ, chain length N, and volume fraction f). This insight promotes the understanding of molecular features pivotal for mesophase formation at the sub-5 nm length scale, which facilitates the design of HCBOs tailored toward particular desired morphologies.
InP-based monolithically integrated 1310/1550nm diplexer/triplexer
Silfvenius, C.; Swillo, M.; Claesson, J.; Forsberg, E.; Akram, N.; Chacinski, M.; Thylén, L.
2008-11-01
Multiple streams of high definition television (HDTV) and improved home-working infrastructure are currently driving forces for potential fiber to the home (FTTH) customers [1]. There is an interest to reduce the cost and physical size of the FTTH equipment. The current fabrication methods have reached a cost minimum. We have addressed the costchallenge by developing 1310/(1490)/1550nm bidirectional diplexers, by monolithic seamless integration of lasers, photodiodes and wavelength division multiplexing (WDM) couplers into one single InP-based device. A 250nm wide optical gain profile covers the spectrum from 1310 to 1550nm and is the principal building block. The device fabrication is basically based on the established configuration of using split-contacts on continuos waveguides. Optical and electrical cross-talks are further addressed by using a Y-configuration to physically separate the components from each other and avoid inline configurations such as when the incoming signal travels through the laser component or vice versa. By the eliminated butt-joint interfaces which can reflect light between components or be a current leakage path and by leaving optically absorbing (unpumped active) material to surround the components to absorb spontaneous emission and nonintentional reflections the devices are optically and electrically isolated from each other. Ridge waveguides (RWG) form the waveguides and which also maintain the absorbing material between them. The WDM functionality is designed for a large optical bandwidth complying with the wide spectral range in FTTH applications and also reducing the polarization dependence of the WDM-coupler. Lasing is achieved by forming facet-free, λ/4-shifted, DFB (distributed feedback laser) lasers emitting directly into the waveguide. The photodiodes are waveguide photo-diodes (WGPD). Our seamless technology is also able to array the single channel diplexers to 4 to 12 channel diplexer arrays with 250μm fiber port
Peláez, R. J.; Afonso, C. N.; Bator, M.; Lippert, T.
2013-06-01
The aim of this work is to demonstrate that single-photon photoionization processes make a significant difference in the expansion and temperature of the plasma produced by laser ablation of ceramic Al2O3 in vacuum as well as to show their consequences in the kinetic energy distribution of the species that eventually will impact on the film properties produced by pulsed laser deposition. This work compares results obtained by mass spectrometry and optical spectroscopy on the composition and features of the plasma produced by laser ablation at 193 nm and 248 nm, i.e., photon energies that are, respectively, above and below the ionization potential of Al, and for fluences between threshold for visible plasma and up to ≈2 times higher. The results show that the ionic composition and excitation of the plasma as well as the ion kinetic energies are much higher at 193 nm than at 248 nm and, in the latter case, the population of excited ions is even negligible. The comparison of Maxwell-Boltzmann temperature, electron temperatures, and densities of the plasmas produced with the two laser wavelengths suggests that the expansion of the plasma produced at 248 nm is dominated by a single population. Instead, the one produced at 193 nm is consistent with the existence of two populations of cold and hot species, the latter associated to Al+ ions that travel at the forefront and produced by single photon ionization as well as Al neutrals and double ionized ions produced by electron-ion impact. The results also show that the most energetic Al neutrals in the plasma produced at the two studied wavelengths are in the ground state.
Towards 100 Gbps over 100m MMF using a 850nm VCSEL
DEFF Research Database (Denmark)
Iglesias Olmedo, Miguel; Tatarczak, Anna; Zuo, Tianjian
2014-01-01
Employing MultiCAP signaling, successful 70.4 Gbps transmission over 100m of OM3 MMF using off-the-shelf 850 nm VCSEL with 10.1 GHz 3-dB bandwidth is experimentally demonstrated indicating the feasibility of achieving 100 Gbps with a single 25 GHz VCSEL. © 2014 OSA....
Valentijn, Linda J.; Koster, Jan; Versteeg, Rogier
2006-01-01
NM23-H1 and NM23-H2 are neighboring genes on chromosome 17q. They encode nucleoside diphosphate kinases that have additional roles in signal transduction, transcription, and apoptosis. NM23-H1 expression is a strong marker for prognosis and metastatic behavior in many tumor types. A new
Efficiency of soft tissue incision with a novel 445-nm semiconductor laser.
Braun, Andreas; Kettner, Moritz; Berthold, Michael; Wenzler, Johannes-Simon; Heymann, Paul Günther Baptist; Frankenberger, Roland
2018-01-01
Using a 445-nm semiconductor laser for tissue incision, an effective cut is expected due to the special absorption properties of blue laser light in soft tissues. The aim of the present study was the histological evaluation of tissue samples after incision with a 445-nm diode laser. Forty soft tissue specimens were obtained from pork oral mucosa and mounted on a motorized linear translation stage. The handpiece of a high-frequency surgery device, a 970-nm semiconductor laser, and a 445-nm semiconductor laser were connected to the slide, allowing a constant linear movement (2 mm/s) and the same distance of the working tip to the soft tissue's surface. Four incisions were made each: (I) 970-nm laser with conditioned fiber tip, contact mode at 3-W cw; (II-III): 445-nm laser with non-conditioned fiber tip, contact mode at 2-W cw, and non-contact mode (1 mm) at 2 W; and (IV): high-frequency surgery device with straight working tip, 90° angulation, contact mode at 50 W. Histological analysis was performed after H&E staining of the embedded specimens at 35-fold magnification. The comparison of the incision depths showed a significant difference depending on the laser wavelength and the selected laser parameters. The highest incision depth was achieved with the 445-nm laser contact mode (median depth 0.61 mm, min 0.26, max 1.17, interquartile range 0.58) (p laser, a higher cutting efficiency can be expected when compared with a 970-nm diode laser and high-frequency surgery. Even the 445-nm laser application in non-contact mode shows clinically acceptable incision depths without signs of extensive soft tissue denaturation.
NM-Net Gigabit-based Implementation on Core Network Facilities and Network Design Hierarchy
International Nuclear Information System (INIS)
Raja Murzaferi Raja Moktar; Mohd Fauzi Haris; Siti Nurbahyah Hamdan
2011-01-01
Nuclear Malaysia computing network or NM the main backbone of internet working on operational staffs. Main network operating center or NOC is situated in Block 15 and linkup via fiber cabling to adjacent main network blocks (18, 29, 11 connections. Pre 2009 infrastructure; together to form the core networking switch. of the core network infrastructure were limited by the up link between core switches that is the Pair (UTP) Category 6 Cable. Furthermore, majority of the networking infrastructure throughout the agency were mainly built with Fast Ethernet Based specifications to date. With current research and operational tasks highly dependent on IT infrastructure that is being enabled through NM-Net, the performance NM-Net implementing gigabit-based networking system achieve optimal performance of internet networking services in the agency thus catalyze initiative. (author)
Creation and investigation of powerful EUV sources (λ ∼ 13.5 nm)
International Nuclear Information System (INIS)
Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.
2010-01-01
Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ∼4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.
Creation and investigation of powerful EUV sources (λ ≈ 13.5 nm)
Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.
2010-03-01
Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ˜4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.
Comparison of 193 nm and 308 nm laser liquid printing by shadowgraphy imaging
Energy Technology Data Exchange (ETDEWEB)
Palla-Papavlu, A., E-mail: apalla@nipne.ro [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG-36, Magurele, RO-077125 Bucharest (Romania); Shaw-Stewart, J. [EMPA, Swiss Federal Laboratories for Materials Testing and Research, Laboratory for Functional Polymers, Überlandstrasse 129, 8600 Dübendorf (Switzerland); Paul Scherrer Institute, General Energy Research Department, 5232 Villigen PSI (Switzerland); Mattle, T. [Paul Scherrer Institute, General Energy Research Department, 5232 Villigen PSI (Switzerland); Dinca, V. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG-36, Magurele, RO-077125 Bucharest (Romania); Lippert, T.; Wokaun, A. [Paul Scherrer Institute, General Energy Research Department, 5232 Villigen PSI (Switzerland); Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG-36, Magurele, RO-077125 Bucharest (Romania)
2013-08-01
Over the last years laser-induced forward transfer has emerged as a versatile and powerful tool for engineering surfaces with active compounds. Soft, easily damageable materials can be transferred using a triazene polymer as a sacrificial layer which acts as a pressure generator and at the same time protects the material from direct laser irradiation. To understand and optimize the transfer process of biomolecules in liquid solution by using an intermediate triazene polymer photosensitive layer, shadowgraphy imaging is carried out. Two laser systems i.e. an ArF laser operating at 193 nm and a XeCl laser operating at 308 nm are applied for the transfer. Solutions with 50% v/v glycerol concentration are prepared and the influence of the triazene polymer sacrificial layer thickness (60 nm) on the deposits is studied. The shadowgraphy images reveal a pronounced difference between laser-induced forward transfer using 193 nm or 308 nm, i.e. very different shapes of the ejected liquid.
Compact corner-pumped Nd:YAG/YAG composite slab 1319 nm/1338 nm laser
International Nuclear Information System (INIS)
Liu, H; Gong, M; Wushouer, X; Gao, S
2010-01-01
A corner-pumped type is a new pumping type in the diode-pumped solid-state lasers, which has the advantages of high pump efficiency and favorable pump uniformity. A corner-pumped Nd:YAG/YAG composite slab continuous-wave 1319 nm/1338 nm dual-wavelength laser is first demonstrated in this paper. When the cavity length is 25 mm, the maximal output power is up to 7.62 W with a slope efficiency of 16.6% and an optical-to-optical conversion efficiency of 17%. The corresponding spectral line widths of 1319 nm laser and 1338 nm laser are 0.11 and 0.1 nm, respectively. The short-term instability of the output power is better than 1% when the pumping power is 39.5 W. The experimental results show that a corner-pumped type is a kind of feasible schedules in the design of diode-pumped solid-state 1.3 μm lasers with low or medium output powers
Investigation of 207 nm UV radiation for degradation of organic dye ...
African Journals Online (AJOL)
The photo-degradation of organic dye C.I. Acid Red 213 (AR-213) was achieved by 207 nm UV radiation emitted from a planar KrBr* excimer lamp without addition of oxidants at varying initial pH values. Precipitates were found to be generated when the irradiated solution of initial acid pH was adjusted to alkaline pH and ...
4 Gbps direct modulation of 450 nm GaN laser for high-speed visible light communication
Lee, Changmin
2015-06-10
We demonstrate high-speed data transmission with a commercial high power GaN laser diode at 450 nm. 2.6 GHz bandwidth was achieved at an injection current of 500 mA using a high-speed visible light communication setup. Record high 4 Gbps free-space data transmission rate was achieved at room temperature.
4 Gbps direct modulation of 450 nm GaN laser for high-speed visible light communication.
Lee, Changmin; Zhang, Chong; Cantore, Michael; Farrell, Robert M; Oh, Sang Ho; Margalith, Tal; Speck, James S; Nakamura, Shuji; Bowers, John E; DenBaars, Steven P
2015-06-15
We demonstrate high-speed data transmission with a commercial high power GaN laser diode at 450 nm. 2.6 GHz bandwidth was achieved at an injection current of 500 mA using a high-speed visible light communication setup. Record high 4 Gbps free-space data transmission rate was achieved at room temperature.
Energy Technology Data Exchange (ETDEWEB)
Binetti, Simona [Department of Materials Science and Milano-Bicocca Solar Energy Research Center (MIB-SOLAR), University of Milano-Bicocca, Via Cozzi 55, 20125 Milano (Italy); Le Donne, Alessia, E-mail: alessia.ledonne@mater.unimib.it [Department of Materials Science and Milano-Bicocca Solar Energy Research Center (MIB-SOLAR), University of Milano-Bicocca, Via Cozzi 55, 20125 Milano (Italy); Rolfi, Andrea [Department of Materials Science and Milano-Bicocca Solar Energy Research Center (MIB-SOLAR), University of Milano-Bicocca, Via Cozzi 55, 20125 Milano (Italy); Jäggi, Beat; Neuenschwander, Beat [Bern University of Applied Sciences, Engineering and Information Technology, Institute for Applied Laser, Photonics and Surface Technologies ALPS, Pestalozzistrasse 20, CH-3400 Burgdorf (Switzerland); Busto, Chiara [ENI Spa, Via Giacomo Fauser, 4, 28100 Novara (Italy); Frigeri, Cesare [CNR-IMEM Institute, Parco Area Delle Scienze 37/A, Fontanini, 43010 Parma (Italy); Scorticati, Davide; Longoni, Luca; Pellegrino, Sergio [Laserpoint Srl, Via Della Burrona 51, 20090 Vimodrone, Milano (Italy)
2016-05-15
Highlights: • Self-organized surface structures were produced by picosecond laser pulses on mc-Si. • Three laser wavelengths were used which effectively reduce Si reflectivity up to 8%. • The subsurface damage induced by the three lasers was studied in detail. • μ-Raman, PL and TEM proved that UV laser provides the lowest subsurface damage. • UV laser induced damage is located above the depletion region of the p–n junction. - Abstract: Self-organized surface structures were produced by picosecond laser pulses on multi-crystalline silicon for photovoltaic applications. Three different laser wavelengths were employed (i.e. 1064 nm, 532 nm and 355 nm) and the resulting morphologies were observed to effectively reduce the reflectivity of the samples after laser irradiation. Besides, a comparative study of the laser induced subsurface damage generated by the three different wavelengths was performed by confocal micro-Raman, photoluminescence and transmission electron microscopy. The results of both the structural and optical characterization showed that the mc-Si texturing performed with the laser at 355 nm provides surface reflectivity between 11% and 8% over the spectral range from 400 nm to 1 μm, while inducing the lowest subsurface damage, located above the depletion region of the p–n junction.
Chen, Ting; Van Den Broeke, Doug; Hsu, Stephen; Park, Sangbong; Berger, Gabriel; Coskun, Tamer; de Vocht, Joep; Corcoran, Noel; Chen, Fung; van der Heijden, Eddy; Finders, Jo; Engelen, Andre; Socha, Robert
2006-03-01
Patterning contact-hole mask for Flash/DRAM is probably one of the most challenging tasks for design rule below 50nm due to the extreme low-k I printing conditions common in the memory designs. When combined with optical proximity corrections (OPC) to the mask, using optimized illumination has become a viable part of the production lithography process for 65nm node. At k Ipitch design rules. Here we use 6% attPSM mask for simulation and actual exposure in ASML XT 1400i (NA=0.93) and 1700i (NA=1.2) respectively. We begin with the illumination source optimization using full vector high-NA calculation (VHNA) with production resist stack and all manufacturability requirements for the source shaping diffractive optical element (DOE) are accounted for during the source optimization. Using the optimized source, IML TM technology based scattering bars (SB) placement together with model based OPC (MOPC) are applied to the original contact-hole design. In-focus printing and process latitude simulations are used to gauge the performance and manufacturability of the final optimized process, which includes the optimized mask, optimized source and required imaging settings. Our results show that for the 130nm pitch Flash contact-hole patterns, on ASML XT 1400i at NA=0.93, both optimized illumination source and immersion lithography are necessary in order to achieve manufacturability. The worst-case depth of focus (DOF) before SB and MOPC is 100-130nm at 6% EL, without common process window (PW) and with MOPC, the worst-case DOF is >200nm at 6% EL. The latter is in excellent agreement with the wafer results from ASML XT 1400i, and the predicated CDs match well with the measured at isolated, medium and dense pitch contact-holes to within 5nm. For the 120nm pitch Flash contact patterns, ASML XT 1700i at NA=1.2 must be used, together with optimized illumination source, to achieve the same or better process latitude (worst-case DOF at 6% EL), and for the Flash pattern used, further
A diode-pumped Tm:CaYAlO4 laser at 1851 nm
Lan, Jinglong; Guan, Xiaofeng; Xu, Bin; Moncorgé, Richard; Xu, Huiying; Cai, Zhiping
2017-07-01
Laser emission at ~1850 nm is of great interest for neural stimulation applications. In this letter, we report on the diode-pumped continuous-wave (CW) and Q-switched (QS) laser operation of Tm:CaYAlO4 at 1851 nm, for the first time to our knowledge. In the CW regime, a maximum output power up to 0.62 W is obtained with a laser slope efficiency of about 18.0%. Using a Cr:ZnSe saturable absorber, QS laser operation is achieved with a maximum average output power of 0.25 W, the narrowest pulse width of 107 ns and the highest repetition rate of 5.85 kHz. The corresponding pulse peak power and pulse energy are about 388 W and 42.8 µJ, respectively. In this Q-switched mode, wavelength tuning is also realized over about 3 nm by slightly tilting the saturable absorber.
Design of Polymer Wavelength Splitter 1310 nm/1550 nm Based on Multimode Interferences
Directory of Open Access Journals (Sweden)
V. Prajzler
2010-12-01
Full Text Available We report about design of 1x2 1310/1550 nm optical wavelength division multiplexer based on polymer waveguides. The polymer splitter was designed by using RSoft software based on beam propagation method. Epoxy novolak resin polymer was used as core waveguides layer, silicon substrate with silica layer was used as buffer layer and polymethylmethacrylate was used as protection cover layer. The simulation shows that the output energy for the fundamental mode is 67.1 % for 1310 nm and 67.8 % for 1550 nm wavelength.
Compact frequency-modulation Q-switched single-frequency fiber laser at 1083 nm
International Nuclear Information System (INIS)
Zhang, Yuanfei; Feng, Zhouming; Xu, Shanhui; Mo, Shupei; Yang, Changsheng; Li, Can; Gan, Jiulin; Chen, Dongdan; Yang, Zhongmin
2015-01-01
A compact frequency-modulation Q-switched single-frequency fiber laser is demonstrated at 1083 nm. The short linear resonant cavity consists of a 12 mm long homemade Yb 3+ -doped phosphate fiber and a pair of fiber Bragg gratings (FBGs) in which the Q-switching and the frequency excursion is achieved by a tensile-induced period modulation. Over 375 MHz frequency-tuning range is achieved with a modulation frequency varying from tens to hundreds of kilohertz. The highest peak power of the output pulse reaching 6.93 W at the repetition rate of 10 kHz is obtained. (paper)
Efficacy of antimicrobial 405 nm blue-light for inactivation of airborne bacteria
Dougall, Laura R.; Anderson, John G.; Timoshkin, Igor V.; MacGregor, Scott J.; Maclean, Michelle
2018-02-01
Airborne transmission of infectious organisms is a considerable concern within the healthcare environment. A number of novel methods for `whole room' decontamination, including antimicrobial 405 nm blue light, are being developed. To date, research has focused on its effects against surface-deposited contamination; however, it is important to also establish its efficacy against airborne bacteria. This study demonstrates evidence of the dose-response kinetics of airborne bacterial contamination when exposed to 405 nm light and compares bacterial susceptibility when exposed in three different media: air, liquid and surfaces. Bacterial aerosols of Staphylococcus epidermidis, generated using a 6-Jet Collison nebulizer, were introduced into an aerosol suspension chamber. Aerosolized bacteria were exposed to increasing doses of 405 nm light, and air samples were extracted from the chamber using a BioSampler liquid impinger, with viability analysed using pour-plate culture. Results have demonstrated successful aerosol inactivation, with a 99.1% reduction achieved with a 30 minute exposure to high irradiance (22 mWcm-2) 405 nm light (P=0.001). Comparison to liquid and surface exposures proved bacteria to be 3-4 times more susceptible to 405 nm light inactivation when in aerosol form. Overall, results have provided fundamental evidence of the susceptibility of bacterial aerosols to antimicrobial 405 nm light treatment, which offers benefits in terms of increased safety for human exposure, and eradication of microbes regardless of antibiotic resistance. Such benefits provide advantages for a number of applications including `whole room' environmental decontamination, in which reducing levels of airborne bacteria should reduce the number of infections arising from airborne contamination.
EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs
Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent
2009-03-01
The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.
Conjugated 12 nm long oligomers as molecular wires in nanoelectronics
DEFF Research Database (Denmark)
Søndergaard, Roar; Strobel, Sebastian; Bundgaard, Eva
2009-01-01
We demonstrate a generic synthetic approach to oligophenylenevinylene (OPV) derivative molecules with a molecular length of up to 12 nm and a relatively free choice of end group that can attach to different electrodes such as metallic gold or potentially transition metal oxide semiconductors. OPV...
Development of blue lasers, from second harmonic generation using a Nd:YAG laser emitting at 946 nm
International Nuclear Information System (INIS)
Nogueira, Gustavo Bernardes
2010-01-01
Blue lasers have attracted much attention for applications such as blue-ray, displays and as pumped source for the Ti:sapphire laser. A Nd:YAG crystal with diffusion bonded end-caps was used together with a pump wavelength of 802,3 nm, detuned from the absorption peak at 808 nm in order to minimize the thermal lens effect by providing for a better temperature distribution inside the crystal. Using different input mirror radii, the best relation between pump waist and laser was achieved in a linear cavity and resulted in 6.75W cw (continuous wave) laser power at 946 nm and slope efficiency of 48%. In a second step, a second harmonic generation crystal for blue emission at 473 nm was inserted into different types of resonators, and the blue output power at 473 nm was measured as a function of absorbed pump power. (author)
980 nm high brightness external cavity broad area diode laser bar
DEFF Research Database (Denmark)
Vijayakumar, Deepak; Jensen, Ole Bjarlin; Thestrup Nielsen, Birgitte
2009-01-01
We demonstrate of-axis spectral beam combining applied to a 980 nm high power broad area diode laser bar. The experiments yielded 9 W of optical power at 30 A of operating current and the measured M2 values of the combined beam from 12 emitters were 1.9 and 6.4 for the fast and the slow axis......, respectively. The slow axis beam quality was 5-6 times better than the value obtained from a single emitter in free running mode. A high brightness of 79 MW/cm2-str was achieved using this configuration. To our knowledge, this is the highest brightness level ever achieved from a broad area diode laser bar....
Evaluation of 405 nm monochromatic light for inactivation of tulane virus on blueberry surfaces
The aim of this study was to evaluate the potential of 405 nm light as an intervention for virus contaminated blueberries. Tulane virus-contaminated-blueberries were treated with 4.2 mW/sq cm of 405 nm light for 5 to 30 min. To mitigate thermal heating due to the intense light, a dry ice-chilled ni...
Achievable conservation potential in British Columbia: A review and critique
International Nuclear Information System (INIS)
Nyboer, J.; Bailie, A.
1994-01-01
Energy conservation of British Columbia's Hydro Utility is being reviewed after a two phase report (Synergetic Resources Corp'n, (1994a,1994b)). Phase 2 is the centre of the attention for this review and critique. The information gained in the Phase 1 analysis answered what boundaries were achievable for electrical conservation. Phase 2 was to estimate the conservation potential (1) through technological and operating change and (2) through lifestyle changes of individuals and society in general. The study is part of a new, largely unexplored area of research for electricity utilities, promoting and planning for electricity conservation. While it succeeded in considering the effects of various initiatives on consumers' decisions when purchasing and using technologies, it's shortcomings limit its usefulness. The three main shortcomings of BC Hydro's report are (1) lack of relevant information, (2) questions on the methodology, and (3) lack of connection between Part 1 and Part 2. 2 refs
Formation of nickel germanides from Ni layers with thickness below 10 nm
Energy Technology Data Exchange (ETDEWEB)
Jablonka, Lukas; Kubart, Tomas; Primetzhofer, Daniel; Abedin, Ahmad; Hellström, Per-Erik; Östling, Mikael; Jordan-Sweet, Jean; Lavoie, Christian; Zhang, Shi-Li; Zhang, Zhen
2017-03-01
The authors have studied the reaction between a Ge (100) substrate and thin layers of Ni ranging from 2 to 10 nm in thickness. The formation of metal-rich Ni5Ge3Ni5Ge3 was found to precede that of the monogermanide NiGe by means of real-time in situ x-ray diffraction during ramp-annealing and ex situ x-ray pole figure analyses for phase identification. The observed sequential growth of Ni5Ge3Ni5Ge3 and NiGe with such thin Ni layers is different from the previously reported simultaneous growth with thicker Ni layers. The phase transformation from Ni5Ge3Ni5Ge3 to NiGe was found to be nucleation-controlled for Ni thicknesses <5 nm<5 nm, which is well supported by thermodynamic considerations. Specifically, the temperature for the NiGe formation increased with decreasing Ni (rather Ni5Ge3Ni5Ge3) thickness below 5 nm. In combination with sheet resistance measurement and microscopic surface inspection of samples annealed with a standard rapid thermal processing, the temperature range for achieving morphologically stable NiGe layers was identified for this standard annealing process. As expected, it was found to be strongly dependent on the initial Ni thickness
Advances in 193 nm excimer lasers for mass spectrometry applications
Delmdahl, Ralph; Esser, Hans-Gerd; Bonati, Guido
2016-03-01
Ongoing progress in mass analysis applications such as laser ablation inductively coupled mass spectrometry of solid samples and ultraviolet photoionization mediated sequencing of peptides and proteins is to a large extent driven by ultrashort wavelength excimer lasers at 193 nm. This paper will introduce the latest improvements achieved in the development of compact high repetition rate excimer lasers and elaborate on the impact on mass spectrometry instrumentation. Various performance and lifetime measurements obtained in a long-term endurance test over the course of 18 months will be shown and discussed in view of the laser source requirements of different mass spectrometry tasks. These sampling type applications are served by excimer lasers delivering pulsed 193 nm output of several mJ as well as fast repetition rates which are already approaching one Kilohertz. In order to open up the pathway from the laboratory to broader market industrial use, sufficient component lifetimes and long-term stable performance behavior have to be ensured. The obtained long-term results which will be presented are based on diverse 193 nm excimer laser tube improvements aiming at e.g. optimizing the gas flow dynamics and have extended the operational life the laser tube for the first time over several billion pulses even under high duty-cycle conditions.
Gulgazov, Vadim N.; Jackson, Gordon S.; Lascola, Kevin M.; Major, Jo S.; Parke, Ross; Richard, Tim; Rossin, Victor V.; Zhang, Kai
1999-09-01
The demands of global bandwidth and distribution are rising rapidly as Internet usage grows. This fundamentally means that more photons are flowing within optical cables. While transmitting sources launches some optical power, the majority of the optical power that is present within modern telecommunication systems originates from optical amplifiers. In addition, modern optical amplifiers offer flat optical gain over broad wavelength bands, thus making possible dense wavelength de-multiplexing (DWDM) systems. Optical amplifier performance, and by extension the performance of the laser pumps that drive them, is central to the future growth of both optical transmission and distribution systems. Erbium-doped amplifiers currently dominate optical amplifier usage. These amplifiers absorb pump light at 980 nm and/or 1480 nm, and achieve gain at wavelengths around 1550 nm. 980 nm pumps achieve better noise figures and are therefore used for the amplification of small signals. Due to the quantum defect, 1480 nm lasers deliver more signal photon per incident photon. In addition, 1480 nm lasers are less expensive than 980 nm lasers. Thus, 1480 nm pump lasers are used for amplification in situations where noise is not critical. The combination of these traits leads to the situation where many amplifiers contain 980 nm lasers to pump the input section of the Er- doped fiber with 1480 nm lasers being used to pump the latter section of Er fiber. This can be thought of as using 980 nm lasers to power an optical pre-amplifier with the power amplification function being pump with 1480 nm radiation. This paper will focus on 980 nm pump lasers and the impact that advances in 980 nm pump technology will have on optical amplification systems. Currently, 980 nm technology is rapidly advancing in two areas, power and reliability. Improving reliability is becoming increasingly important as amplifiers move towards employing more pump lasers and using these pump lasers without redundancy
Lee, Myung-Jae; Youn, Jin-Sung; Park, Kang-Yeob; Choi, Woo-Young
2014-02-10
We present a fully integrated 12.5-Gb/s optical receiver fabricated with standard 0.13-µm complementary metal-oxide-semiconductor (CMOS) technology for 850-nm optical interconnect applications. Our integrated optical receiver includes a newly proposed CMOS-compatible spatially-modulated avalanche photodetector, which provides larger photodetection bandwidth than previously reported CMOS-compatible photodetectors. The receiver also has high-speed CMOS circuits including transimpedance amplifier, DC-balanced buffer, equalizer, and limiting amplifier. With the fabricated optical receiver, detection of 12.5-Gb/s optical data is successfully achieved at 5.8 pJ/bit. Our receiver achieves the highest data rate ever reported for 850-nm integrated CMOS optical receivers.
International Nuclear Information System (INIS)
Higashiguchi, Takeshi; Rajyaguru, Chirag; Kubodera, Shoichi; Sasaki, Wataru; Yugami, Noboru; Kikuchi, Takashi; Kawata, Shigeo; Andreev, Alex
2005-01-01
A proof-of-principle experiment was demonstrated to optimize a Li-based microjet target coupled to dual subpicosecond laser pulses as a 13.5 nm soft x-ray emission source. An optimum pulse duration of 450 fs to achieve a maximum emission at 13.5 nm was well explained by the resonant absorption process. Utilization of dual femtosecond pulses revealed that the optimum pulse separation around 500 ps was necessary to achieve a maximum soft x-ray conversion efficiency of 0.2%, where plasma hydrodynamics could not be neglected. A one-fluid two-temperature hydrodynamic simulation reproduced this optimum pulse separation behavior
Energy Technology Data Exchange (ETDEWEB)
Moss, O R; Wong, V A, E-mail: moss@thehamner.or [Hamner Institutes for Health Sciences, Research Triangle Park, NC 27509-2137 (United States)
2009-02-01
When macrophages accumulate 28 nm and 250 nm diameter polystyrene latex (PSL) beads, the accumulation rates should reflect differences in molecular and cellular function. We used a confocal microscope to measure the accumulation rates of nanoparticles by F344-rat-alveolar macrophages (approx25,000 cells adhered to a 0.7 cm{sup 2} surface). Over the cells were layered 0.1 ml of media, and 0.1 ml of media-with-beads. Fresh cells were introduced for each exposure scenario. The maximum possible individual macrophage exposures were as follows: 8x10{sup 6}, 8x10{sup 5}, and 8x10{sup 4} 28 nm beads per macrophage; and 8x10{sup 4} and 1.12x10{sup 4} 250 nm beads per macrophage. Accumulation rates were estimated over 23 minutes. The increase in bead accumulation-rate matched changes in bead-availability: 7x increase for 250 nm beads; 100x increase for 28 nm beads; and 700x increase for all bead availabilities. The maximum sustained 28 nm bead accumulation rate was > 30,000 /min (for 5 min). Increases in bead accumulation could be explained by two mechanisms: bead-diffusion; and, for the macrophage, macropinocytosis. Also for the highest concentrations of 28 nm beads, we saw a colligative threshold - possibly due to beads masking the cell surface or obstructing cellular mechanisms.
Shamim, Md. Hosne Mobarok; Shemis, Mohamed; Shen, Chao; Oubei, Hassan M.; Ng, Tien Khee; Ooi, Boon S.; Khan, Mohammed Zahed Mustafa
2018-01-01
First demonstration of self-injection locking on 524 nm visible laser diode is presented. Enhancement by ~440 MHz (~30%) in modulation bandwidth, ~7 times reduction in lasing linewidth, and ~10 dB improvement in SMSR is achieved.
Shamim, Md. Hosne Mobarok
2018-03-05
First demonstration of self-injection locking on 524 nm visible laser diode is presented. Enhancement by ~440 MHz (~30%) in modulation bandwidth, ~7 times reduction in lasing linewidth, and ~10 dB improvement in SMSR is achieved.
Repair of near-UV (365nm or 313 nm) induced DNA strand breaks
International Nuclear Information System (INIS)
Miguel, A.G.
1981-01-01
The action of near-UV (365 nm or 313 nm) radiation in cellular inactivaton (biological measurements) and induction and repair of breaks (physical measurements) is studied in repair proficient strain and in pol A, rec A and uvr A deficient strains of Escherichia coli K-12. (M.A.C.) [pt
Energy Technology Data Exchange (ETDEWEB)
Pelaez, R. J.; Afonso, C. N. [Laser Processing Group, Instituto de Optica, CSIC, Serrano 121, Madrid 28006 (Spain); Bator, M.; Lippert, T. [General Energy Research Department, Paul Scherrer Institut, CH-5232 Villigen PSI (Switzerland)
2013-06-14
The aim of this work is to demonstrate that single-photon photoionization processes make a significant difference in the expansion and temperature of the plasma produced by laser ablation of ceramic Al{sub 2}O{sub 3} in vacuum as well as to show their consequences in the kinetic energy distribution of the species that eventually will impact on the film properties produced by pulsed laser deposition. This work compares results obtained by mass spectrometry and optical spectroscopy on the composition and features of the plasma produced by laser ablation at 193 nm and 248 nm, i.e., photon energies that are, respectively, above and below the ionization potential of Al, and for fluences between threshold for visible plasma and up to Almost-Equal-To 2 times higher. The results show that the ionic composition and excitation of the plasma as well as the ion kinetic energies are much higher at 193 nm than at 248 nm and, in the latter case, the population of excited ions is even negligible. The comparison of Maxwell-Boltzmann temperature, electron temperatures, and densities of the plasmas produced with the two laser wavelengths suggests that the expansion of the plasma produced at 248 nm is dominated by a single population. Instead, the one produced at 193 nm is consistent with the existence of two populations of cold and hot species, the latter associated to Al{sup +} ions that travel at the forefront and produced by single photon ionization as well as Al neutrals and double ionized ions produced by electron-ion impact. The results also show that the most energetic Al neutrals in the plasma produced at the two studied wavelengths are in the ground state.
International Nuclear Information System (INIS)
Song, Minsoo; Yoon, Duseong; Yoon, Taihyun
2011-01-01
We performed high-resolution Doppler-free two-photon spectroscopy of Yb atoms in an effusive atomic beam and generated a cascade of two photons at 611.3 nm (idler) and 555.8 nm (signal) with a narrow bandwidth of 37 MHz. Efficient population transfer from the ground state (6s 2 1 S 0 ) to the upper state (6s7s 1 S 0 ), where direct transition at 291.1 nm is dipole forbidden, was achieved through a resonant two-photon excitation enhanced by the electromagnetically-induced transparency mediated by the intermediate state (6s6p 1 P 1 ). From the upper state, a cascade of two photons in sequence was emitted via the spin triplet state (6s 2 3 P 1 ). Numerical calculations of the density matrix equations taking into account the residual Doppler effect and strong driving fields explain quantitatively the experimental results for the dependences of the idler and the signal beam intensities on the various parameters of the driving fields. We report on the generation of a cascade of two photons with fluxes at the level of a few times 10 6 photons/s detected at a solid angle of 0.01 sr.
Energy Technology Data Exchange (ETDEWEB)
Song, Minsoo; Yoon, Duseong; Yoon, Taihyun [Korea University, Seoul (Korea, Republic of)
2011-10-15
We performed high-resolution Doppler-free two-photon spectroscopy of Yb atoms in an effusive atomic beam and generated a cascade of two photons at 611.3 nm (idler) and 555.8 nm (signal) with a narrow bandwidth of 37 MHz. Efficient population transfer from the ground state (6s{sup 2} {sup 1}S{sub 0}) to the upper state (6s7s {sup 1}S{sub 0}), where direct transition at 291.1 nm is dipole forbidden, was achieved through a resonant two-photon excitation enhanced by the electromagnetically-induced transparency mediated by the intermediate state (6s6p {sup 1}P{sub 1}). From the upper state, a cascade of two photons in sequence was emitted via the spin triplet state (6s{sup 2} {sup 3}P{sub 1}). Numerical calculations of the density matrix equations taking into account the residual Doppler effect and strong driving fields explain quantitatively the experimental results for the dependences of the idler and the signal beam intensities on the various parameters of the driving fields. We report on the generation of a cascade of two photons with fluxes at the level of a few times 10{sup 6} photons/s detected at a solid angle of 0.01 sr.
Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.
Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han
2016-10-01
The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.
A 98 W 1178 nm Yb-doped solid-core photonic bandgap fiber oscillator
International Nuclear Information System (INIS)
Fan, Xinyan; Chen, Mingchen; Shirakawa, Akira; Ueda, Ken-ichi; Olausson, Christina B; Broeng, Jes
2013-01-01
A high-power ytterbium-doped solid-core photonic bandgap fiber laser directly oscillating at 1178 nm is reported. The sharp-cut bandpass distributed filtering effect of photonic bandgap fiber can suppress amplified spontaneous emission (ASE) in the conventional high-gain spectral region. The oscillator is composed of a high reflection fiber Bragg grating spliced with a 39 m gain fiber and a Fresnel fiber end surface. A model based on rate equations is investigated numerically. A record output power of 98 W is achieved with a slope efficiency of 54%. The laser linewidth is 0.5 nm. The spectrum at 98 W indicates that ASE and parasitic lasing are suppressed effectively. (letter)
High-energy high-efficiency Nd:YLF laser end-pump by 808 nm diode
Ma, Qinglei; Mo, Haiding; Zhao, Jay
2018-04-01
A model is developed to calculate the optimal pump position for end-pump configuration. The 808 nm wing pump is employed to spread the absorption inside the crystal. By the optimal laser cavity design, a high-energy high-efficiency Nd:YLF laser operating at 1053 nm is presented. In cw operation, a 13.6 W power is obtained with a slope efficiency of 51% with respect to 30 W incident pump power. The beam quality is near diffraction limited with M2 ∼ 1.02. In Q-switch operation, a pulse energy of 5 mJ is achieved with a peak power of 125 kW at 1 kHz repetition rate.
Green high-power tunable external-cavity GaN diode laser at 515 nm
DEFF Research Database (Denmark)
Chi, Mingjun; Jensen, Ole Bjarlin; Petersen, Paul Michael
2016-01-01
A 480 mW green tunable diode laser system is demonstrated for the first time to our knowledge. The laser system is based on a GaN broad-area diode laser and Littrow external-cavity feedback. The green laser system is operated in two modes by switching the polarization direction of the laser beam...... incident on the grating. When the laser beam is p-polarized, an output power of 50 mW with a tunable range of 9.2 nm is achieved. When the laser beam is s-polarized, an output power of 480 mW with a tunable range of 2.1 nm is obtained. This constitutes the highest output power from a tunable green diode...... laser system....
Hard X-ray Microscopy with sub 30 nm Spatial Resolution
International Nuclear Information System (INIS)
Tang, M.-T.; Song, Y.-F.; Yin, G.-C.; Chen, J.-H.; Chen, Y.-M.; Liang, Keng S.; Chen, F.-R.; Duewer, F.; Yun Wenbing
2007-01-01
A transmission X-ray microscope (TXM) has been installed at the BL01B beamline at National Synchrotron Radiation Research Center in Taiwan. This state-of-the-art TXM operational in a range 8-11 keV provides 2D images and 3D tomography with spatial resolution 60 nm, and with the Zernike-phase contrast mode for imaging light materials such as biological specimens. A spatial resolution of the TXM better than 30 nm, apparently the best result in hard X-ray microscopy, has been achieved by employing the third diffraction order of the objective zone plate. The TXM has been applied in diverse research fields, including analysis of failure mechanisms in microelectronic devices, tomographic structures of naturally grown photonic specimens, and the internal structure of fault zone gouges from an earthquake core. Here we discuss the scope and prospects of the project, and the progress of the TXM in NSRRC
Hou, Beibei; Zhang, Luning
2018-05-01
We demonstrate that placing liquid microdroplets on a sample surface enables probing of the evanescent wave, thus having super-resolution capability on a far-field optical microscope. A simple method to form diiodomethane (CH2I2) liquid microdroplets with diameters of 10–90 μm in water is proposed. These microdroplets can be used to image various nanostructured samples with a feature size of about 100 nm under white-light illumination.
355-nm hypersensitization of optical fibers
Canagasabey, A.; Canning, J.; Groothoff, N.
2003-01-01
A study is presented on 355-nm hypersensitization of optical fibers. It is found that the intrinsic 244-nm photosensitivity of boron-codoped germanosilicate optical fibers is enhanced by 355-nm hypersensitization. Hypersensitization through standard polymer coating is also demonstrated.
Energy Technology Data Exchange (ETDEWEB)
Li, Xiao-Hang; Detchprohm, Theeradetch; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Dupuis, Russell D., E-mail: dupuis@gatech.edu [Center for Compound Semiconductors and School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332-0250 (United States); Wang, Shuo; Wei, Yong O.; Xie, Hongen; Fischer, Alec M.; Ponce, Fernando A. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Wernicke, Tim; Reich, Christoph; Martens, Martin; Kneissl, Michael [Technical University of Berlin, Institute for Solid State Physics, Berlin D-10623 (Germany)
2014-10-06
Optically pumped deep-ultraviolet (DUV) lasing with low threshold was demonstrated from AlGaN-based multiple-quantum-well (MQW) heterostructures grown on sapphire substrates. The epitaxial layers were grown pseudomorphically by metalorganic chemical vapor deposition on (0001) sapphire substrates. Stimulated emission was observed at wavelengths of 256 nm and 249 nm with thresholds of 61 kW/cm{sup 2} and 95 kW/cm{sup 2} at room temperature, respectively. The thresholds are comparable to the reported state-of-the-art AlGaN-based MQW DUV lasers grown on bulk AlN substrates emitting at 266 nm. These low thresholds are attributed to the optimization of active region and waveguide layer as well as the use of high-quality AlN/sapphire templates. The stimulated emission above threshold was dominated by transverse-electric polarization. This work demonstrates the potential candidacy of sapphire substrates for DUV diode lasers.
International Nuclear Information System (INIS)
Li, Xiao-Hang; Detchprohm, Theeradetch; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Dupuis, Russell D.; Wang, Shuo; Wei, Yong O.; Xie, Hongen; Fischer, Alec M.; Ponce, Fernando A.; Wernicke, Tim; Reich, Christoph; Martens, Martin; Kneissl, Michael
2014-01-01
Optically pumped deep-ultraviolet (DUV) lasing with low threshold was demonstrated from AlGaN-based multiple-quantum-well (MQW) heterostructures grown on sapphire substrates. The epitaxial layers were grown pseudomorphically by metalorganic chemical vapor deposition on (0001) sapphire substrates. Stimulated emission was observed at wavelengths of 256 nm and 249 nm with thresholds of 61 kW/cm 2 and 95 kW/cm 2 at room temperature, respectively. The thresholds are comparable to the reported state-of-the-art AlGaN-based MQW DUV lasers grown on bulk AlN substrates emitting at 266 nm. These low thresholds are attributed to the optimization of active region and waveguide layer as well as the use of high-quality AlN/sapphire templates. The stimulated emission above threshold was dominated by transverse-electric polarization. This work demonstrates the potential candidacy of sapphire substrates for DUV diode lasers.
Vítek, Petr; Ali, Esam M A; Edwards, Howell G M; Jehlička, Jan; Cox, Rick; Page, Kristian
2012-02-01
The development of miniaturized Raman instrumentation is in demand for applications relevant to forensic, pharmaceutical and art analyses, as well as geosciences, and planetary exploration. In this study we report on evaluation of a portable dispersive Raman spectrometer equipped with 1064 nm laser excitation. Selected samples from geological, geobiological and forensic areas of interest have been studied from which the advantages, disadvantages and the analytical potential of the instrument are assessed based on a comparison with bench instrumentation and other portable Raman spectrometers using 785 nm excitation. It is demonstrated that the instrument operating with 1064 nm excitation has potential for expanding the number and types of samples that can be measured by miniaturized Raman spectroscopy without interfering fluorescence background emission. It includes inorganic and organic minerals, biomolecules within living lichen and endolithic cyanobacteria as well as drugs of abuse and explosives. Copyright © 2011 Elsevier B.V. All rights reserved.
International Nuclear Information System (INIS)
Haemori, M.; Edura, T.; Tsutsui, K.; Itaka, K.; Wada, Y.; Koinuma, H.
2006-01-01
We have fabricated a combinatorial nm-planar electrode array by using photolithography and chemical mechanical polishing processes for high throughput electrical evaluation of organic devices. Sub-nm precision was achieved with respect to the average level difference between each pair of electrodes and a dielectric layer. The insulating property between the electrodes is high enough to measure I-V characteristics of organic semiconductors. Bottom-contact field-effect-transistors (FETs) of pentacene were fabricated on this electrode array by use of molecular beam epitaxy. It was demonstrated that the array could be used as a pre-patterned device substrate for high throughput screening of the electrical properties of organic semiconductors
Optical limiting properties of 3,5-dipyrenylvinyleneBODIPY dyes at 532 nm
Kubheka, Gugu; Sanusi, Kayode; Mack, John; Nyokong, Tebello
2018-02-01
The optical limiting (OL) properties of 3,5-dipyrenylvinyleneBODIPY dyes that contain both electron withdrawing and donating moieties have been investigated by using the z-scan technique at 532 nm in the nanosecond pulse range. The extension of the π-conjugation at the 3,5-positions with pyrenylvinylene groups results in a ca. 200 nm red shift of the main BODIPY spectral band to ca. 700 nm, so there is relatively weak absorbance at 532 nm under ambient light conditions. Reverse saturable absorbance (RSA) profiles are observed in response to incident pulsed laser light that is consistent with a two photon absorption-assisted excited state absorption (ESA) mechanism in CH2Cl2 solution and when the dyes are embedded in poly(bisphenol carbonate A) (PBC) polymer thin films. This demonstrates that 3,5-divinyleneBODIPY dyes are potentially suitable for use in OL applications, since limiting threshold fluence (Ilim) values of below 0.95 J cm-2 are observed when thin films are prepared.
High-energy kHz mid-IR tunable PPSLT-based OPO pumped at 1064 nm
Energy Technology Data Exchange (ETDEWEB)
Gaydardzhiev, A; Chuchumishev, D; Draganov, D; Buchvarov, I [Department of Physics, Sofia University, 5 James Bourchier Blvd., BG-1164, Sofia (Bulgaria)
2012-06-30
We report a single-frequency sub-nanosecond optical parametric oscillator (OPO) based on periodically poled stoichiometric lithium tantalate (PPSLT), pumped by a 1064-nm amplified microchip laser at a repetition rate of 0.5 kHz. Using a 11-mm-long PPSLT crystal polled with three different domain periods (30.2, 30.3, 30.4 {mu}m) and changing the temperature of the crystal from 20 Degree-Sign C to 265 Degree-Sign C, we have achieved wavelength tuning between 2990 nm and 3500 nm. The high nonlinearity of the used medium and the large aperture (2 mm) ensure the maximum idler output energy of {approx}0.5 mJ in the whole tuning range, corresponding to average {approx}10.5 % idler conversion efficiency and {approx}250 mW of average power. Sub-nanosecond pulse durations have been obtained for the idler at 0.88-ns pulse duration of the pump.
Diode-pumped continuous-wave Nd:Gd3Ga5O12 lasers at 1406, 1415 and 1423 nm
Lin, Haifeng; Zhu, Wenzhang; Xiong, Feibing; Ruan, Jianjian
2018-05-01
We report a diode-pumped continuous-wave Nd:Gd3Ga5O12 (GGG) laser operating at 1.4 μm spectral region. A dual-wavelength laser at 1423 and 1406 nm is achieved with output power of about 2.59 W at absorbed pump power of 13.4 W. Further increasing the pump power, simultaneous tri-wavelength laser at 1423, 1415 and 1406 nm is also obtained with a maximum output power of 3.96 W at absorbed pump power of 18.9 W. Single-wavelength lasing is also realized at the three emission lines using an intracavity etalon. The laser result is believed to be the highest output power achieved in Nd:GGG crystal, at present, to the best of our knowledge.
International Nuclear Information System (INIS)
Chen-Xu, Feng; Rong-Zhen, Jiao; Wen-Han, Zhang
2008-01-01
The performance of the differential-phase-shift keying (DPSK) protocol applying a 1310 nm up-conversion single-photon detector is analysed. The error rate and the communication rate as a function of distance for three quantum key distribution protocols, the Bennett–Brassard 1984, the Bennett–Brassard–Mermin 1992, and the DPSK, are presented. Then we compare the performance of these three protocols using the 1310nm up-conversion detector. We draw the conclusion that the DPSK protocol applying the detector has significant advantage over the other two protocols. Longer transmission distance and lower error rate can be achieved. (general)
A Wideband Balun LNA I/Q-Mixer combination in 65nm CMOS
Blaakmeer, S.C.; Klumperink, Eric A.M.; Leenaerts, D.M.W.; Nauta, Bram
2008-01-01
An inductor-less LNA-mixer topology merges an I/Q current-commutating mixer with a noise-canceling balun/LNA. The topology achieves >18dB conversion gain, a flat NF<5.5dB, IIP2=+20dBm and IIP3=-3dBm from 500MHz to 7GHz. The core circuit consumes 16mW and occupies less than 0.01mm2 in 65nm CMOS.
Histologic evaluation of laser lipolysis: pulsed 1064-nm Nd:YAG laser versus cw 980-nm diode laser.
Mordon, Serge; Eymard-Maurin, Anne Françoise; Wassmer, Benjamin; Ringot, Jean
2007-01-01
The use of the laser as an auxiliary tool has refined the traditional technique for lipoplasty. During laser lipolysis, the interaction between the laser and the fat produced direct cellular destruction before the suction, reduced bleeding, and promoted skin tightening. This study sought to perform a comparative histologic evaluation of laser lipolysis with the pulsed 1064-nm Nd:YAG laser versus a continuous 980-nm diode laser. A pulsed 1064-nm Nd:YAG (Smart-Lipo; Deka, Italy) and a CW 980-nm diode laser (Pharaon, Osyris, France) were evaluated at different energy settings for lipolysis on the thighs of a fresh cadaver. The lasers were coupled to a 600-microm optical fiber inserted in a 1-mm diameter cannula. Biopsy specimens were taken on irradiated and non-irradiated areas. Hematoxylin-erythrosin-safran staining and immunostaining (anti-PS100 polyclonal antibody) were performed to identify fat tissue damage. In the absence of laser exposures (control specimens), cavities created by cannulation were seen; adipocytes were round in appearance and not deflated. At low energy settings, tumescent adipocytes were observed. At higher energy settings, cytoplasmic retraction, disruption of membranes, and heat-coagulated collagen fibers were noted; coagulated blood cells were also present. For the highest energy settings, carbonization of fat tissue involving fibers and membranes was clearly seen. For equivalent energy settings, 1064-nm and 980-nm wavelengths gave similar histologic results. Laser lipolysis is a relatively new technique that is still under development. Our histologic findings suggest several positive benefits of the laser, including skin retraction and a reduction in intraoperative bleeding. The interaction of the laser with the tissue is similar at 980 nm and 1064 nm with the same energy settings. Because higher volumes of fat are removed with higher total energy, a high-power 980-nm diode laser could offer an interesting alternative to the 1064-nm Nd
Bryan, Zachary A.
The identification and role of point defects in AlN thin films and bulk crystals are studied. High-resolution photoluminescence studies on doped and undoped c-plane and mplane homoepitaxial films reveal several sharp donor-bound exciton (DBX) peaks with a full width at half maximum (FWHM) as narrow as 500 microeV. Power dependent photoluminescence distinguish DBXs tied to the Gamma5 free exciton (FX) from those tied to the Gamma 1 FX. The DBX transitions at 6.012 and 6.006 eV are identified as originating from the neutral-donor-silicon (Si0X) and neutral-donor-oxygen (O0X) respectively. With multiple DBXs and their respective two electron satellite peaks identified, a Haynes Rule plot is developed for the first time for AlN. While high quality AlN homoepitaxy is achievable by metalorganic chemical vapor deposition (MOCVD) growth, current commercially available AlN wafers are typically hindered by the presence of a broad below bandgap optical absorption band centered at 4.7 eV ( 265 nm) with an absorption coefficient of well over 1000 cm-1. Through density functional theory calculations, it is determined that substitutional carbon on the nitrogen site causes this absorption. Further studies reveal a donor-acceptor pair (DAP) recombination between substitutional carbon on the nitrogen site and a nitrogen vacancy with an emission energy of 2.8 eV. Lastly, co-doping bulk AlN with Si or O is explored and found to suppress the unwanted 4.7 eV absorption band. A novel Fermi level control scheme for point defect management during MOCVD growth in III-nitride materials by above bandgap illumination is proposed and implemented for Mg-doped GaN and Si-doped AlGaN materials as a proof of concept. The point defect control scheme uses photo-generated minority charge carriers to control the electro-chemical potential of the system and increase the formation energies of electrically charged compensating point defects. The result is a lower incorporation of compensating point
Role of Academician N.M. Sissakian in space biomedicine formation
International Nuclear Information System (INIS)
Gazenko, O.G.; Gyurdzhian, A.A.
1997-01-01
Role of Academician N.M. Sissakian in space biomedicine formation is discussed dedicated to the 90th anniversary from his birthday. It is shown that Sissakian layers the foundation of new branch of science - space biomedicine. He participated in the programs of preparing man to space flight, paid attention to the problems of exobiology, gravitation, ontogenesis in mammals under weightlessness conditions, radiation safety in space flight, life support under space flight conditions, social-psychological activities of astronauts. Academician introduced the achievements of cosmic investigations into earth science practice, paid great attention to the international cooperation
Hypersensitisation using 266nm Laser Light
DEFF Research Database (Denmark)
Sørensen, Henrik Rokkjær; Canning, John; Kristensen, Martin
UV-hypersensitisation using 266nm VW-light on hydrogenated Ge-doped fibre is reported. The optimum fluence to be between 5 to 10 kJ/cm2, coinciding with results obtained using 355nm light, indicating same end-process in both reactions.......UV-hypersensitisation using 266nm VW-light on hydrogenated Ge-doped fibre is reported. The optimum fluence to be between 5 to 10 kJ/cm2, coinciding with results obtained using 355nm light, indicating same end-process in both reactions....
Diode-laser-pumped high efficiency continuous-wave operation at 912 nm laser in Nd:GdVO4 crystal
International Nuclear Information System (INIS)
Yu, X; Chen, F; Gao, J; Li, X D; Yan, R P; Zhang, K; Yu, J H; Zhang, Z H
2009-01-01
High efficiency operation on continuous-wave (cw) 912 nm laser at room temperature in Nd:GdVO 4 crystal pumped by 808 nm diode-laser is reported in this letter. The maximum output power of 8.0 W was obtained at the incident un-polarized pump power of 47.0 W, giving the corresponding optical-to-optical conversion efficiency of 17.0% and the average slope efficiency of 22.9%. Further tests show that the lasing threshold is reduced and the efficiency is increased evidently when using the π-polarized 808 nm pump source. 4.8 W 912 nm laser was achieved at the polarized pump power of 21.8 W, optical-to-optical conversion efficiency is increased to 22.0% and average slope efficiency is up to 33.6%
Advanced 65 nm CMOS devices fabricated using ultra-low energy plasma doping
International Nuclear Information System (INIS)
Walther, S.; Lenoble, D.; Lallement, F.; Grouillet, A.; Erokhin, Y.; Singh, V.; Testoni, A.
2005-01-01
For leading edge CMOS and DRAM technologies, plasma doping (PLAD) offers several unique advantages over conventional beamline implantation. For ultra-low energy source and drain extensions (SDE), source drain contact and high dose poly doping implants PLAD delivers 2-5x higher throughput compared to beamline implanters. In this work we demonstrate process performance and process integration benefits enabled by plasma doping for advanced 65 nm CMOS devices. Specifically, p + /n ultra-shallow junctions formed with BF 3 plasma doping have superior X j /R s characteristics to beamline implants and yield up to 30% lower R s for 20 nm X j while using standard spike anneal with ramp-up rate of 75 deg. C/s. These results indicate that PLAD could extend applicability of standard spike anneal by at least one technology node past 65 nm. A CMOS split lot has been run to investigate process integration advantages unique to plasma doping and to determine CMOS device characteristics. Device data measured on 65 nm transistors fabricated with offset spacers indicate that devices with SDE formed by plasma doping have superior V t roll-off characteristics arguably due to improved lateral gate-overlap of PLAD SDE junctions. Furthermore, offset spacers could be eliminated in 65 nm devices with PLAD SDE implants while still achieving V t roll-off and I on -I off performance at least equivalent to control devices with offset spacers and SDE formed by beamline implantation. Thus, another advantage of PLAD is simplified 65 nm CMOS manufacturing process flow due to elimination of offset spacers. Finally, we present process transfer from beamline implants to PLAD for several applications, including SDE and gate poly doping with very high productivity
Efficient room temperature cw Yb:glass laser pumped by a 946nm Nd:YAG laser
Koch, R.; Clarkson, W.A.; Hanna, D.C.; Jiang, S.; Myers, M.J.; Rhonehouse, D.; Hamlin, S.J.; Griebner, U.; Schönnagel, H.
1997-01-01
By pumping with a cw diode-pumped Nd:YAG laser operating at 946nm laser operation of a new Yb-doped phosphate glass with 440mW cw output power and a slope efficiency of 48% with respect to the absorbed pump power was achieved at room temperature
EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs
Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish
2011-04-01
The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.
Lee, Sang Ju; Choi, Min Ju; Zheng, Zhenlong; Chung, Won Soon; Kim, Young Koo; Cho, Sung Bin
2013-06-01
Keratosis pilaris (KP) has beenpresented as small keratotic follicular papules with or without surrounding erythema. Various treatments with laser or light therapy have been used for the management of KP with various clinical outcomes. In the present study, we investigated the efficacy and safety of a combination therapy for KP. A total of 29 anatomical sites with KP in 26 patients were treated using a 595-nm pulsed dye laser (PDL) with nonpurpuragenic fluences, a long-pulsed 755-nm alexandrite laser, and microdermabrasion. Clinical improvement was assessed by comparing preand posttreatment clinical photographs and patient satisfaction rates. Evaluation of the clinical results three months after the treatments showed that 12 of the 29 anatomical sites (41.4%) demonstrated Grade 3 clinical improvement, ten (34.5%) had Grade 2 clinical improvement, four (13.8%) showed Grade 1 improvement, and three (10.3%) showed Grade 4 improvement. We observed that KP lesions improved not only in erythema and skin texture, but also in brownish dyschromias. Potential adverse events were not observed, except prolonged posttherapy scaling. Our observations demonstrate that combination therapy using a 595-nm PDL, a long-pulsed 755-nm alexandrite laser, and microdermabrasion can have a positive therapeutic effect on KP.
Tian, Brian Wei Cheng Anthony
2015-01-01
To demonstrate a combination laser therapy to treat Hori's nevus. A prospective study. A Singapore-based clinic. Five female patients, aged 30-46 years, with bilateral malar Hori's nevus. Photographs were taken before treatment and 1 month after laser treatment was completed. These were graded by three independent physicians. The patients were also asked to grade their treatment response subjectively. They were followed up for a total of 3 months after laser treatment to monitor recurrence. The fractional nonablative 2,940-nm Er:YAG laser with a fluence of 0.7 J/cm(2), spot size 12 mm, and frequency 15 Hz was used to perform a full-face single-pass treatment. Subsequently, a second pass and third pass over Hori's nevi were done bilaterally till the clinical endpoint of skin whitening. The 1,064-nm Q-switched (QS) Nd:YAG at a fluence of 2.0 J/cm(2), frequency 2 Hz, and 4-mm spot size was used to deliver multiple passes over Hori's nevus till erythema with mild petechiae appeared. We repeated the treatment once a week for 3 more consecutive weeks. All five patients had above 80% improvement in their pigmentation and two (skin type III) achieved complete 100% clearance. Based on the patients' subjective assessments, all five of them expressed satisfaction and felt that their pigmentation had improved. There were no complications noted. The fractional nonablative 2940 nm Er:YAG laser and Q-switched 1064nm laser Nd:YAG combination is an effective and safe treatment for Hori's nevus.
Jin, Hyeong Min; Park, Dae Yong; Jeong, Seong-Jun; Lee, Gil Yong; Kim, Ju Young; Mun, Jeong Ho; Cha, Seung Keun; Lim, Joonwon; Kim, Jun Soo; Kim, Kwang Ho; Lee, Keon Jae; Kim, Sang Ouk
2017-08-01
One of the fundamental challenges encountered in successful incorporation of directed self-assembly in sub-10 nm scale practical nanolithography is the process compatibility of block copolymers with a high Flory-Huggins interaction parameter (χ). Herein, reliable, fab-compatible, and ultrafast directed self-assembly of high-χ block copolymers is achieved with intense flash light. The instantaneous heating/quenching process over an extremely high temperature (over 600 °C) by flash light irradiation enables large grain growth of sub-10 nm scale self-assembled nanopatterns without thermal degradation or dewetting in a millisecond time scale. A rapid self-assembly mechanism for a highly ordered morphology is identified based on the kinetics and thermodynamics of the block copolymers with strong segregation. Furthermore, this novel self-assembly mechanism is combined with graphoepitaxy to demonstrate the feasibility of ultrafast directed self-assembly of sub-10 nm nanopatterns over a large area. A chemically modified graphene film is used as a flexible and conformal light-absorbing layer. Subsequently, transparent and mechanically flexible nanolithography with a millisecond photothermal process is achieved leading the way for roll-to-roll processability. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Spectral beam combining of a 980 nm tapered diode laser bar
DEFF Research Database (Denmark)
Vijayakumar, Deepak; Jensen, Ole Bjarlin; Ostendorf, Ralf
2010-01-01
We demonstrate spectral beam combining of a 980 nm tapered diode laser bar. The combined beam from 12 tapered emitters on the bar yielded an output power of 9.3 W at 30 A of operating current. An M2 value of 5.3 has been achieved along the slow axis. This value is close to that of a free running...... single tapered emitter on the bar at the same current level. The overall spectral beam combining efficiency was measured to be 63%....
Potential role of S100A8 in skin rejuvenation with the 1064-nm Q-switched Nd:YAG laser.
Qin, Yan; Qin, Xiaofeng; Xu, Peng; Zhi, Yuanting; Xia, Weili; Dang, Yongyan; Gu, Jun; Ye, Xiyun
2018-04-01
The 1064-nm Q-switched Nd:YAG laser is demonstrated to be effective for non-ablative skin rejuvenation, but the molecular mechanism by which dermis responses to laser-induced damage and initiates skin remodeling is still unclear. HaCaT cells and 3T3 skin fibroblasts were irradiated with the 1064-nm Q-switched Nd:YAG laser at the different doses. Then, cells were collected and lysed for PCR and Western blot analysis. Cell viability was detected by Cell Counting Kit-8 (CCK-8) before and after laser irradiation. The expressions of S100A8, advanced glycosylation end product-specific receptor (RAGE) and inflammatory cytokines in two cell lines were markedly upregulated after laser treatments. The PCR, Western blot, and ELISA analysis showed the significant increase of type I and III procollagen in the 3T3 cells treated with the 1064-nm laser. Interestingly, si S100A8 effectively inhibited the expression of cytokines and collagen, while S100A8 treatments significantly increased them. P-p38 and p-p65 levels were also elevated after the 1064-nm laser irradiation, which is positively related with S100A8. Cell viability and reactive oxygen species (ROS) levels were not changed, while the content of superoxidase dismutase (SOD) in two cells was increased after laser irradiation. Our results demonstrated that the overexpression of S100A8 induced by the 1064-nm laser irradiation triggered inflammatory reactions in skin cells. The inflammatory microenvironment and improvement of skin antioxidant capacity contribute to new collagen synthesis in the skin cells. Thus, S100A8 was required for laser-induced new collagen synthesis in skin cells. p38/MAPK and NF-κB signal pathways were involved in S100A8-mediated inflammatory reactions in response to laser irradiation.
Nantenna for Standard 1550 nm Optical Communication Systems
Directory of Open Access Journals (Sweden)
Waleed Tariq Sethi
2016-01-01
Full Text Available Nanoscale transmission and reception technologies will play a vital role and be part of the next generation communication networks. This applies for all application fields including imaging, health, biosensing, civilian, and military communications. The detection of light frequency using nanooptical antennas may possibly become a good competitor to the semiconductor based photodetector because of the simplicity of integration, cost, and inherent capability to detect the phase and amplitude instead of power only. In this paper, authors propose simulated design of a hexagonal dielectric loaded nantenna (HDLN and explore its potential benefits at the standard optical C-band (1550 nm. The proposed nantenna consists of “Ag-SiO2-Ag” structure, consisting of “Si” hexagonal dielectric with equal lengths fed by “Ag” nanostrip transmission line. The simulated nantenna achieves an impedance bandwidth of 3.7% (190.9 THz–198.1 THz and a directivity of 8.6 dBi, at a center frequency of 193.5 THz, covering most of the ITU-T standard optical transmission window (C-band. The hexagonal dielectric nantenna produces HE20δ modes and the wave propagation is found to be end-fire. The efficiency of the nantenna is proven via numerical expressions, thus making the proposed design viable for nanonetwork communications.
4 Gbps direct modulation of 450 nm GaN laser for high-speed visible light communication
Lee, Changmin; Zhang, Chong; Cantore, Michael; Farrell, Robert M.; Oh, Sang Ho; Margalith, Tal; Speck, James S.; Nakamura, Shuji; Bowers, John E.; DenBaars, Steven P.
2015-01-01
We demonstrate high-speed data transmission with a commercial high power GaN laser diode at 450 nm. 2.6 GHz bandwidth was achieved at an injection current of 500 mA using a high-speed visible light communication setup. Record high 4 Gbps free
Huijzer, J C; McFarland, M; Niles, R M; Meadows, G G
1996-03-01
The nm23 gene has been described as a potential metastasis suppressor gene in certain rodent and human tumors. We previously demonstrated that tyrosine and phenylalanine restriction suppresses metastatic heterogeneity of B16-BL6 murine melanoma and selects for tumor variants with decreased metastatic potential. In this study, we investigated nm23 expression in the highly metastatic B16-BL6 (ND) melanoma, its nutritionally derived poorly metastatic (LT) variant, and the syngeneic non-tumorigenic Mel-ab melanocytes. No differences in nm23 expression were observed between ND and LT cells, and nm23 expression varied between different isolates. Previously, we showed that metastatic potential of 1-ND cells decreases and is not altered in 1-LT cells after prolonged in vitro cell passage; however, nm23 expression is equivalently increased by 2-fold. In 2-ND and 2-LT cells, expression of nm23 is not different at higher in vitro cell passage. Expression of nm23 decreased about 2-fold when phorbol 12-myristate 13-acetate (PMA) was removed from Mel-ab cells, which induces these cells to become quiescent. Although membrane-associated protein kinase C (PKC) activity decreased after prolonged PMA treatment in all cells, neither nm23 expression nor proliferation of ND and LT cells was affected by PMA. These data indicate that nm23 expression is related to proliferative activity rather than to the suppression of metastatic potential.
Timing Constraints Based High Performance Des Design And Implementation On 28nm FPGA
DEFF Research Database (Denmark)
Thind, Vandana; Pandey, Sujeet; Hussain, Dil muhammed Akbar
2018-01-01
in this work, we are going to implement DES Algorithm on 28nm Artix-7 FPGA. To achieve high performance design goal, we are using minimum period, maximum frequency, minimum low pulse, minimum high pulse for different cases of worst case slack, maximum delay, setup time, hold time and data skew path....... The cases on which analysis is done are like worst case slack, best case achievable, timing error and timing score, which help in differentiating the amount of timing constraint at two different frequencies. We analyzed that in timing analysis there is maximum of 19.56% of variation in worst case slack, 0...
NM-Net Gigabit-based Implementation on Core Network Facilities and Performance Design Hierarchy
International Nuclear Information System (INIS)
Raja Murzaferi Raja Moktar; Mohd Fauzi Haris; Siti Nurbahyah Hamdan
2013-01-01
Nuclear Malaysia computing network or NM-net has been gradually developed since 1990s. Since then it has been the main backbone of inter networking on agency's IT infrastructure, serving users ranging from researchers to operational staffs. Main network operating center or NOC is situated in Block 15 and linkup via fiber or UTP cabling to adjacent main network blocks (18, 29, 11 and 44-Dengkil) and later to other blocks enabling network connections. In 2009 the main core network has been built up form several switches up link to form the main networking switch, while on the adjacent main block networks are mainly based on fast Ethernet technology . With current research and operational tasks highly dependent on IT infrastructure that is being enabled through NM-Net, the performance of the infrastructure are most critical. This paper will discuss NM-Net implementing gigabit-based networking system and performance network design hierarchy in order to achieve highest availability of inter networking services in the agency thus catalyzing Nuclear Malaysia future research initiative. (author)
Negative-tone imaging with EUV exposure toward 13nm hp
Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro
2016-03-01
Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is
Evidence for stepwise dissociation dynamics in acetone at 248 and 193 nm
North, Simon W.; Blank, David A.; Gezelter, J. Daniel; Longfellow, Cheryl A.; Lee, Yuan T.
1995-03-01
The technique of molecular beam photofragment translational spectroscopy has been used to study the dissociation of acetone following S1←S0 (248 nm) and S2←S0 (193 nm) excitation. Excitation at 248 nm resulted in the production of CH3 and CH3CO with 14.2±1.0 kcal/mole on average of the available energy appearing as translation of the photofragments. Comparison of the measured with values reported at 266 nm suggest that the energy partitioning is dominated by the exit barrier caused by an avoided crossing on the potential energy surface. A substantial fraction (30±4%) of the nascent acetyl radicals from the primary dissociation contain sufficient energy to undergo spontaneous secondary decomposition. From the onset of the truncation of the CH3CO P(ET) a threshold of 17.8±3.0 kcal/mole for the dissociation of the acetyl radical has been determined in agreement with recent results on the photodissociation of acetyl chloride. The translational energy release in the dissociation of CH3CO closely matches the experimentally determined exit barrier. At 193 nm the only observed dissociation pathway was the formation of two methyl radicals and carbon monoxide. On average ˜38% of the available energy is found in product translation suggesting that significant internal energy resides in the nascent CH3 fragments consistent with the results of Hall et al. [J. Chem. Phys. 94, 4182 (1991)]. We conclude that the dynamics and energy partitioning for dissociation at 193 nm is similar to that at 248 nm.
Serrels, K. A.; Ramsay, E.; Reid, D. T.
2009-02-01
We present experimental evidence for the resolution-enhancing effect of an annular pupil-plane aperture when performing nonlinear imaging in the vectorial-focusing regime through manipulation of the focal spot geometry. By acquiring two-photon optical beam-induced current images of a silicon integrated-circuit using solid-immersion-lens microscopy at 1550 nm we achieved 70 nm resolution. This result demonstrates a reduction in the minimum effective focal spot diameter of 36%. In addition, the annular-aperture-induced extension of the depth-of-focus causes an observable decrease in the depth contrast of the resulting image and we explain the origins of this using a simulation of the imaging process.
Zhang, Jian; Irannejad, Mehrdad; Yavuz, Mustafa; Cui, Bo
2015-05-01
Nanofabrication technology plays an important role in the performance of surface plasmonic devices such as extraordinary optical transmission (EOT) sensor. In this work, a double liftoff process was developed to fabricate a series of nanohole arrays of a hole diameter between 150 and 235 nm and a period of 500 nm in a 100-nm-thick gold film on a silica substrate. To improve the surface quality of the gold film, thermal annealing was conducted, by which an ultra-smooth gold film with root-mean-square (RMS) roughness of sub-1 nm was achieved, accompanied with a hole diameter shrinkage. The surface sensitivity of the nanohole arrays was measured using a monolayer of 16-mercaptohexadecanoic acid (16-MHA) molecule, and the surface sensitivity was increased by 2.5 to 3 times upon annealing the extraordinary optical transmission (EOT) sensor.
Tanghetti Md, Emil; Jennings, John
2018-01-01
This study was performed to better understand the cutaneous effects of using a fractional picosecond laser at 755 nm with a diffractive lens array and a picosecond Nd:YAG laser at 532 mn and 1064 nm with a holographic optic. We characterized the injuries created by these devices on skin clinically and histologically over 24 hours. With this information we modeled the effects of these devices on a cutaneous target. Eight patients, representing Fitzpatrick skin types I-VI, were treated on their backs with a picosecond Alexandrite laser with a diffractive lens array, as well as a picosecond Nd:YAG laser at 532 nm and 1064 nm with a holographic optic. Photographs were taken 15 minutes and 24 hours after treatments. Punch biopsies were obtained at 24 hours and examined histologically. Treatment with the picosecond Nd:YAG laser at both 532 nm and 1064 nm with the holographic optic revealed erythema and small scatted areas of petechial hemorrhage areas immediately and in many cases at 24 hours after treatment. The 755 nm picosecond Alexandrite laser with diffractive lens array produced erythema immediately after treatment, which largely dissipated 24 hours later. Histologies revealed intra-epidermal vacuoles with all three wavelengths. Fractional picosecond Nd:YAG laser at 532 nm and 1064 nm with the holographic optic showed focal areas of dermal and intra-epidermal hemorrhage with areas of vascular damage in some patients. This study demonstrates that both fractional picosecond devices produce vacuoles in the skin, which are most likely due to areas of laser induced optical breakdown (LIOB). In the patients (skin type II-IV) we observed scatter areas of hemorrhage in the skin, due to vascular damage with the 532 nm and 1064 nm, but not with 755 nm wavelengths. Lasers Surg. Med. 50:37-44, 2018. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.
Energy Technology Data Exchange (ETDEWEB)
Yuan, Sun Chien
2003-07-01
This study was to evaluate and compare the effects of the low intensity in laser radiation among GaAlAs 780 nm and GaAlAs 660 nm. The main proposal is to verify if there is any difference of the effects or results in low intensity laser application treatment of hypersensitive dentin, keeping the same parameters, only differing in wavelength. The samples were distributed in two groups. Group A 90 cases, treated with GaAlAs 780 nm and group B irradiated with GaAlAs 660 nm with a total of 76 cases analyzed. The results of application with GaAlAs 660 nm and GaAlAs 780 nm do not differ statistically. Which means using any one of the irradiation gives the same results. However can be noted that the response of reduction of hypersensitivity is faster with the radiation of GaAlAs 780 nm, but the results after three applications is the same for both types of radiation. (author)
Generation of 25-TW Femtosecond Laser Pulses at 515 nm with Extremely High Temporal Contrast
Directory of Open Access Journals (Sweden)
Marco Hornung
2015-12-01
Full Text Available We report on the frequency doubling of femtosecond laser pulses at 1030 nm center wavelength generated from the fully diode-pumped laser system POLARIS. The newly generated pulses at a center wavelength of 515 nm have a pulse energy of 3 J with a pulse duration of 120 fs. On the basis of initially ultra-high contrast seed pulses we expect a temporal intensity contrast better 10 17 200 ps before the peak of the main pulse. We analyzed the temporal intensity contrast from milliseconds to femtoseconds with a dynamic range covering more than 20 orders of magnitude. The pulses were focussed with a f/2-focussing parabola resulting in a peak intensity exceeding 10 20 W / cm 2 . The peak power and intensity are to the best of our knowledge the highest values for 515 nm-laser-pulses achieved so far.
INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source
Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.
2010-10-01
Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.
Directory of Open Access Journals (Sweden)
Georg Gratzer
2017-08-01
Full Text Available The world is facing numerous and severe environmental, social, and economic challenges. To address these, in September 2015 the General Assembly of the United Nations adopted the resolution Transforming our World: The 2030 Agenda for Sustainable Development. The United Nations' 17 sustainable development goals (SDGs and their 169 targets are ambitious, broadly encompassing, and indivisible. They are intended to guide nations and communities toward attaining healthy and peaceful livelihoods free of poverty and hunger. Collectively the goals envision sound and safe environments, where global threats like climate change are successfully combated through both mitigation and adaptation. Agenda 2030 envisages sustainable production patterns with inclusive, effective economies and institutions. It is of specific relevance to mountain communities, where the population is predominantly rural and half of the rural inhabitants experience food insecurity and are often highly dependent on forest resources. Mountain forests also contribute to human welfare well beyond the local community: through functions such as climate and hydrological services provided at regional and global scales, and harvested commodities traded at multiple economic scales. In this introductory essay we argue that sustainable forest management in mountain areas disproportionately contributes to achieving the SDGs. We discuss (1 the potential of mountain forests to help achieve SDGs in mountainous regions and beyond, (2 the potential of the SDGs to help solve severe socioeconomic and ecological problems in forested mountain areas, and (3 challenges and opportunities associated with implementing the SDGs. We base our argumentation also on the 8 papers presented in this Focus Issue of Mountain Research and Development. Together, they establish a clear connection between sustainable use and protection of mountain forests and vital ecosystem services upon which many regions depend. We
Wetter, Niklaus U.; Bereczki, Allan; Paes, João. Pedro Fonseca
2018-02-01
Nd:YLiF4 is the gain material of choice whenever outstanding beam quality or a birefringent gain material is necessary such as in certain applications for terahertz radiation or dual-frequency mode-locking. However, for high power CW applications the material is hampered by a low thermal fracture threshold. This problem can be mitigated by special 2D pump set-ups or by keeping the quantum defect to a minimum. Direct pumping into the upper laser level of Nd:YLiF4 is usually performed at 880 nm. For quasi-three level laser emission at 908 nm, direct pumping at this wavelength provides a high quantum defect of 0.97, which allows for very high CW pump powers. Although the direct pumping transition to the upper laser state at 872 nm has a slightly smaller quantum defect of 0.96, its pump absorption cross section along the c-axis is 50% higher than at 880 nm, leading to a higher absorption efficiency. In this work we explore, for the first time to our knowledge, 908 nm lasing under 872 nm diode pumping and compare the results with 880 nm pumping for quasicw and cw operation. By inserting a KGW crystal in the cavity, Raman lines at 990 nm and 972 nm were obtained for the first time from a directly pumped 908 nm Nd:YLF fundamental laser for both quasi-cw and cw conditions.
Li, Yunxiang; Ouyang, Shuxin; Xu, Hua; Wang, Xin; Bi, Yingpu; Zhang, Yuanfang; Ye, Jinhua
2016-10-03
Efficient generation of active oxygen-related radicals plays an essential role in boosting advanced oxidation process. To promote photocatalytic oxidation for gaseous pollutant over g-C 3 N 4 , a solid-gas interfacial Fenton reaction is coupled into alkalinized g-C 3 N 4 -based photocatalyst to effectively convert photocatalytic generation of H 2 O 2 into oxygen-related radicals. This system includes light energy as power, alkalinized g-C 3 N 4 -based photocatalyst as an in situ and robust H 2 O 2 generator, and surface-decorated Fe 3+ as a trigger of H 2 O 2 conversion, which attains highly efficient and universal activity for photodegradation of volatile organic compounds (VOCs). Taking the photooxidation of isopropanol as model reaction, this system achieves a photoactivity of 2-3 orders of magnitude higher than that of pristine g-C 3 N 4 , which corresponds to a high apparent quantum yield of 49% at around 420 nm. In-situ electron spin resonance (ESR) spectroscopy and sacrificial-reagent incorporated photocatalytic characterizations indicate that the notable photoactivity promotion could be ascribed to the collaboration between photocarriers (electrons and holes) and Fenton process to produce abundant and reactive oxygen-related radicals. The strategy of coupling solid-gas interfacial Fenton process into semiconductor-based photocatalysis provides a facile and promising solution to the remediation of air pollution via solar energy.
Sub-100 nm hard X-ray microbeam generation with Fresnel zone plate optics
Takano, H; Takeuchi, A
2003-01-01
A hard X-ray focusing test of a Fresnel zone plate has been performed with a synchrotron radiation source at the undulator beamline 20XU of SPring-8. Fresnel zone plate with a radius of 150 mu m, and an outermost zone width of 100 nm was used for the X-ray focusing device. The 248-m-long beamline provides fully coherent illumination for the focusing device. The focused beam evaluated by the knife-edge-scan method and scanning microscope test using test charts. Nearly diffraction- limited focusing with a size of 120 nm was achieved for the first-order diffraction at 10 keV X-ray. Evaluation for the third order diffraction was also performed at 8 keV X-ray, and a focal size of 50 m has been obtained. (author)
Frequency locking of compact laser-diode modules at 633 nm
Nölleke, Christian; Leisching, Patrick; Blume, Gunnar; Jedrzejczyk, Daniel; Pohl, Johannes; Feise, David; Sahm, Alexander; Paschke, Katrin
2018-02-01
This work reports on a compact diode-laser module emitting at 633 nm. The emission frequency can be tuned with temperature and current, while optical feedback of an internal DBR grating ensures single-mode operation. The laser diode is integrated into a micro-fabricated package, which includes optics for beam shaping, a miniaturized optical isolator, and a vapor cell as frequency reference. The achieved absolute frequency stability is below 10-8 , while the output power can be more than 10 mW. This compact absolute frequency-stabilized laser system can replace gas lasers and may be integrated in future quantum technology devices.
Advances on Polymer Optical Fiber Gratings Using a KrF Pulsed Laser System Operating at 248 nm
Directory of Open Access Journals (Sweden)
Carlos A. F. Marques
2018-03-01
Full Text Available This paper presents the achievements and progress made on the polymer optical fiber (POF gratings inscription in different types of Fiber Bragg Gratings (FBGs and long period gratings (LPGs. Since the first demonstration of POFBGs in 1999, significant progress has been made where the inscription times that were higher than 1 h have been reduced to 15 ns with the application of the krypton fluoride (KrF pulsed laser operating at 248 nm and thermal treatments such as the pre-annealing of fibers. In addition, the application of dopants such as benzyl dimethyl ketal (BDK has provided a significant decrease of the fiber inscription time. Furthermore, such improvements lead to the possibility of inscribing POF gratings in 850 nm and 600 nm, instead of only the 1550 nm region. The progress on the inscription of different types of polymer optical fiber Bragg gratings (POFBGs such as chirped POFBGs and phase-shifted POFBGs are also reported in this review.
Energy Technology Data Exchange (ETDEWEB)
Cahoon, Erica M.; Almirall, Jose R.
2010-05-01
Laser induced breakdown spectroscopy can be used for the chemical characterization of glass to provide evidence of an association between a fragment found at a crime scene to a source of glass of known origin. Two different laser irradiances, 266 nm and 1064 nm, were used to conduct qualitative and quantitative analysis of glass standards. Single-pulse and double-pulse configurations and lens-to-sample-distance settings were optimized to yield the best laser-glass coupling. Laser energy and acquisition timing delays were also optimized to result in the highest signal-to-noise ratio corresponding to the highest precision and accuracy. The crater morphology was examined and the mass removed was calculated for both the 266 nm and 1064 nm irradiations. The analytical figures of merit suggest that the 266 nm and 1064 nm wavelengths are capable of good performance for the forensic chemical characterization of glass. The results presented here suggest that the 266 nm laser produces a better laser-glass matrix coupling, resulting in a better stoichiometric representation of the glass sample. The 266 nm irradiance is therefore recommended for the forensic analysis and comparison of glass samples.
International Nuclear Information System (INIS)
Cahoon, Erica M.; Almirall, Jose R.
2010-01-01
Laser induced breakdown spectroscopy can be used for the chemical characterization of glass to provide evidence of an association between a fragment found at a crime scene to a source of glass of known origin. Two different laser irradiances, 266 nm and 1064 nm, were used to conduct qualitative and quantitative analysis of glass standards. Single-pulse and double-pulse configurations and lens-to-sample-distance settings were optimized to yield the best laser-glass coupling. Laser energy and acquisition timing delays were also optimized to result in the highest signal-to-noise ratio corresponding to the highest precision and accuracy. The crater morphology was examined and the mass removed was calculated for both the 266 nm and 1064 nm irradiations. The analytical figures of merit suggest that the 266 nm and 1064 nm wavelengths are capable of good performance for the forensic chemical characterization of glass. The results presented here suggest that the 266 nm laser produces a better laser-glass matrix coupling, resulting in a better stoichiometric representation of the glass sample. The 266 nm irradiance is therefore recommended for the forensic analysis and comparison of glass samples.
Dose and batch-dependent hepatobiliary toxicity of 10 nm silver nanoparticles
Directory of Open Access Journals (Sweden)
Marcella De Maglie
2015-07-01
Full Text Available Silver nanoparticles (AgNPs are widely used because of their antimicrobial properties in medical devices and in a variety of consumer products. The extensive use of AgNPs raises concerns about their potential toxicity, although it is still difficult to draw definite conclusions about their toxicity based on published data. Our preliminary studies performed to compare the effect of the AgNPs size (10-40-100 nm on toxicity, demonstrated that the smallest AgNPs determine the most severe toxicological effects. In order to best investigate the impact of physicochemical characteristics of 10 nm AgNPs on toxicity, we compare three different batches of 10 nm AgNPs slightly different in size distribution (Batch A: 8.8±1.7 nm; Batch B: 9.4±1.7 nm; Batch C: 10.0±1.8 nm. Mice were intravenously treated with two doses (5 and 10 mg/kg of the 3 AgNPs. 24 hours after the treatment, mice were euthanized and underwent complete necropsy. Tissues were collected for histopathological examination and total silver content was determined in tissues by inductively coupled plasma mass spectrometry (ICP-MS. All batches induced severe hepatobiliary lesions, i.e. marked hepatocellular necrosis and massive hemorrhage of the gall bladder. The toxicity was dose-dependent and interestingly, the toxic effects were more severe in mice treated with batches A and B that contained smaller AgNPs. Since the total silver mass concentration was similar, the observed batch-dependent toxicity suggest that even subtle differences in size may contribute to relevant changes in the toxicological outcomes, confirming the fundamental involvement of physicochemical features with respect to toxicity.
Broadhurst, Matthew S; Akst, Lee M; Burns, James A; Kobler, James B; Heaton, James T; Anderson, R Rox; Zeitels, Steven M
2007-02-01
Selective vascular ablation (photoangiolysis) using pulsed lasers that target hemoglobin is an effective treatment strategy for many vocal fold lesions. However, vessel rupture with extravasation of blood reduces selectivity for vessels, which is frequently observed with the 0.45-ms, 585-nm pulsed dye laser. Previous studies have shown that vessel rupture is the result of vaporization of blood, an event that varies with laser pulse width and pulse fluence (energy per unit area). Clinical observations using a 532-nm wavelength pulsed potassium-titanyl-phosphate (KTP) laser revealed less laser-induced hemorrhage than the pulsed dye laser. This study investigated settings for the pulsed KTP laser to achieve selective vessel destruction without rupture using the avian chorioallantoic membrane under conditions similar to flexible laryngoscopic delivery of the laser in clinical practice. The chick chorioallantoic membrane offers convenient access to many small blood vessels similar in size to those targeted in human vocal fold. Using a 532-nm pulsed KTP laser, pulse width, pulse energy, and working distance from the optical delivery fiber were varied to assess influence on the ability to achieve vessel coagulation without vessel wall rupture. Third-order vessels (n = 135) were irradiated: Energy (471-550 mJ), pulse width (10, 15, 30 ms), and fiber-to-tissue distance (1 mm, 3 mm) were varied systematically. Selective vessel destruction without vessel wall rupture was more often achieved by increasing pulse width, increasing the fiber-to-tissue distance, and decreasing energy. Vessel destruction without rupture was consistently achieved using 15- or 30-ms pulses with a fiber-to-tissue distance of 3 mm (pulse fluence of 13-16 J/cm). This study substantiates our clinical observation that a 532-nm pulsed KTP laser was effective for ablating microcirculation while minimizing vessel wall rupture and hemorrhage.
In vivo 808 nm image-guided photodynamic therapy based on an upconversion theranostic nanoplatform
Liu, Xiaomin; Que, Ivo; Kong, Xianggui; Zhang, Youlin; Tu, Langping; Chang, Yulei; Wang, Tong Tong; Chan, Alan; Löwik, Clemens W. G. M.; Zhang, Hong
2015-09-01
A new strategy for efficient in vivo image-guided photodynamic therapy (PDT) has been demonstrated utilizing a ligand-exchange constructed upconversion-C60 nanophotosensitizer. This theranostic platform is superior to the currently reported nanophotosensitizers in (i) directly bonding photosensitizer C60 to the surface of upconversion nanoparticles (UCNPs) by a smart ligand-exchange strategy, which greatly shortened the energy transfer distance and enhanced the 1O2 production, resulting in the improvement of the therapeutic effect; (ii) realizing in vivo NIR 808 nm image-guided PDT with both excitation (980 nm) and emission (808 nm) light falling in the biological window of tissues, which minimized auto-fluorescence, reduced light scatting and improved the imaging contrast and depth, and thus guaranteed noninvasive diagnostic accuracy. In vivo and ex vivo tests demonstrated its favorable bio-distribution, tumor-selectivity and high therapeutic efficacy. Owing to the effective ligand exchange strategy and the excellent intrinsic photophysical properties of C60, 1O2 production yield was improved, suggesting that a low 980 nm irradiation dosage (351 J cm-2) and a short treatment time (15 min) were sufficient to perform NIR (980 nm) to NIR (808 nm) image-guided PDT. Our work enriches the understanding of UCNP-based PDT nanophotosensitizers and highlights their potential use in future NIR image-guided noninvasive deep cancer therapy.A new strategy for efficient in vivo image-guided photodynamic therapy (PDT) has been demonstrated utilizing a ligand-exchange constructed upconversion-C60 nanophotosensitizer. This theranostic platform is superior to the currently reported nanophotosensitizers in (i) directly bonding photosensitizer C60 to the surface of upconversion nanoparticles (UCNPs) by a smart ligand-exchange strategy, which greatly shortened the energy transfer distance and enhanced the 1O2 production, resulting in the improvement of the therapeutic effect; (ii
High-brightness high-order harmonic generation at 13 nm with a long gas jet
International Nuclear Information System (INIS)
Kim, Hyung Taek; Kim, I Jong; Lee, Dong Gun; Park, Jong Ju; Hong, Kyung Han; Nam, Chang Hee
2002-01-01
The generation of high-order harmonics is well-known method producing coherent extreme-ultraviolet radiation with pulse duration in the femtosecond regime. High-order harmonics have attracted much attention due to their unique features such as coherence, ultrashort pulse duration, and table-top scale system. Due to these unique properties, high-order harmonics have many applications of atomic and molecular spectroscopy, plasma diagnostics and solid-state physics. Bright generation of high-order harmonics is important for actual applications. Especially, the generation of strong well-collimated harmonics at 13 nm can be useful for the metrology of EUV lithography optics because of the high reflectivity of Mo-Si mirrors at this wavelength. The generation of bright high-order harmonics is rather difficult in the wavelength region below 15nm. Though argon and xenon gases have large conversion efficiency, harmonic generation from these gases is restricted to wavelengths over 20 nm due to low ionization potential. Hence, we choose neon for the harmonic generation around 13 nm; it has larger conversion efficiency than helium and higher ionization potential than argon. In this experiment, we have observed enhanced harmonic generation efficiency and low beam divergence of high-order harmonics from a elongated neon gas jet by the enhancement of laser propagation in an elongated gas jet. A uniform plasma column was produced when the gas jet was exposed to converging laser pulses.
International Nuclear Information System (INIS)
Wyart, J.-F.; Ecole Polytech., Palaiseau; Fajardo, M.; Missalla, T.; Gauthier, J.-C.; Chenais-Popovics, C.; Klopfel, D.; Uschmann, I.; Foerster, E.
1999-01-01
The spectra of highly-charged ions produced by laser irradiation on flat targets at about 5 x 10 14 Wcm -2 are recorded in the range from 0.60 nm to 0.95 nm (6 A to 9.5 A) by means of two spectrographs (a flat ADP crystal and a Johann SiO 2 crystal spectrograph). The identification of the lines is supported by calculations of energies and transition probabilities in the relativistic parametric potential model. New identifications in several spectra of iron (Fe XXIII to Fe XXI), sodium-like strontium, Sr XXVIII, magnesium-like indium. In XXXVIII, and cobalt-like samarium, Sm XXXVI, are given. In the case of Fe XXIII, relativistic and non-relativistic (Cowan) ab initio calculations are compared. (orig.)
Characterization of LANDSAT Panels Using the NIST BRDF Scale from 1100 nm to 2500 nm
Markham, Brian; Tsai, Benjamin K.; Allen, David W.; Cooksey, Catherine; Yoon, Howard; Hanssen, Leonard; Zeng, Jinan; Fulton, Linda; Biggar, Stuart; Markham, Brian
2010-01-01
Many earth observing sensors depend on white diffuse reflectance standards to derive scales of radiance traceable to the St Despite the large number of Earth observing sensors that operate in the reflective solar region of the spectrum, there has been no direct method to provide NIST traceable BRDF measurements out to 2500 rim. Recent developments in detector technology have allowed the NIST reflectance measurement facility to expand the operating range to cover the 250 nm to 2500 nm range. The facility has been modified with and additional detector using a cooled extended range indium gallium arsenide (Extended InGaAs) detector. Measurements were made for two PTFE white diffuse reflectance standards over the 1100 nm to 2500 nm region at a 0' incident and 45' observation angle. These two panels will be used to support the OLI calibration activities. An independent means of verification was established using a NIST radiance transfer facility based on spectral irradiance, radiance standards and a diffuse reflectance plaque. An analysis on the results and associated uncertainties will be discussed.
Via patterning in the 7-nm node using immersion lithography and graphoepitaxy directed self-assembly
Doise, Jan; Bekaert, Joost; Chan, Boon Teik; Hori, Masafumi; Gronheid, Roel
2017-04-01
Insertion of a graphoepitaxy directed self-assembly process as a via patterning technology into integrated circuit fabrication is seriously considered for the 7-nm node and beyond. At these dimensions, a graphoepitaxy process using a cylindrical block copolymer that enables hole multiplication can alleviate costs by extending 193-nm immersion-based lithography and significantly reducing the number of masks that would be required per layer. To be considered for implementation, it needs to be proved that this approach can achieve the required pattern quality in terms of defects and variability using a representative, aperiodic design. The patterning of a via layer from an actual 7-nm node logic layout is demonstrated using immersion lithography and graphoepitaxy directed self-assembly in a fab-like environment. The performance of the process is characterized in detail on a full 300-mm wafer scale. The local variability in an edge placement error of the obtained patterns (4.0 nm 3σ for singlets) is in line with the recent results in the field and significantly less than of the prepattern (4.9 nm 3σ for singlets). In addition, it is expected that pattern quality can be further improved through an improved mask design and optical proximity correction. No major complications for insertion of the graphoepitaxy directed self-assembly into device manufacturing were observed.
International Nuclear Information System (INIS)
Sun, G C; Li, Y D; Zhao, M; Chen, X Y; Wang, J B; Chen, G B
2013-01-01
A diode-end-pumped Yb:YAG dual-wavelength continuous-wave (cw) laser that generates simultaneous laser action at wavelengths of 1024 and 1030 nm is demonstrated for the first time. A total output power of 897 mW for the dual-wavelength was achieved at an incident pump power of 17.8 W. Furthermore, intracavity sum-frequency mixing at 1024 and 1030 nm was then realized in an LBO crystal to reach the green range. We obtained a total cw output power of 85 mW at 513.5 nm. (paper)
Mutagenesis of mNeptune Red-Shifts Emission Spectrum to 681-685 nm.
Li, ZhaoYang; Zhang, ZhiPing; Bi, LiJun; Cui, ZongQiang; Deng, JiaoYu; Wang, DianBing; Zhang, Xian-En
2016-01-01
GFP-like fluorescent proteins with diverse emission wavelengths have been developed through mutagenesis, offering many possible choices in cellular and tissue imaging, such as multi-targets imaging, deep tissue imaging that require longer emission wavelength. Here, we utilized a combined approach of random mutation and structure-based rational design to develop new NIR fluorescent proteins on the basis of a far-red fluorescent protein, mNeptune (Ex/Em: 600/650 nm). We created a number of new monomeric NIR fluorescent proteins with the emission range of 681-685 nm, which exhibit the largest Stocks shifts (77-80 nm) compared to other fluorescent proteins. Among them, mNeptune681 and mNeptune684 exhibit more than 30 nm redshift in emission relative to mNeptune, owing to the major role of the extensive hydrogen-bond network around the chromophore and contributions of individual mutations to the observed redshift. Furthermore, the two variants still maintain monomeric state in solution, which is a trait crucial for their use as protein tags. In conclusion, our results suggest that there is untapped potential for developing fluorescent proteins with desired properties.
Directory of Open Access Journals (Sweden)
Brian Wei Cheng Anthony Tian
2015-01-01
Full Text Available Objective: To demonstrate a combination laser therapy to treat Hori′s nevus. Design: A prospective study. Setting: A Singapore-based clinic. Participants: Five female patients, aged 30-46 years, with bilateral malar Hori′s nevus. Measurements: Photographs were taken before treatment and 1 month after laser treatment was completed. These were graded by three independent physicians. The patients were also asked to grade their treatment response subjectively. They were followed up for a total of 3 months after laser treatment to monitor recurrence. Materials and Methods: The fractional nonablative 2,940-nm Er:YAG laser with a fluence of 0.7 J/cm 2, spot size 12 mm, and frequency 15 Hz was used to perform a full-face single-pass treatment. Subsequently, a second pass and third pass over Hori′s nevi were done bilaterally till the clinical endpoint of skin whitening. The 1,064-nm Q-switched (QS Nd:YAG at a fluence of 2.0 J/cm 2 , frequency 2 Hz, and 4-mm spot size was used to deliver multiple passes over Hori′s nevus till erythema with mild petechiae appeared. We repeated the treatment once a week for 3 more consecutive weeks. Results: All five patients had above 80% improvement in their pigmentation and two (skin type III achieved complete 100% clearance. Based on the patients′ subjective assessments, all five of them expressed satisfaction and felt that their pigmentation had improved. There were no complications noted. Conclusion: The fractional nonablative 2940 nm Er:YAG laser and Q-switched 1064nm laser Nd:YAG combination is an effective and safe treatment for Hori′s nevus.
High aspect ratio 10-nm-scale nanoaperture arrays with template-guided metal dewetting.
Wang, Ying Min; Lu, Liangxing; Srinivasan, Bharathi Madurai; Asbahi, Mohamed; Zhang, Yong Wei; Yang, Joel K W
2015-04-10
We introduce an approach to fabricate ordered arrays of 10-nm-scale silica-filled apertures in a metal film without etching or liftoff. Using low temperature (dewetting of metal films guided by nano-patterned templates, apertures with aspect ratios up to 5:1 are demonstrated. Apertures form spontaneously during the thermal process without need for further processing. Although the phenomenon of dewetting has been well studied, this is the first demonstration of its use in the fabrication of nanoapertures in a spatially controllable manner. In particular, the achievement of 10-nm length-scale patterning at high aspect ratio with thermal dewetting is unprecedented. By varying the nanotemplate design, we show its strong influence over the positions and sizes of the nanoapertures. In addition, we construct a three-dimensional phase field model of metal dewetting on nano-patterned substrates. The simulation data obtained closely corroborates our experimental results and reveals new insights to template dewetting at the nanoscale. Taken together, this fabrication method and simulation model form a complete toolbox for 10-nm-scale patterning using template-guided dewetting that could be extended to a wide range of material systems and geometries.
Magnetic Susceptibility of liquid Gd-NM (NM = Cu, Ga, Ge alloys
Directory of Open Access Journals (Sweden)
Shimakura Hironori
2017-01-01
Full Text Available For rare earth alloys, the indirect interaction of RKKY is at work between rare-earth atoms. Therefore, the magnetism of them depends on the number of conduction electrons and the distance between rare-earth metals. In this work, to reveal the relationship between the number of conduction electrons and magnetic property of rare earth metal alloys, magnetic susceptibility measurements for liquid Gd-NM (NM = Cu, Ga, Ge was performed by Faraday method. As the results, it was observed that the sign of paramagnetic Curie temperature of Cu-Gd alloys are positive at all composition, while Ga-Gd and Ge-Gd alloys show negative paramagnetic Curie temperature at certain composition. Moreover, it was indicated when the alloy at certain composition shows highest melting temperature, it has the lowest paramagnetic Curie temperature.
Digital Offset Calibration of an OPAMP Towards Improving Static Parameters of 90 nm CMOS DAC
Directory of Open Access Journals (Sweden)
D. Arbet
2014-09-01
Full Text Available In this paper, an on-chip self-calibrated 8-bit R-2R digital-to-analog converter (DAC based on digitally compensated input offset of the operational amplifier (OPAMP is presented. To improve the overall DAC performance, a digital offset cancellation method was used to compensate deviations in the input offset voltage of the OPAMP caused by process variations. The whole DAC as well as offset compensation circuitry were designed in a standard 90 nm CMOS process. The achieved results show that after the self-calibration process, the improvement of 48% in the value of DAC offset error is achieved.
Kuypers, Thorsten; Gutknecht, Norbert
2016-01-01
Objective. In endodontics, Nd:YAG laser (1064 nm) and diode laser (810 nm and 980 nm) devices are used to remove bacteria in infected teeth. A literature review was elaborated to compare and evaluate the advantages and disadvantages of using these lasers. Methods. Using combined search terms, eligible articles were retrieved from PubMed and printed journals. The initial search yielded 40 titles and 27 articles were assigned to full-text analysis. The studies were classified based upon laser source, laser energy level, duration/similarity of application, and initial and final bacterial count at a minimum of 20 prepared root canals. Part of the analysis was only reduced microorganisms and mechanically treated root canals upon preparation size of ISO 30. All studies were compared to evaluate the most favorable laser device for best results in endodontic therapy. Results. A total of 22 eligible studies were found regarding Nd:YAG laser 1064 nm. Four studies fulfilled all demanded criteria. Seven studies referring to the diode laser 980 nm were examined, although only one fulfilled all criteria. Eleven studies were found regarding the diode laser 810 nm, although only one study fulfilled all necessary criteria. Conclusions. Laser therapy is effective in endodontics, although a comparison of efficiency between the laser devices is not possible at present due to different study designs, materials, and equipment. PMID:27462611
Saydjari, Yves; Kuypers, Thorsten; Gutknecht, Norbert
2016-01-01
Objective. In endodontics, Nd:YAG laser (1064 nm) and diode laser (810 nm and 980 nm) devices are used to remove bacteria in infected teeth. A literature review was elaborated to compare and evaluate the advantages and disadvantages of using these lasers. Methods. Using combined search terms, eligible articles were retrieved from PubMed and printed journals. The initial search yielded 40 titles and 27 articles were assigned to full-text analysis. The studies were classified based upon laser source, laser energy level, duration/similarity of application, and initial and final bacterial count at a minimum of 20 prepared root canals. Part of the analysis was only reduced microorganisms and mechanically treated root canals upon preparation size of ISO 30. All studies were compared to evaluate the most favorable laser device for best results in endodontic therapy. Results. A total of 22 eligible studies were found regarding Nd:YAG laser 1064 nm. Four studies fulfilled all demanded criteria. Seven studies referring to the diode laser 980 nm were examined, although only one fulfilled all criteria. Eleven studies were found regarding the diode laser 810 nm, although only one study fulfilled all necessary criteria. Conclusions. Laser therapy is effective in endodontics, although a comparison of efficiency between the laser devices is not possible at present due to different study designs, materials, and equipment.
808-nm diode-pumped continuous-wave Tm:GdVO4 laser at room temperature
Urata, Yoshiharu; Wada, Satoshi
2005-05-01
A high-quality gadolinium vanadate (GdVO4) crystal with 7-at. % thulium as the starting material was grown by the Czochralski technique. The measured absorption spectra exhibited sufficient absorption coefficients for laser diodes (LDs) for neodymium laser pumping: 6.0 cm^-1 for pi polarization and 6.2 cm^-1 for sigma polarization at 808 nm. Laser oscillation was carried out with single-stripe 808-nm LDs in an end-pumping configuration. A slope efficiency of 28% and a threshold of 750 mW were exhibited with respect to the absorbed pump power. An output power of 420 mW was achieved at an absorbed power of 2.4 W. It was demonstrated that Tm:GdVO4 is a useful material for 2-μm lasers, particularly in a compact LD-pumped system.
532 nm continuous wave mode-locked Nd:GdVO4 laser with SESAM
International Nuclear Information System (INIS)
Li, L; Liu, J; Liu, M; Liu, S; Chen, F; Wang, W; Wang, Y
2009-01-01
We obtain continuous wave mode-locked Nd:GdVO 4 -KTP laser with a SESAM. This is the first report of CW mode-locked Nd:GdVO 4 -KTP laser with a SESAM to our knowledge. 396 mw CW mode-locked pulse is achieved at the incident power of 7.653 W, with the repetition about 95 MHz. The pulse duration is assumed to be 5.5 ps, this is the shortest green pulse of 532 nm with SESAM
Bessho, Kenichiro; Gomi, Fumi; Harino, Seiyo; Sawa, Miki; Sayanagi, Kaori; Tsujikawa, Motokazu; Tano, Yasuo
2009-06-01
Fundus autofluorescence (AF) derives from lipofuscin in the retinal pigment epithelium (RPE). Because lipofuscin is a by-product of phagocytosis of photoreceptors by RPE, AF imaging is expected to describe some functional aspect of the retina. In this study we report distribution of AF in patients showing macular edema. Three eyes with diabetic macular edema (DME) and 11 with retinal vein occlusion (RVO), associated with macular edema (ME) were examined. ME was determined by standard fundus examination, fluorescein angiography (FA) and optical coherence tomography (OCT). AF was recorded using a Heidelberg confocal scanning laser ophthalmoscope (cSLO) with 488 nm laser exciter (488 nm-AF), and a conventional Topcon fundus camera with halogen lamp exciter and 580 nm band-pass filter (580 nm-AF). Color fundus picture, FA image and these two AF images were analyzed by superimposing all images. All subjects presented cystoid macular edema (CME) with petaloid pattern hyperfluorescence in FA. In 488 nm-AF, all eyes (100%) showed macular autofluorescence of a similar shape to that of the CME in FA. In contrast, in 580 nm-AF only one eye (7%) presented this corresponding petaloid-shaped autofluorescence. In all cases, peripheral retinal edemas did not show autofluorescence corresponding to the leakage in FA. In eyes with CME, analogous hyperautofluorescence to the CME was always observed in 488 nm-AF, while it was rarely observed in 580 nm-AF. Moreover, this CME hyperautofluorescence was only seen in the macular area. We hypothesize that autofluorescence from CME may be considered as a "pseudo" or "relative" autofluorescence, due to macular stretching following CME that may result in lateral displacement of macular pigments (MPs) and subsequent reduction of MPs density, as MPs block 488 nm-AF more intensely than 580 nm-AF. Although this phenomenon may not directly indicate change of RPE function, it may be used as a method to assess or track CME non-invasively.
Dragoni, Daniele; Daff, Thomas D.; Csányi, Gábor; Marzari, Nicola
2018-01-01
We show that the Gaussian Approximation Potential (GAP) machine-learning framework can describe complex magnetic potential energy surfaces, taking ferromagnetic iron as a paradigmatic challenging case. The training database includes total energies, forces, and stresses obtained from density-functional theory in the generalized-gradient approximation, and comprises approximately 150,000 local atomic environments, ranging from pristine and defected bulk configurations to surfaces and generalized stacking faults with different crystallographic orientations. We find the structural, vibrational, and thermodynamic properties of the GAP model to be in excellent agreement with those obtained directly from first-principles electronic-structure calculations. There is good transferability to quantities, such as Peierls energy barriers, which are determined to a large extent by atomic configurations that were not part of the training set. We observe the benefit and the need of using highly converged electronic-structure calculations to sample a target potential energy surface. The end result is a systematically improvable potential that can achieve the same accuracy of density-functional theory calculations, but at a fraction of the computational cost.
Liu, Eric; Ko, Akiteru; O'Meara, David; Mohanty, Nihar; Franke, Elliott; Pillai, Karthik; Biolsi, Peter
2017-05-01
Dimension shrinkage has been a major driving force in the development of integrated circuit processing over a number of decades. The Self-Aligned Quadruple Patterning (SAQP) technique is widely adapted for sub-10nm node in order to achieve the desired feature dimensions. This technique provides theoretical feasibility of multiple pitch-halving from 193nm immersion lithography by using various pattern transferring steps. The major concept of this approach is to a create spacer defined self-aligned pattern by using single lithography print. By repeating the process steps, double, quadruple, or octuple are possible to be achieved theoretically. In these small architectures, line roughness control becomes extremely important since it may contribute to a significant portion of process and device performance variations. In addition, the complexity of SAQP in terms of processing flow makes the roughness improvement indirective and ineffective. It is necessary to discover a new approach in order to improve the roughness in the current SAQP technique. In this presentation, we demonstrate a novel method to improve line roughness performances on 30nm pitch SAQP flow. We discover that the line roughness performance is strongly related to stress management. By selecting different stress level of film to be deposited onto the substrate, we can manipulate the roughness performance in line and space patterns. In addition, the impact of curvature change by applied film stress to SAQP line roughness performance is also studied. No significant correlation is found between wafer curvature and line roughness performance. We will discuss in details the step-by-step physical performances for each processing step in terms of critical dimension (CD)/ critical dimension uniformity (CDU)/line width roughness (LWR)/line edge roughness (LER). Finally, we summarize the process needed to reach the full wafer performance targets of LWR/LER in 1.07nm/1.13nm on 30nm pitch line and space pattern.
Schmidt, Stephen G
2016-07-01
Although the process of goal setting in rehabilitation of individuals with persistent pain is considered a fundamental and requisite skill, it is frequently reported as a challenging element of clinical practice. Factors which may contribute to the complexity of goal setting include the potential for unrecognized shifts in cognitive function, psychological comorbidities, and the social context of both providers and patients. This review aims to describe factors which may confound the process of setting and achieving collaborative rehabilitation goals using a biopsychosocial framework and to provide recommendations to enhance goal setting effectiveness.
Monolithic PM Raman fiber laser at 1679 nm for Raman amplification at 1810 nm
DEFF Research Database (Denmark)
Svane, Ask Sebastian; Rottwitt, Karsten
2013-01-01
Stimulated Raman scattering (SRS) has been subject to much attention within the field of fiber lasers and amplifiers as it provides an extended wavelength coverage in comparison to rare-earth based devices. Motivated by the projected capacity crunch [1], different approaches are being explored...... demonstrate a monolithic RM Raman fiber laser (RFL), which acts as a pump for a Raman amplifier (RA) at 1810 nm. The lasing wavelength of a RFL, thus also for a RA, can in principle be designed arbitrarily within the entire wavelength range from the Erbium band up to the Thulium/Holmium band...... of OFS PM Raman fiber, with an estimated propagation loss of 0.42/0.46/1.3 dB/km at 1564/1679/1810 nm. The Raman gain coefficient was measured to be gR=2.66/2.35 W-1km-1 at 1679/1810 nm. The laser curve of the RFL is depicted in Fig. 1b, with a slope efficiency of 67 %. The high slope efficiency...
Zhu, Pengfei; Zhang, Chaomin; Zhu, Kun; Ping, Yunxia; Song, Pei; Sun, Xiaohui; Wang, Fuxin; Yao, Yi
2018-03-01
We demonstrate an efficient and compact ultraviolet laser at 303 nm generated by intracavity frequency doubling of a continuous wave (CW) laser diode-pumped Pr3+:YLiF4 laser at 607 nm. A cesium lithium borate (CLBO) crystal, cut for critical type I phase matching at room temperature, is used for second-harmonic generation (SHG) of the fundamental laser. By using an InGaN laser diode array emitting at 444.3 nm with a maximum incident power of 10 W, as high as 68 mW of CW output power at 303 nm is achieved. The output power stability in 4 h is better than 2.85%. To the best of our knowledge, this is high efficient UV laser generated by frequency doubling of an InGaN laser diode array pumped Pr3+:YLiF4 laser.
Next generation 9xx/10xx nm high power laser diode bars for multi-kilowatt industrial applications
Commin, Paul; Todt, René; Krejci, Martin; Bättig, Rainer; Brunner, Reinhard; Lichtenstein, Norbert
2013-02-01
We report on the development of high power, 9xx-10xx nm laser diode bars for use in direct diode systems and for solidstate and fibre laser pumping with applications in industrial markets. For 1 cm wide bars on micro channel cooler (MCC) we have achieved a reliable output power of 250 W across the 900 nm - 1060 nm range. At this output power level we have achieved power conversion efficiencies of 65-66 % and 90 % power content slow axis beam divergence of ~6.5°. Results of a 6400 h life test show an average power degradation of 0.6 % per 1000 h at this operating power level. We will also show results of high power bars assembled on the new OCLARO conductive cooler, the BLM. This new cooler has a small footprint of 12.6 mm × 24.8 mm and is designed for lateral or vertical stacking of diodes in multi kilowatt systems but with the benefits associated with a conductive cooler. The thermal properties are shown to be the same as for a standard CS mount. 1 cm wide high fill factor bars and 0.5 cm wide low fill factor half bars assembled on the BLM operate at 63-64 % power conversion efficiency (PCE) with output powers of up to 250 W and 150 W, respectively.
Line width measurement below 60 nm using an optical interferometer and artificial neural network
See, Chung W.; Smith, Richard J.; Somekh, Michael G.; Yacoot, Andrew
2007-03-01
We have recently described a technique for optical line-width measurements. The system currently is capable of measuring line-width down to 60 nm with a precision of 2 nm, and potentially should be able to measure down to 10nm. The system consists of an ultra-stable interferometer and artificial neural networks (ANNs). The former is used to generate optical profiles which are input to the ANNs. The outputs of the ANNs are the desired sample parameters. Different types of samples have been tested with equally impressive results. In this paper we will discuss the factors that are essential to extend the application of the technique. Two of the factors are signal conditioning and sample classification. Methods, including principal component analysis, that are capable of performing these tasks will be considered.
Low-cost 420nm blue laser diode for tissue cutting and hemostasis
Linden, Kurt J.
2016-03-01
This paper describes the use of a 420 nm blue laser diode for possible surgery and hemostasis. The optical absorption of blood-containing tissue is strongly determined by the absorption characteristics of blood. Blood is primarily comprised of plasma (yellowish extracellular fluid that is approximately 95% water by volume) and formed elements: red blood cells (RBCs), white blood cells (WBCs) and platelets. The RBCs (hemoglobin) are the most numerous, and due to the spectral absorption characteristics of hemoglobin, the optical absorption of blood has a strong relative maximum value in the 420 nm blue region of the optical spectrum. Small, low-cost laser diodes emitting at 420 nm with tens of watts of continuous wave (CW) optical power are becoming commercially available. Experiments on the use of such laser diodes for tissue cutting with simultaneous hemostasis were carried out and are here described. It was found that 1 mm deep x 1 mm wide cuts can be achieved in red meat at a focused laser power level of 3 W moving at a velocity of ~ 1 mm/s. The peripheral necrosis and thermal damage zone extended over a width of approximately 0.5 mm adjacent to the cuts. Preliminary hemostasis experiments were carried out with fresh equine blood in Tygon tubing, where it was demonstrated that cauterization can occur in regions of intentional partial tubing puncture.
Ultralow-Power Electronic Trapping of Nanoparticles with Sub-10 nm Gold Nanogap Electrodes.
Barik, Avijit; Chen, Xiaoshu; Oh, Sang-Hyun
2016-10-12
We demonstrate nanogap electrodes for rapid, parallel, and ultralow-power trapping of nanoparticles. Our device pushes the limit of dielectrophoresis by shrinking the separation between gold electrodes to sub-10 nm, thereby creating strong trapping forces at biases as low as the 100 mV ranges. Using high-throughput atomic layer lithography, we manufacture sub-10 nm gaps between 0.8 mm long gold electrodes and pattern them into individually addressable parallel electronic traps. Unlike pointlike junctions made by electron-beam lithography or larger micron-gap electrodes that are used for conventional dielectrophoresis, our sub-10 nm gold nanogap electrodes provide strong trapping forces over a mm-scale trapping zone. Importantly, our technology solves the key challenges associated with traditional dielectrophoresis experiments, such as high voltages that cause heat generation, bubble formation, and unwanted electrochemical reactions. The strongly enhanced fields around the nanogap induce particle-transport speed exceeding 10 μm/s and enable the trapping of 30 nm polystyrene nanoparticles using an ultralow bias of 200 mV. We also demonstrate rapid electronic trapping of quantum dots and nanodiamond particles on arrays of parallel traps. Our sub-10 nm gold nanogap electrodes can be combined with plasmonic sensors or nanophotonic circuitry, and their low-power electronic operation can potentially enable high-density integration on a chip as well as portable biosensing.
Science learning based on local potential: Overview of the nature of science (NoS) achieved
Wilujeng, Insih; Zuhdan Kun, P.; Suryadarma, IGP.
2017-08-01
The research concerned here examined the effectiveness of science learning conducted with local potential as basis from the point of a review of the NoS (nature of science) achieved. It used the non equivalent control group design and took place in the regions of Magelang and Pati, Province of Central Java, and the regions of Bantul and Sleman, Province of the Special Region of Yogyakarta. The research population consisted of students of the first and second grades at each junior high school chosen with research subjects sampled by means of cluster sampling. The instruments used included: a) an observation sheet, b) a written test, and c) a questionnaire. The learning and research instruments had been declared valid and reliable according to previous developmental research. In conclusion, the science learning based on local potential was effective in terms of all the NoS aspects.
Capillary Condensation in 8 nm Deep Channels.
Zhong, Junjie; Riordon, Jason; Zandavi, Seyed Hadi; Xu, Yi; Persad, Aaron H; Mostowfi, Farshid; Sinton, David
2018-02-01
Condensation on the nanoscale is essential to understand many natural and synthetic systems relevant to water, air, and energy. Despite its importance, the underlying physics of condensation initiation and propagation remain largely unknown at sub-10 nm, mainly due to the challenges of controlling and probing such small systems. Here we study the condensation of n-propane down to 8 nm confinement in a nanofluidic system, distinct from previous studies at ∼100 nm. The condensation initiates significantly earlier in the 8 nm channels, and it initiates from the entrance, in contrast to channels just 10 times larger. The condensate propagation is observed to be governed by two liquid-vapor interfaces with an interplay between film and bridging effects. We model the experimental results using classical theories and find good agreement, demonstrating that this 8 nm nonpolar fluid system can be treated as a continuum from a thermodynamic perspective, despite having only 10-20 molecular layers.
Flat super-oscillatory lens for heat-assisted magnetic recording with sub-50 nm resolution.
Yuan, Guanghui; Rogers, Edward T F; Roy, Tapashree; Shen, Zexiang; Zheludev, Nikolay I
2014-03-24
Heat-assisted magnetic recording (HAMR) is a future roadmap technology to overcome the superparamagnetic limit in high density magnetic recording. Existing HAMR schemes depend on a simultaneous magnetic stimulation and light-induced local heating of the information carrier. To achieve high-density recorded data, near-field plasmonic transducers have been proposed as light concentrators. Here we suggest and investigate in detail an alternative approach exploiting a far-field focusing device that can focus light into sub-50 nm hot-spots in the magnetic recording layer using a laser source operating at 473 nm. It is based on a recently introduced super-oscillatory flat lens improved with the use of solid immersion, giving an effective numerical aperture as high as 4.17. The proposed solution is robust and easy to integrate with the magnetic recording head thus offering a competitive advantage over plasmonic technology.
Yin, Jian; Han, Zhengfeng; Guo, Baofeng; Guo, Han; Zhang, Tongtong; Zeng, Yanjun; Ren, Longxi
2015-07-01
To compare the ablation ability of nucleus pulposus after 1,064 nm Nd:YAG laser and 980 nm diode laser radiation. Goat spine specimen (GSS) was radiated using Nd:YAG laser and 980 nm diode laser and then divided into five groups based on the final energy--200, 400, 600, 800 and 1,000 J groups. The ablation quality of nucleus pulposus after radiation was recorded. The ablation quality of GSS was greater at higher radiation energies in both lasers. When compared at the same energy level, the ablation quality of GSS was greater in 980 nm diode laser than in 1,064 nm Nd:YAG laser. Statistical significance was observed in 200 and 400 J groups (P diode laser showed better ablation ability than 1,064 nm Nd:YAG laser.
PILOT STUDY: Report on the CCPR Pilot Comparison: Spectral Responsivity 10 nm to 20 nm
Scholze, Frank; Vest, Robert; Saito, Terubumi
2010-01-01
The CCPR Pilot Comparison on spectral responsivity in the 10 nm to 20 nm spectral range was carried out within the framework of the CIPM Mutual Recognition Arrangement by three laboratories: PTB (Germany), NIST (USA), and NMIJ/AIST (Japan) with PTB acting as the central and reporting laboratory. All participating laboratories used monochromatized synchrotron radiation. PTB and NIST used a cryogenic radiometer as the primary standard detector and NMIJ, an ionization chamber with extrapolation by a wavelength-independent detector. The aim of the pilot comparison was to check the accuracy of the radiometric scale of spectral responsivity in the short wavelength EUV spectral range which has recently gained in technological importance. The wavelengths of measurement were from 11.5 nm to 20 nm in 0.5 nm steps and additionally 12.2 nm. The comparison was carried out through the calibration of a group of transfer standard detectors. Two sets of three diodes of types AXUV and SXUV from International Radiation Detectors, Inc. were used for the comparison. The comparison had the form of a star comparison: Pilot-lab A-pilot-lab B-pilot, PTB acting as the pilot laboratory. All results were communicated directly to the pilot laboratory. The report describes in detail the measurements made at PTB and summarizes the reports submitted by the participants. Measurements carried out by the pilot laboratory before and after the circulation of the detectors proved that the stability of the detectors was sufficient for the comparison. For the type AXUV detectors, however, changes in their responsivity contributed to the uncertainty of the comparison. Measurement results from participants and their associated uncertainties were analyzed in this report according to the Guidelines for CCPR Comparison Report Preparation. The uncertainty contributions were separated, as to whether they are wavelength dependent or not. All bilateral DoE are well within the respective k = 2 expanded uncertainty
Hou, Z. Y.; Wang, L. R.; Xia, M. J.; Yan, D. X.; Zhang, Q. L.; Zhang, L.; Liu, L. J.; Xu, D. G.; Zhang, D. X.; Wang, X. Y.; Li, R. K.; Chen, C. T.
2018-06-01
We demonstrate a high efficiency and high power picosecond ultraviolet source at 355 nm with stable output by sum frequency generation from a Nd:YAG laser using a type-I critically phase matched K3B6O10 Br crystal as nonlinear optical material. Conversion efficiency as high as 30.8% was achieved using a 25 ps laser at 1064 nm operated at 10 Hz. Similar work is done by using a 35 W 10 ps laser at 1064 nm as the pump source with a repetition rate of 80 MHz, and the highest average output power obtained was up to 5.3 W. In addition, the power stability of the 355 nm output power measurement shows that the standard deviation fluctuations of the average power are ±0.69% and ±0.91% at 3.0 W and 3.5 W, respectively.
5.5nm wavelength-tunable high-power MOPA diode laser system at 971 nm
Tawfieq, Mahmoud; Müller, André; Fricke, Jörg; Della Casa, Pietro; Ressel, Peter; Ginolas, Arnim; Feise, David; Sumpf, Bernd; Tränkle, Günther
2018-02-01
In this work, a widely tunable hybrid master oscillator power amplifier (MOPA) diode laser with 6.2 W of output power at 971.8 nm will be presented. The MO is a DBR laser, with a micro heater embedded on top of the DBR grating for wavelength tunability. The emitted light of the MO is collimated and coupled into a tapered amplifier using micro cylindrical lenses, all constructed on a compact 25 mm × 25 mm conduction cooled laser package. The MOPA system emits light with a measured spectral width smaller than 17 pm, limited by the spectrometer, and with a beam propagation factor of M2 1/e2 = 1.3 in the slow axis. The emission is thus nearly diffraction limited with 79% of the total power within the central lobe (4.9 W diffraction limited). The electrically controlled micro-heater provides up to 5.5 nm of wavelength tunability, up to a wavelength of 977.3 nm, while maintaining an output power variation of only +/- 0.16 % for the entire tuning range.
Stuart, Kendra
2013-01-01
Classroom disruptions present an obstacle to raising student achievement for teachers and school administrators. This study was designed to investigate potential relationships between weather, specifically barometric pressure, or the lunar cycle, and whether either had a direct correlation with student discipline referrals. The intent was to…
Spectroscopic properties and laser performance at 1,066 nm of a new laser crystal Nd:GdTaO4
Peng, Fang; Yang, Huajun; Zhang, Qingli; Luo, Jianqiao; Liu, Wenpeng; Sun, Dunlu; Dou, Renqin; Sun, Guihua
2015-03-01
A new laser medium Nd3+:GdTaO4 single crystal with high optical quality was grown successfully by the Czochralski method, and its high-efficiency laser operation at 1,066 nm was demonstrated for the first time. The absorption cross section of the crystal at 808 nm is 5.098 × 10-20 cm2, and the full width at half maximum of this absorption band is about 6 nm. Spectral properties are investigated by Judd-Ofelt theory. The stimulated emission cross section at 1,066 nm is 3.9 × 10-19 cm2, and the fluorescence lifetime of 4F3/2 level is 178.4 μs. A diode end-pumped Nd:GdTaO4 laser at 1,066 nm with the maximum output power of 2.5 W is achieved in the continuous-wave mode. The optical-to-optical conversion efficiency and slope efficiency are 34.6 and 36 %, respectively. In addition, the fluorescence branching ratio of 4F3/2 → 4I9/2 transition reaches 44.4 %, indicating that Nd:GdTaO4 may be an efficient laser medium at 920 nm. All the results demonstrate that Nd:GdTaO4 crystal is a good candidate for laser diode-pumped laser material.
Laser hypersensitisation using 266nm light
DEFF Research Database (Denmark)
Sørensen, Henrik Rokkjær; Canning, J.; Kristensen, Martin
2005-01-01
UV hypersensitisation using CW 266 nm light on hydrogenated Ge-doped fibre is reported. The optimum sensitisation fluence is found to be in the range of 5 to 10 kJ/cm2, coinciding with previous results obtained using 355 nm light, indicating the same end-process used in the photochemical reaction...
[Clinic significance of nm23, collage IV and PCNA expression in non-small cell lung cancer].
Yu, Q; Ma, L; Jing, S; Xu, Y; Geng, D
2001-12-20
To study the significance of nm23, collagen IV and PCNA expressions in non-small cell lung cancer. Expressions of the nm23, collagen IV and PCNA in 84 cases of non-small cell lung cancer were examined with SP immunohistochemical technique. Of the 84 cases, there were squamous cell carcinoma 42, adenocarcinoma 42, stage I 27, stage II 24, stage III 24, and stage IV 9. Statistical analysis was performed with Chi-Square test. Expressions of the nm23, collagen IV and PCNA in 84 cases of non-small cell lung cancer were 60. 7% ( 51/ 84) , 75. 0% ( 63/ 84) and 53. 6% ( 45/ 84) respectively. There was negative correlation between the lymph node metastasis and the expressions of nm23 and collagen IV in squamous cell carcinoma, and the expressions of collagen IV and PCNA were associated with tumor differentiation. No correlation was found between TNM stage and expressions of nm23, collagen IV and PCNA. The results indicate that nm23, collagen IV and PCNA participate the modulation of metastasis of non-small cell lung cancer and that they may be used to evaluate the potential of metastasis.
Paasch, Uwe; Wagner, Justinus A; Paasch, Hartmut W
2015-01-01
Alexandrite (755 nm) and diode lasers (800-810 nm) are commonly used for hair removal. The alexandrite laser technology is somewhat cumbersome whereas new diode lasers are more robust. Recently, alexandrite-like 755 nm wavelength diodes became available. To compare the efficacy, tolerability, and subject satisfaction of a 755 nm diode laser operated in conventional (HR) and non-conventional in-motion (SHR) modes with a conventional scanned alexandrite 755 nm laser for chest and axillary hair removal. A prospective, single-center, proof of principle study was designed to evaluate the safety, efficacy and handling of a 755 nm diode laser system in comparison to a standard alexandrite 755 nm scanning hair removal laser. The new 755 nm diode is suitable to be used in SHR and HR mode and has been tested for its safety, efficacy and handling in a volunteer with success. Overall, both systems showed a high efficacy in hair reduction (88.8% 755 nm diode laser vs. 77.7% 755 nm alexandrite laser). Also, during the study period, no severe adverse effects were reported. The new 755 nm diode laser is as effective and safe as the traditional 755 nm alexandrite laser. Additionally, treatment with the 755 nm diode laser with HR and SHR modes was found to be less painful.
Enhanced escape rate for Hg 254 nm resonance radiation in fluorescent lamps
International Nuclear Information System (INIS)
Lawler, James E; Raizen, Mark G
2013-01-01
The potential of the low-cost MAGIS isotopic separation method to improve fluorescent lamp efficacy is explored using resonance radiation transport simulations. New Hg isotopic mixes are discovered that yield escape rates for 254 nm Hg I resonance radiation equal to 117% to 122% of the rate for a natural isotopic mix under the same lamp conditions. (paper)
Low pressure broadening and shift of the 540.06 nm line of neon
International Nuclear Information System (INIS)
Bielski, A.; Dokurno, W.; Szudy, J.; Wolnikowski, J.
1980-01-01
The collision broadening and shift of the 540.06 nm line of neon emitted from a low pressure glow discharge in pure neon and a neon-helium mixture have been measured. The values of the broadening and shift coefficients were determined and compared with the results of calculations based on the Lindholm-Foley impact theory assuming a Lennard-Jones potential. The results for pure neon demonstrate the inadequacy of the Lennard-Jones potential for the Ne-Ne interaction. (orig.)
CSIR Research Space (South Africa)
Sivakumar, V
2010-09-01
Full Text Available In this paper, the authors describe the developmentof 2-Channel (532 nm and 355 nm) mobile LIDAR system for studying atmospheric particulate matter. The system is currently tested in house at the Council for Scientific and Industrial Research...
240 nm UV LEDs for LISA test mass charge control
Olatunde, Taiwo; Shelley, Ryan; Chilton, Andrew; Serra, Paul; Ciani, Giacomo; Mueller, Guido; Conklin, John
2015-05-01
Test Masses inside the LISA Gravitational Reference Sensor must maintain almost pure geodesic motion for gravitational waves to be successfully detected. LISA requires residual test mass accelerations below 3 fm/s2/√Hz at all frequencies between 0.1 and 3 mHz. One of the well-known noise sources is associated with the charges on the test masses which couple to stray electrical potentials and external electromagnetic fields. LISA Pathfinder will use Hg-discharge lamps emitting mostly around 254 nm to discharge the test masses via photoemission in its 2015/16 flight. A future LISA mission launched around 2030 will likely replace the lamps with newer UV-LEDs. Presented here is a preliminary study of the effectiveness of charge control using latest generation UV-LEDs which produce light at 240 nm with energy above the work function of pure Au. Their lower mass, better power efficiency and small size make them an ideal replacement for Hg lamps.
240 nm UV LEDs for LISA test mass charge control
International Nuclear Information System (INIS)
Olatunde, Taiwo; Shelley, Ryan; Chilton, Andrew; Serra, Paul; Ciani, Giacomo; Mueller, Guido; Conklin, John
2015-01-01
Test Masses inside the LISA Gravitational Reference Sensor must maintain almost pure geodesic motion for gravitational waves to be successfully detected. LISA requires residual test mass accelerations below 3 fm/s 2 /√Hz at all frequencies between 0.1 and 3 mHz. One of the well-known noise sources is associated with the charges on the test masses which couple to stray electrical potentials and external electromagnetic fields. LISA Pathfinder will use Hg-discharge lamps emitting mostly around 254 nm to discharge the test masses via photoemission in its 2015/16 flight. A future LISA mission launched around 2030 will likely replace the lamps with newer UV-LEDs. Presented here is a preliminary study of the effectiveness of charge control using latest generation UV-LEDs which produce light at 240 nm with energy above the work function of pure Au. Their lower mass, better power efficiency and small size make them an ideal replacement for Hg lamps. (paper)
Smetanin, S. N.; Jelínek, M.; Kubeček, V.
2017-07-01
Stimulated-Raman-scattering in crystals can be used for the single-pass frequency-conversion to the Stokes-shifted wavelengths. The anti-Stokes shift can also be achieved but the phase-matching condition has to be fulfilled because of the parametric four-wave mixing process. To widen the angular-tolerance of four-wave mixing and to obtain high-conversion-efficiency into the anti-Stokes, we developed a new scheme of the parametric Raman anti-Stokes laser at 503 nm with phase-matched collinear beam interaction of orthogonally-polarized Raman components in calcite oriented at the phase-matched angle under 532 nm 20 ps laser excitation. The excitation laser beam was split into two orthogonally-polarized components entering the calcite at the certain incidence angles to fulfill the nearly collinear phase-matching and also to compensate walk-off of extraordinary waves for collinear beam interaction. The phase matching of parametric Raman interaction is tangential and insensitive to the angular mismatch if the Poynting vectors of the biharmonic pump and parametrically generated (anti-Stokes) waves are collinear. For the first time it allows to achieve experimentally the highest conversion efficiency into the anti-Stokes wave (503 nm) up to 30% from the probe wave and up to 3.5% from both pump and probe waves in the single-pass picosecond parametric calcite Raman laser. The highest anti-Stokes pulse energy was 1.4 μJ.
Vlahovich, Nicole; Kee, Anthony J.; Van der Poel, Chris; Kettle, Emma; Hernandez-Deviez, Delia; Lucas, Christine; Lynch, Gordon S.; Parton, Robert G.; Gunning, Peter W.
2009-01-01
The functional diversity of the actin microfilaments relies in part on the actin binding protein tropomyosin (Tm). The muscle-specific Tms regulate actin-myosin interactions and hence contraction. However, there is less known about the roles of the numerous cytoskeletal isoforms. We have shown previously that a cytoskeletal Tm, Tm5NM1, defines a Z-line adjacent cytoskeleton in skeletal muscle. Recently, we identified a second cytoskeletal Tm in this region, Tm4. Here we show that Tm4 and Tm5NM1 define separate actin filaments; the former associated with the terminal sarcoplasmic reticulum (SR) and other tubulovesicular structures. In skeletal muscles of Tm5NM1 knockout (KO) mice, Tm4 localization was unchanged, demonstrating the specificity of the membrane association. Tm5NM1 KO muscles exhibit potentiation of T-system depolarization and decreased force rundown with repeated T-tubule depolarizations consistent with altered T-tubule function. These results indicate that a Tm5NM1-defined actin cytoskeleton is required for the normal excitation–contraction coupling in skeletal muscle. PMID:19005216
Vlahovich, Nicole; Kee, Anthony J; Van der Poel, Chris; Kettle, Emma; Hernandez-Deviez, Delia; Lucas, Christine; Lynch, Gordon S; Parton, Robert G; Gunning, Peter W; Hardeman, Edna C
2009-01-01
The functional diversity of the actin microfilaments relies in part on the actin binding protein tropomyosin (Tm). The muscle-specific Tms regulate actin-myosin interactions and hence contraction. However, there is less known about the roles of the numerous cytoskeletal isoforms. We have shown previously that a cytoskeletal Tm, Tm5NM1, defines a Z-line adjacent cytoskeleton in skeletal muscle. Recently, we identified a second cytoskeletal Tm in this region, Tm4. Here we show that Tm4 and Tm5NM1 define separate actin filaments; the former associated with the terminal sarcoplasmic reticulum (SR) and other tubulovesicular structures. In skeletal muscles of Tm5NM1 knockout (KO) mice, Tm4 localization was unchanged, demonstrating the specificity of the membrane association. Tm5NM1 KO muscles exhibit potentiation of T-system depolarization and decreased force rundown with repeated T-tubule depolarizations consistent with altered T-tubule function. These results indicate that a Tm5NM1-defined actin cytoskeleton is required for the normal excitation-contraction coupling in skeletal muscle.
EUV patterning using CAR or MOX photoresist at low dose exposure for sub 36nm pitch
Thibaut, Sophie; Raley, Angélique; Lazarrino, Frederic; Mao, Ming; De Simone, Danilo; Piumi, Daniele; Barla, Kathy; Ko, Akiteru; Metz, Andrew; Kumar, Kaushik; Biolsi, Peter
2018-04-01
The semiconductor industry has been pushing the limits of scalability by combining 193nm immersion lithography with multi-patterning techniques for several years. Those integrations have been declined in a wide variety of options to lower their cost but retain their inherent variability and process complexity. EUV lithography offers a much desired path that allows for direct print of line and space at 36nm pitch and below and effectively addresses issues like cycle time, intra-level overlay and mask count costs associated with multi-patterning. However it also brings its own sets of challenges. One of the major barrier to high volume manufacturing implementation has been hitting the 250W power exposure required for adequate throughput [1]. Enabling patterning using a lower dose resist could help move us closer to the HVM throughput targets assuming required performance for roughness and pattern transfer can be met. As plasma etching is known to reduce line edge roughness on 193nm lithography printed features [2], we investigate in this paper the level of roughness that can be achieved on EUV photoresist exposed at a lower dose through etch process optimization into a typical back end of line film stack. We will study 16nm lines printed at 32 and 34nm pitch. MOX and CAR photoresist performance will be compared. We will review step by step etch chemistry development to reach adequate selectivity and roughness reduction to successfully pattern the target layer.
Energy Technology Data Exchange (ETDEWEB)
Sobajima, Yasushi; Nishino, Mitsutoshi; Fukumori, Taiga; Kurihara, Masanori; Higuchi, Takuya; Nakano, Shinya; Toyama, Toshihiko; Okamoto, Hiroaki [Department of Systems Innovation, Graduate School of Engineering Science, Osaka University, Toyonaka, Machikaneyama-cho 1-3, Osaka 560-8531 (Japan)
2009-06-15
Microcrystalline silicon ({mu}c-Si) films deposited at high growth rates up to 8.1 nm/s prepared by very-high-frequency-plasma-enhanced chemical vapor deposition (VHF-PECVD) at 18-24 Torr have been investigated. The relation between the deposition rates and input power revealed the depletion of silane. Under high-pressure deposition (HPD) conditions, the structural properties were improved. Furthermore, applying {mu}c-Si to n-i-p solar cells, short-circuit current density (J{sub SC}) was increased in accordance with the improvement of microstructure of i-layer. As a result, a conversion efficiency of 6.30% has been achieved employing the i-layer deposited at 8.1 nm/s under the HPD conditions. (author)
Jiang, Jing; Jacobs, Alan G; Wenning, Brandon; Liedel, Clemens; Thompson, Michael O; Ober, Christopher K
2017-09-20
Laser spike annealing was applied to PS-b-PDMS diblock copolymers to induce short-time (millisecond time scale), high-temperature (300 to 700 °C) microphase segregation and directed self-assembly of sub-10 nm features. Conditions were identified that enabled uniform microphase separation in the time frame of tens of milliseconds. Microphase ordering improved with increased temperature and annealing time, whereas phase separation contrast was lost for very short annealing times at high temperature. PMMA brush underlayers aided ordering under otherwise identical laser annealing conditions. Good long-range order for sub-10 nm cylinder morphology was achieved using graphoepitaxy coupled with a 20 ms dwell laser spike anneal above 440 °C.
International Nuclear Information System (INIS)
Yuan, Sun Chien
2003-01-01
This study was to evaluate and compare the effects of the low intensity in laser radiation among GaAlAs 780 nm and GaAlAs 660 nm. The main proposal is to verify if there is any difference of the effects or results in low intensity laser application treatment of hypersensitive dentin, keeping the same parameters, only differing in wavelength. The samples were distributed in two groups. Group A 90 cases, treated with GaAlAs 780 nm and group B irradiated with GaAlAs 660 nm with a total of 76 cases analyzed. The results of application with GaAlAs 660 nm and GaAlAs 780 nm do not differ statistically. Which means using any one of the irradiation gives the same results. However can be noted that the response of reduction of hypersensitivity is faster with the radiation of GaAlAs 780 nm, but the results after three applications is the same for both types of radiation. (author)
International Nuclear Information System (INIS)
Shao, Y; Zhang, D; Liu, H P; Jin, H J; Li, Y L; Tao, Z H; Ruan, Q R; Zhang, T Y
2011-01-01
We report what is believed to be the first demonstration of diode-pumped continuous-wave (CW) thin-disk Yb 3+ -doped Gd 2 SiO 5 (Yb:GSO) laser at 1048 nm. With a 3.8% output coupler, the maximum output power is 1.38 W under a pump power of 17.8 W. Moreover, intracavity second-harmonic generation (SHG) has also been achieved with a power of 337 mW at 524 nm by using a LiB 3 O 5 (LBO) nonlinear crystal. At the output power level of 337 mW, the green power stability is better than 5% and the ellipticity of spot is 0.97
Cultured Human Fibroblast Biostimulation Using a 940 nm Diode Laser
Illescas-Montes, Rebeca; Melguizo-Rodríguez, Lucía; Manzano-Moreno, Francisco Javier; García-Martínez, Olga; Ruiz, Concepción
2017-01-01
Background: Fibroblasts are the main cells involved in regeneration during wound healing. The objective was to determine the effect of 940 nm diode laser on cultured human fibroblasts using different irradiation regimens. Methods: The CCD-1064Sk human epithelial fibroblast cell line was treated with a 940 nm diode laser at different energy doses (power: 0.2–1 W and energy density: 1–7 J/cm2) using different transmission modes (continuous or pulsed). The effect on cell growth at 24 and 72 h post-treatment was examined by measuring the proliferative capacity, the impact on the cell cycle, and the effect on cell differentiation. Results: fibroblast proliferative capacity was increased at 24 and 72 h post-treatment as a function of the energy dose. The greatest increase was observed with a power of 0.2 or 0.5 W and energy density between 1 and 4 J/cm2; no difference was observed between continuous and pulsed modes. There were no significant differences in cell cycle between treated groups and controls. α-actin expression was increased by treatment, indicating enhanced cell differentiation. Conclusion: The 940 nm diode laser has biostimulating effects on fibroblasts, stimulating proliferative capacity and cell differentiation without altering the cell cycle. Further researches are necessary to explore its potential clinical usefulness in wound healing. PMID:28773152
Cultured Human Fibroblast Biostimulation Using a 940 nm Diode Laser
Directory of Open Access Journals (Sweden)
Rebeca Illescas-Montes
2017-07-01
Full Text Available Background: Fibroblasts are the main cells involved in regeneration during wound healing. The objective was to determine the effect of 940 nm diode laser on cultured human fibroblasts using different irradiation regimens. Methods: The CCD-1064Sk human epithelial fibroblast cell line was treated with a 940 nm diode laser at different energy doses (power: 0.2–1 W and energy density: 1–7 J/cm2 using different transmission modes (continuous or pulsed. The effect on cell growth at 24 and 72 h post-treatment was examined by measuring the proliferative capacity, the impact on the cell cycle, and the effect on cell differentiation. Results: fibroblast proliferative capacity was increased at 24 and 72 h post-treatment as a function of the energy dose. The greatest increase was observed with a power of 0.2 or 0.5 W and energy density between 1 and 4 J/cm2; no difference was observed between continuous and pulsed modes. There were no significant differences in cell cycle between treated groups and controls. α-actin expression was increased by treatment, indicating enhanced cell differentiation. Conclusion: The 940 nm diode laser has biostimulating effects on fibroblasts, stimulating proliferative capacity and cell differentiation without altering the cell cycle. Further researches are necessary to explore its potential clinical usefulness in wound healing.
Single-treatment skin tightening by radiofrequency and long-pulsed, 1064-nm Nd: YAG laser compared.
Key, Douglas J
2007-02-01
To compare single-treatment facial skin tightening achieved with the current radiofrequency (RF) protocol with single-treatment tightening achieved with the long-pulsed, 1064-nm Nd:YAG laser. A total of 12 patients were treated with RF energy on one side of the face and laser energy on the other. Results were evaluated on a numerical scale (0-12 with 12 = greatest enhancement) from pre- and posttreatment photographs by a blinded panel. Upper face improvement (posttreatment score minus pretreatment score) was essentially the same on both sides (30.2 and 31.3% improvement for laser and RF, respectively, P=0.89). Lower face improvement was greater in the laser-treated side (35.7 and 23.8% improvement for laser and RF, respectively), but the difference was not significant (P=0.074). Overall face improvement was significantly greater on the laser-treated side (47.5 and 29.8% improvement for laser and RF, respectively, P=0.028). A single high-fluence treatment with the long-pulse 1064-nm Nd:YAG laser may improve skin laxity more than a single treatment with the RF device. Further controlled split-face or very large non-self controlled studies are needed to conclusively determine the relative efficacies of the two technologies. (c) 2007 Wiley-Liss, Inc.
Energy Technology Data Exchange (ETDEWEB)
Kuang, Ping; Lin, Shawn-Yu, E-mail: sylin@rpi.edu [The Future Chips Constellation and the Department of Physics, Applied Physics, and Astronomy, Rensselaer Polytechnic Institute, 110 8th Street, Troy, New York 12180 (United States); Hsieh, Mei-Li [Department of Photonics, National Chia-Tung University, Hsinchu, Taiwan (China)
2015-06-07
In this paper, we proposed and realized 3D photonic nanostructures consisting of ultra-thin graded index antireflective coatings (ARCs) and woodpile photonic crystals. The use of the integrated ARC and photonic crystal structure can achieve broadband, broad-angle near unity solar absorption. The amorphous silicon based photonic nanostructure experimentally shows an average absorption of ∼95% for λ = 400–620 nm over a wide angular acceptance of θ = 0°–60°. Theoretical studies show that a Gallium Arsenide (GaAs) based structure can achieve an average absorption of >95% for λ = 400–870 nm. Furthermore, the use of the slanted SiO{sub 2} nanorod ARC surface layer by glancing angle deposition exhibits Cassie-Baxter state wetting, and superhydrophobic surface is obtained with highest water contact angle θ{sub CB} ∼ 153°. These properties are fundamentally important for achieving maximum solar absorption and surface self-cleaning in thin film solar cell applications.
Wang, Baoju; Zhan, Qiuqiang; Zhao, Yuxiang; Wu, Ruitao; Liu, Jing; He, Sailing
2016-01-25
Further development of multiphoton microscopic imaging is confronted with a number of limitations, including high-cost, high complexity and relatively low spatial resolution due to the long excitation wavelength. To overcome these problems, for the first time, we propose visible-to-visible four-photon ultrahigh resolution microscopic imaging by using a common cost-effective 730-nm laser diode to excite the prepared Nd(3+)-sensitized upconversion nanoparticles (Nd(3+)-UCNPs). An ordinary multiphoton scanning microscope system was built using a visible CW diode laser and the lateral imaging resolution as high as 161-nm was achieved via the four-photon upconversion process. The demonstrated large saturation excitation power for Nd(3+)-UCNPs would be more practical and facilitate the four-photon imaging in the application. A sample with fine structure was imaged to demonstrate the advantages of visible-to-visible four-photon ultrahigh resolution microscopic imaging with 730-nm diode laser excited nanocrystals. Combining the uniqueness of UCNPs, the proposed visible-to-visible four-photon imaging would be highly promising and attractive in the field of multiphoton imaging.
Rejuvenation of the male scalp using 1,927 nm non-ablative fractional thulium fiber laser.
Boen, Monica; Wilson, Monique J Vanaman; Goldman, Mitchel P; Wu, Douglas C
2017-07-01
The male scalp undergoes extensive photodamage due to a high prevalence of androgenic alopecia and exposure to ultraviolet radiation. This photodamage presents as solar lentigines, fine rhytides, and keratosis, and can prematurely age a patient. In this study, we demonstrate the safety and efficacy of the fractionated 1,927 nm thulium fiber laser using high density and high energy settings to achieve rejuvenation of the male scalp after a single treatment session. Four male patients with Fitzpatrick skin types II-III and extensive photodamage on the scalp underwent one treatment with the fractional non-ablative 1,927 nm thulium fiber laser. The patients had a 60-90% improvement in dyspigmentation, lentigines, and keratosis. No adverse events were observed and the patients tolerated the procedure well. This case series is the first report in the literature demonstrating the successful rejuvenation of the scalp using the 1,927 nm thulium fiber laser. Lasers Surg. Med. 49:475-479, 2017. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.
Alster, Tina S; Tanzi, Elizabeth L
2009-06-01
Although pulsed dye laser (PDL) treatment of port-wine stain (PWS) has long been proven safe and effective, incomplete clearance of these vascular malformations can be problematic. In addition, advanced PWS with deeper coloration and tissue hypertrophy can be particularly difficult to treat because of the superficial dermal penetration of 585- to 595-nm light. The purpose of this study was to evaluate the safety and efficacy of a novel device that delivers sequential pulses of 595- and 1,064-nm wavelengths in the treatment of recalcitrant and hypertrophic PWS. Twenty-five children and adults (skin phototypes I-III) with recalcitrant or hypertrophic PWS showing incomplete clearance after 10 prior PDL treatments were included in the study. Successive treatments using a 595-nm PDL and a 1,064-nm neodymium-doped yttrium-aluminum-garnet (Nd:YAG) laser were delivered at 6- to 8-week intervals. Two masked assessors determined clinical improvement of treatment areas using independent evaluation of comparative photographs at baseline and 3 months after treatment using a standard quartile grading scale. The use of dual 595-/1,064-nm wavelengths provided continued improvement of PWS that were previously recalcitrant to ongoing PDL therapy. Side effects were limited to transient erythema, edema, and mild purpura. Rare vesicle formation was observed, with no subsequent scarring or undesirable pigmentary changes. The novel dual 595-nm PDL and 1,064-nm Nd:YAG laser is an effective treatment for PWS that are recalcitrant to PDL therapy alone.
Sub-25-nm laboratory x-ray microscopy using a compound Fresnel zone plate.
von Hofsten, Olov; Bertilson, Michael; Reinspach, Julia; Holmberg, Anders; Hertz, Hans M; Vogt, Ulrich
2009-09-01
Improving the resolution in x-ray microscopes is of high priority to enable future applications in nanoscience. However, high-resolution zone-plate optics often have low efficiency, which makes implementation in laboratory microscopes difficult. We present a laboratory x-ray microscope based on a compound zone plate. The compound zone plate utilizes multiple diffraction orders to achieve high resolution while maintaining reasonable efficiency. We analyze the illumination conditions necessary for this type of optics in order to suppress stray light and demonstrate microscopic imaging resolving 25 nm features.
Radiation Failures in Intel 14nm Microprocessors
Bossev, Dobrin P.; Duncan, Adam R.; Gadlage, Matthew J.; Roach, Austin H.; Kay, Matthew J.; Szabo, Carl; Berger, Tammy J.; York, Darin A.; Williams, Aaron; LaBel, K.;
2016-01-01
In this study the 14 nm Intel Broadwell 5th generation core series 5005U-i3 and 5200U-i5 was mounted on Dell Inspiron laptops, MSI Cubi and Gigabyte Brix barebones and tested with Windows 8 and CentOS7 at idle. Heavy-ion-induced hard- and catastrophic failures do not appear to be related to the Intel 14nm Tri-Gate FinFET process. They originate from a small (9 m 140 m) area on the 32nm planar PCH die (not the CPU) as initially speculated. The hard failures seem to be due to a SEE but the exact physical mechanism has yet to be identified. Some possibilities include latch-ups, charge ion trapping or implantation, ion channels, or a combination of those (in biased conditions). The mechanism of the catastrophic failures seems related to the presence of electric power (1.05V core voltage). The 1064 nm laser mimics ionization radiation and induces soft- and hard failures as a direct result of electron-hole pair production, not heat. The 14nm FinFET processes continue to look promising for space radiation environments.
High bit rate germanium single photon detectors for 1310nm
Seamons, J. A.; Carroll, M. S.
2008-04-01
operated at relatively short GM hold-off times to examine whether there are potential advantages to using Ge for 1310 nm single photon detection. A weaker after-pulsing dependence on frequency is observed offering initial indications of the potential that Ge APDs might provide better high frequency performance.
Ocular effects of ultraviolet radiation from 295 to 365 nm
International Nuclear Information System (INIS)
Pitts, D.G.; Cullen, A.P.; Hacker, P.D.
1977-01-01
A 5,000 watt Xe--Hg source and a double monochromator were used to produce 6.6 nm full band-pass ultraviolet (UV) radiation. Pigmented rabbit eyes were exposed to the 6.6 nm band-pass UV radiant energy in 5 nm steps from 295 to 320 nm and at random intervals above 320 nm. Corneal and lenticular damage was assessed and classified with a biomicroscope. Corneal threshold radiant exposure (Hc) rose very rapidly from 0.022 Jcm -2 at 300 nm to 10.99 Jcm -2 at 335 nm. Radiant exposures exceeding 2 x Hc resulted in irreversible corneal damage. Lenticular damage was limited to wavebands above 295 nm. The action spectrum for the lens began at 295 nm and extended to about 315 nm. Permanent lenticular damage occurred at radiant exposure levels approximately twice the threshold for lenticular radiant exposure. The importance in establishing both corneal and lenticular damage criteria is emphasized
Directory of Open Access Journals (Sweden)
Michael Fries
2017-07-01
Full Text Available A company’s ability to find the most profitable technology is based on a precise forecast of achievement potential. Technology Management (TM uses forecasting models to analyse future potentials, e.g. the Gartner Hype Cycle, Arthur D. Little’s technology lifecycle or McKinsey’s S-curve model. All these methods are useful for qualitative analysis in the planning of strategic research and development (R&D expenses. In a new approach, exponential and logistic growth functions are used to identify and quantify characteristic stages of technology development. Innovations from electrical, mechanical and computer engineering are observed and projected until the year 2025. Datasets from different industry sectors are analysed, as the number of active Facebook users worldwide, the tensile yield point of flat bar steel, the number of transistors per unit area on integrated circuits, the fuel efficiency per dimension of passenger cars, and the energy density of Lithium-Ion cells. Results show the period of performance doubling and the forecast for the end of the technological achievement potential. The methodology can help to answer key entrepreneurial questions such as the search for alternatives to applied technologies, as well as identifying the risk of substitution technology.
InGaAs/InAlAs single photon avalanche diode for 1550 nm photons.
Meng, Xiao; Xie, Shiyu; Zhou, Xinxin; Calandri, Niccolò; Sanzaro, Mirko; Tosi, Alberto; Tan, Chee Hing; Ng, Jo Shien
2016-03-01
A single photon avalanche diode (SPAD) with an InGaAs absorption region, and an InAlAs avalanche region was designed and demonstrated to detect 1550 nm wavelength photons. The characterization included leakage current, dark count rate and single photon detection efficiency as functions of temperature from 210 to 294 K. The SPAD exhibited good temperature stability, with breakdown voltage dependence of approximately 45 mV K(-1). Operating at 210 K and in a gated mode, the SPAD achieved a photon detection probability of 26% at 1550 nm with a dark count rate of 1 × 10(8) Hz. The time response of the SPAD showed decreasing timing jitter (full width at half maximum) with increasing overbias voltage, with 70 ps being the smallest timing jitter measured.
Energy Technology Data Exchange (ETDEWEB)
Popovici, M., E-mail: Mihaela.Ioana.Popovici@imec.be; Swerts, J.; Redolfi, A.; Kaczer, B.; Aoulaiche, M.; Radu, I.; Clima, S.; Everaert, J.-L.; Van Elshocht, S.; Jurczak, M. [Imec, Leuven 3001 (Belgium)
2014-02-24
Improved metal-insulator-metal capacitor (MIMCAP) stacks with strontium titanate (STO) as dielectric sandwiched between Ru as top and bottom electrode are shown. The Ru/STO/Ru stack demonstrates clearly its potential to reach sub-20 nm technology nodes for dynamic random access memory. Downscaling of the equivalent oxide thickness, leakage current density (J{sub g}) of the MIMCAPs, and physical thickness of the STO have been realized by control of the Sr/Ti ratio and grain size using a heterogeneous TiO{sub 2}/STO based nanolaminate stack deposition and a two-step crystallization anneal. Replacement of TiN with Ru as both top and bottom electrodes reduces the amount of electrically active defects and is essential to achieve a low leakage current in the MIM capacitor.
Cho, Sung Bin; Noh, Seongmin; Lee, Sang Ju; Kang, Jin Moon; Kim, Young Koo; Lee, Ju Hee
2010-07-01
Currently, there is no gold standard for the treatment of enlarged facial pores. In this report, we describe a patient with enlarged nasal pores which were treated with a combination of a non-ablative 1450-nm diode laser, a Q-switched and quasi long-pulsed 1064-nm Nd:YAG laser, and an ablative 10 600-nm carbon dioxide fractional laser system. Four months after the final treatment, the condition of the patient's pores had markedly improved, and the patient was satisfied with the results.
Evaluation of skin melanoma in spectral range 450-950 nm using principal component analysis
Jakovels, D.; Lihacova, I.; Kuzmina, I.; Spigulis, J.
2013-06-01
Diagnostic potential of principal component analysis (PCA) of multi-spectral imaging data in the wavelength range 450- 950 nm for distant skin melanoma recognition is discussed. Processing of the measured clinical data by means of PCA resulted in clear separation between malignant melanomas and pigmented nevi.
130-nm tunable grating-mirror VCSEL
DEFF Research Database (Denmark)
Chung, Il-Sug; Mørk, Jesper
2014-01-01
configuration instead of the extended cavity configuration can bring 130-nm tuning range around 1330-nm wavelength. The air-coupled cavity is known to reduce the quantum confinement factor in VCSELs, increasing threshold. In our air-coupled cavity HCG VCSEL case, the very short power penetration length...... in the HCG minimizes this reduction of the quantum confinement factor, not as significant as in the air-coupled cavity DBR VCSEL....
International Nuclear Information System (INIS)
Stenin, V.Ya.; Stepanov, P.V.
2015-01-01
A hardened DICE cell layout design is based on the two spaced transistor clusters of the DICE cell each consisting of four transistors. The larger the distance between these two CMOS transistor clusters, the more robust the hardened DICE SRAM to Single Event Upsets. Some versions of the 28-nm and 65-nm DICE CMOS SRAM block composition have been suggested with minimum cluster distances of 2.27-2.32 mkm. The area of hardened 28-nm DICE CMOS cells is larger than the area of 28-nm 6T CMOS cells by a factor of 2.1 [ru
On-chip measurements of Brownian relaxation of magnetic beads with diameters from 10 nm to 250 nm
DEFF Research Database (Denmark)
Østerberg, Frederik Westergaard; Rizzi, Giovanni; Hansen, Mikkel Fougt
2013-01-01
We demonstrate the use of planar Hall effect magnetoresistive sensors for AC susceptibility measurements of magnetic beads with frequencies ranging from DC to 1 MHz. This wide frequency range allows for measuring Brownian relaxation of magnetic beads with diameters ranging from 10 nm to 250 nm....... Brownian relaxation is measured for six different magnetic bead types and their hydrodynamic diameters are determined. The hydrodynamic diameters are found to be within 40% of the nominal bead diameters. We discuss the applicability of the different bead types for volume-based biosensing with respect...... to sedimentation, magnetic trapping, and signal per bead. Among the investigated beads, we conclude that the beads with a nominal diameter of 80 nm are best suited for future on-chip volume-based biosensing experiments using planar Hall effect sensors....
Cheng, Jing; Lawson, Richard A.; Yeh, Wei-Ming; Jarnagin, Nathan D.; Peters, Andrew; Tolbert, Laren M.; Henderson, Clifford L.
2012-03-01
Directed self-assembly (DSA) of block copolymers is a promising technology for extending the patterning capability of current lithographic exposure tools. For example, production of sub-40 nm pitch features using 193nm exposure technologies is conceivably possible using DSA methods without relying on time consuming, challenging, and expensive multiple patterning schemes. Significant recent work has focused on demonstration of the ability to produce large areas of regular grating structures with low numbers of defects using self-assembly of poly(styrene)-b-poly(methyl methacrylate) copolymers (PS-b-PMMA). While these recent results are promising and have shown the ability to print pitches approaching 20 nm using DSA, the ability to advance to even smaller pitches will be dependent upon the ability to develop new block copolymers with higher χ values and the associated alignment and block removal processes required to achieve successful DSA with these new materials. This paper reports on work focused on identifying higher χ block copolymers and their associated DSA processes for sub-20 nm pitch patterning. In this work, DSA using polystyrene-b-polyacid materials has been explored. Specifically, it is shown that poly(styrene)-b-poly(acrylic acid) copolymers (PS-b-PAA) is one promising material for achieving substantially smaller pitch patterns than those possible with PS-b-PMMA while still utilizing simple hydrocarbon polymers. In fact, it is anticipated that much of the learning that has been done with the PS-b-PMMA system, such as development of highly selective plasma etch block removal procedures, can be directly leveraged or transferred to the PS-b-PAA system. Acetone vapor annealing of PS-b-PAA (Mw=16,000 g/mol with 50:50 mole ratio of PS:PAA) and its self-assembly into a lamellar morphology is demonstrated to generate a pattern pitch size (L0) of 21 nm. The χ value for PS-b-PAA was estimated from fingerprint pattern pitch data to be approximately 0.18 which
Glaich, Adrienne S; Friedman, Paul M; Jih, Ming H; Goldberg, Leonard H
2006-03-01
The 585-nm pulsed-dye laser and the 1,450-nm diode laser have been found effective for the treatment of mild-to-moderate inflammatory facial acne. This study was designed to evaluate the efficacy and safety of the combined treatment with the 595-nm pulsed-dye laser and the 1,450-nm diode laser for inflammatory facial acne. Fifteen patients with inflammatory facial acne were treated with a combination of the 595-nm pulsed-dye laser and the 1,450-nm diode laser. Patients' subjective response to treatment was evaluated regarding improvement in acne, acne scarring, oiliness, and redness of the skin. All patients had reductions in acne lesion counts. Mean lesion counts decreased 52% (P < 0.01), 63% (P < 0.01), and 84% (P < 0.01) after one, two, and three treatments, respectively. Patients described moderate-to-marked improvement in acne, acne scarring, and post-inflammatory erythema. Adverse effects were limited to mild, transient erythema. The combination of the 595-nm pulsed-dye laser and the 1,450-nm diode laser is safe and effective for the treatment of inflammatory facial acne, acne scarring, and post-inflammatory erythema. 2005 Wiley-Liss, Inc.
High-power 355 nm ultraviolet lasers operating at ultrahigh repetition rate
International Nuclear Information System (INIS)
Chen, H; Liu, Q; Yan, P; Gong, M
2013-01-01
In this letter, we demonstrate a novel 355 nm ultraviolet (UV) laser operating at ultrahigh repetition rate from 300 kHz to 1 MHz. The hybrid fiber-MOPA–bulk amplifiers based IR source exhibits a high average power of 105 W with near-diffraction-limited beam quality, narrow linewidth and high polarization extinction ratio. Two-cascaded LBO crystals are employed for high efficiency frequency tripling, and a maximum 43.7 W of average UV power is achieved at 400 kHz, corresponding to a conversion efficiency as high as 41.6%. The pulse duration of the UV pulse can be tuned from 5 to 10 ns with good pulse peak stability (better than 2.2% (RMS)). (letter)
Achieving 3-D Nanoparticle Assembly in Nanocomposite Thin Films via Kinetic Control
Energy Technology Data Exchange (ETDEWEB)
Huang, Jingyu; Xiao, Yihan; Xu, Ting [UCB
2017-02-20
Nanocomposite thin films containing well-ordered nanoparticle (NP) assemblies are ideal candidates for the fabrication of metamaterials. Achieving 3-D assembly of NPs in nanocomposite thin films is thermodynamically challenging as the particle size gets similar to that of a single polymer chain. The entropic penalties of polymeric matrix upon NP incorporation leads to NP aggregation on the film surface or within the defects in the film. Controlling the kinetic pathways of assembly process provides an alternative path forward by arresting the system in nonequilibrium states. Here, we report the thin film 3-D hierarchical assembly of 20 nm NPs in supramolecules with a 30 nm periodicity. By mediating the NP diffusion kinetics in the supramolecular matrix, surface aggregation of NPs was suppressed and NPs coassemble with supramolecules to form new 3-D morphologies in thin films. The present studies opened a viable route to achieve designer functional composite thin films via kinetic control.
Nishinaga, Osamu; Kikuchi, Tatsuya; Natsui, Shungo; Suzuki, Ryosuke O.
2013-01-01
Anodic porous alumina has been widely investigated and used as a nanostructure template in various nanoapplications. The porous structure consists of numerous hexagonal cells perpendicular to the aluminum substrate and each cell has several tens or hundreds of nanoscale pores at its center. Because the nanomorphology of anodic porous alumina is limited by the electrolyte during anodizing, the discovery of additional electrolytes would expand the applicability of porous alumina. In this study, we report a new self-ordered nanoporous alumina formed by selenic acid (H2SeO4) anodizing. By optimizing the anodizing conditions, anodic alumina possessing 10-nm-scale pores was rapidly assembled (within 1 h) during selenic acid anodizing without any special electrochemical equipment. Novel sub-10-nm-scale spacing can also be achieved by selenic acid anodizing and metal sputter deposition. Our new nanoporous alumina can be used as a nanotemplate for various nanostructures in 10-/sub-10-nm-scale manufacturing. PMID:24067318
Kwiek, Bartłomiej; Ambroziak, Marcin; Osipowicz, Katarzyna; Kowalewski, Cezary; Rożalski, Michał
2018-06-01
Current treatment of facial capillary malformations (CM) has limited efficacy. To assess the efficacy of large spot 532 nm lasers for the treatment of previously treated facial CM with the use of 3-dimensional (3D) image analysis. Forty-three white patients aged 6 to 59 were included in this study. Patients had 3D photography performed before and after treatment with a 532 nm Nd:YAG laser with large spot and contact cooling. Objective analysis of percentage improvement based on 3D digital assessment of combined color and area improvement (global clearance effect [GCE]) were performed. The median maximal improvement achieved during the treatment (GCE) was 59.1%. The mean number of laser procedures required to achieve this improvement was 6.2 (range 1-16). Improvement of minimum 25% (GCE25) was achieved by 88.4% of patients, a minimum of 50% (GCE50) by 61.1%, a minimum of 75% (GCE75) by 25.6%, and a minimum of 90% (GCE90) by 4.6%. Patients previously treated with pulsed dye lasers had a significantly less response than those treated with other modalities (GCE 37.3% vs 61.8%, respectively). A large spot 532 nm laser is effective in previously treated patients with facial CM.
Study of drain-extended NMOS under electrostatic discharge stress in 28 nm and 40 nm CMOS process
Wang, Weihuai; Jin, Hao; Dong, Shurong; Zhong, Lei; Han, Yan
2016-02-01
Researches on the electrostatic discharge (ESD) performance of drain-extended NMOS (DeNMOS) under the state-of-the-art 28 nm and 40 nm bulk CMOS process are performed in this paper. Three distinguishing phases of avalanche breakdown stage, depletion region push-out stage and parasitic NPN turn on stage of the gate-grounded DeNMOS (GG-DeNMOS) fabricated under 28 nm CMOS process measured with transmission line pulsing (TLP) test are analyzed through TCAD simulations and tape-out silicon verification detailedly. Damage mechanisms and failure spots of GG-DeNMOS under both CMOS processes are thermal breakdown of drain junction. Improvements based on the basic structure adjustments can increase the GG-DeNMOS robustness from original 2.87 mA/μm to the highest 5.41 mA/μm. Under 40 nm process, parameter adjustments based on the basic structure have no significant benefits on the robustness improvements. By inserting P+ segments in the N+ implantation of drain or an entire P+ strip between the N+ implantation of drain and polysilicon gate to form the typical DeMOS-SCR (silicon-controlled rectifier) structure, the ESD robustness can be enhanced from 1.83 mA/μm to 8.79 mA/μm and 29.78 mA/μm, respectively.
Ebbesen, Finn; Madsen, Poul H; Vandborg, Pernille K; Jakobsen, Lasse H; Trydal, Torleif; Vreman, Hendrik J
2016-10-01
Phototherapy using blue light is the treatment of choice worldwide for neonatal hyperbilirubinemia. However, treatment with turquoise light may be a desirable alternative. Therefore, the aim of this randomized, controlled study was to compare the bilirubin isomer distribution in serum of jaundiced neonates after 24 h of therapy with narrow-band (LED) light centered at 497 nm (turquoise) vs. 459 nm (blue), of essentially equal irradiance. Eighty-three neonates (≥33 wk gestational age) with uncomplicated hyperbilirubinemia were included in the study. Forty neonates were exposed to light centered at 497 nm and 43 infants with light centered at 459 nm. Irradiances were 5.2 × 10(15) and 5.1 × 10(15) photons/cm(2)/s, respectively. After 24 h of treatment no significant differences in serum concentrations of total bilirubin isomers and Z,Z-bilirubin were observed between the 2 groups. Interestingly, concentrations of Z,E-bilirubin, and thus also total bilirubin isomers formed during therapy, were highest for infants receiving light centered at 459 nm, while the concentration of E,Z-bilirubin was highest for those receiving light centered at 497 nm. No significant difference was found between concentrations of E,Z-lumirubin. Therapy with LED light centered at 497 nm vs. 459 nm, applied with equal irradiance on the infants, resulted in a different distribution of bilirubin isomers in serum.
Noack, Marko; Partzsch, Johannes; Mayr, Christian G; Hänzsche, Stefan; Scholze, Stefan; Höppner, Sebastian; Ellguth, Georg; Schüffny, Rene
2015-01-01
Synaptic dynamics, such as long- and short-term plasticity, play an important role in the complexity and biological realism achievable when running neural networks on a neuromorphic IC. For example, they endow the IC with an ability to adapt and learn from its environment. In order to achieve the millisecond to second time constants required for these synaptic dynamics, analog subthreshold circuits are usually employed. However, due to process variation and leakage problems, it is almost impossible to port these types of circuits to modern sub-100nm technologies. In contrast, we present a neuromorphic system in a 28 nm CMOS process that employs switched capacitor (SC) circuits to implement 128 short term plasticity presynapses as well as 8192 stop-learning synapses. The neuromorphic system consumes an area of 0.36 mm(2) and runs at a power consumption of 1.9 mW. The circuit makes use of a technique for minimizing leakage effects allowing for real-time operation with time constants up to several seconds. Since we rely on SC techniques for all calculations, the system is composed of only generic mixed-signal building blocks. These generic building blocks make the system easy to port between technologies and the large digital circuit part inherent in an SC system benefits fully from technology scaling.
International Nuclear Information System (INIS)
Barthwal, Sachin; Vudayagiri, Ashok
2015-01-01
We describe the construction of an inexpensive iodine spectrometer with a homemade iodine vapour cell and a self-developed wavemeter based on the Pohl interferometer, around the 670 nm wavelength. This can be easily realized in an undergraduate teaching laboratory to demonstrate the use of a diode laser interferometer using a Pohl interferometer and measurement of the wavelength using image processing techniques. A visible alternative to the infrared diode lasers, the 670 nm diode laser used here gives undergraduate students a chance to perform comprehensive though illustrative atomic physics experiments including the Zeeman effect, the Hanle effect, and the magneto-optic rotation effect with a little tweaking in the present spectrometer. The advantage of the spectrometer is its ease of construction with readily available optics, electronics, evacuation and glass-blowing facilities, and easy analysis algorithm to evaluate the wavelength. The self-developed algorithm of raster scanning and circular averaging gives the researcher insight into the basics of image processing techniques. Resolution approaching 0.5 nm can be easily achieved using such a simple setup. (paper)
Kwon, Minhwan; Yoon, Yeojoon; Kim, Seonbaek; Jung, Youmi; Hwang, Tae-Mun; Kang, Joon-Wun
2018-05-15
The aim of this study is to evaluate the micropollutant removal capacity of a 275 nm light-emitting diode (LED)-UV/chlorine system. The sulfamethoxazole, ibuprofen, and nitrobenzene removal efficiencies of this system were compared with those of a conventional 254 nm low-pressure (LP)-UV system as a function of the UV dose. In a direct photolysis system, the photon reactivity of sulfamethoxazole is higher than that of nitrobenzene and ibuprofen at both wavelengths. The molar absorption coefficients and quantum yields of each micropollutant were as follows: sulfamethoxazole (ε SMX, 275 nm protonated = 17,527 M -1 cm -1 , Φ SMX, 275 nm protonated = 0.239, ε SMX, 275 nm deprotonated = 8430 M -1 cm -1 , and Φ SMX, 275 nm deprotonated = 0.026), nitrobenzene (ε NB, 275 nm = 7176 M -1 cm -1 and Φ NB, 275 nm = 0.057), and ibuprofen (ε NB, 275 nm = 200 M -1 cm -1 and Φ IBF, 275 nm = 0.067). The photon reactivity of chlorine species, i.e., HOCl and OCl-, were determined at 275 nm (ε HOCl, 275 nm = 28 M -1 cm -1 , Φ HOCl, 275 nm = 1.97, ε OCl-, 275 nm = 245 M -1 cm -1 , and Φ OCl-, 275 nm = 0.8), which indicate that the decomposition rate of OCl - is higher and that of HOCl is lower by 275 nm photolysis than that by 254 nm photolysis (ε HOCl, 254 nm = 60 M -1 cm -1 , Φ HOCl, 254 nm = 1.46, ε OCl-, 254 nm = 58 M -1 cm -1 , and Φ OCl-, 254 nm = 1.11). In the UV/chlorine system, the removal rates of ibuprofen and nitrobenzene were increased by the formation of OH and reactive chlorine species. The 275-nm LED-UV/chlorine system has higher radical yields at pH 7 and 8 than the 254 nm LP-UV/chlorine system. Copyright © 2018 Elsevier B.V. All rights reserved.
Directory of Open Access Journals (Sweden)
Pengcheng Zhao
2014-01-01
Full Text Available We demonstrated optical amplification in BaYF5: 20% Yb3+, 2% Er3+ (BYF nanocrystals doped polymer waveguide. BYF nanocrystals with an average size of ∼13 nm were synthesized by a high-boiling solvent process. Intense 1.53 μm fluorescence was obtained in the nanocrystals under excitation at 980 nm. An optical polymer waveguide was fabricated by using BYF nanocrystals doped SU-8 polymer as the core material. A relative optical gain of ∼10.4 dB at 1525 nm was achieved in a 1.1 cm long waveguide for an input signal power of ∼0.09 mW and a pump power of ∼212 mW.
Eriksson, Lina Elisabeth
2015-01-01
This research aims to explore how women and men view and perceive potential barriers to women achieving leadership positions in the humanitarian sector. Female leadership is so far an under-researched area within the humanitarian sector, so it is unknown whether females encounter any barriers in accessing and attaining leadership positions. Three categories were identified; identity, perception and challenges through which the views and barriers are explored. Semi-structured interviews were c...
Deep UV light generation by a fiber/bulk hybrid amplifier at 199 nm
International Nuclear Information System (INIS)
Urata, Yoshiharu; Shinozaki, Tatsuya; Wada, Yoshio; Kaneda, Yushi; Wada, Satoshi; Imai, Shinichi
2009-01-01
A high-pulse-repetition-frequency (PRF) pulsed light source in the deep ultraviolet region has been realized by a multiple wavelength conversion technique using a hybrid fiber/bulk amplifier system. Output of 199 nm with a power of 50 mW was achieved at 2.4 MHz PRF. The 1 μm amplifier consisted of a Yb-doped fiber amplifier and a Nd-doped YVO4 amplifier. A 1.5 μm fiber master-oscillator power amplifier was employed as the other fundamental source. The amplifiers exhibited good amplification properties in pulse energy, polarization extinction ratio, and spectrum for nonlinear wavelength conversion
Directory of Open Access Journals (Sweden)
Jiyu Peng
2018-02-01
Full Text Available Fast detection of toxic metals in crops is important for monitoring pollution and ensuring food safety. In this study, laser-induced breakdown spectroscopy (LIBS was used to detect the chromium content in rice leaves. We investigated the influence of laser wavelength (532 nm and 1064 nm excitation, along with the variations of delay time, pulse energy, and lens-to-sample distance (LTSD, on the signal (sensitivity and stability and plasma features (temperature and electron density. With the optimized experimental parameters, univariate analysis was used for quantifying the chromium content, and several preprocessing methods (including background normalization, area normalization, multiplicative scatter correction (MSC transformation and standardized normal variate (SNV transformation were used to further improve the analytical performance. The results indicated that 532 nm excitation showed better sensitivity than 1064 nm excitation, with a detection limit around two times lower. However, the prediction accuracy for both excitation wavelengths was similar. The best result, with a correlation coefficient of 0.9849, root-mean-square error of 3.89 mg/kg and detection limit of 2.72 mg/kg, was obtained using the SNV transformed signal (Cr I 425.43 nm induced by 532 nm excitation. The results indicate the inspiring capability of LIBS for toxic metals detection in plant materials.
Trends in nanosecond melanosome microcavitation up to 1540 nm
Schmidt, Morgan S.; Kennedy, Paul K.; Noojin, Gary D.; Vincelette, Rebecca L.; Thomas, Robert J.; Rockwell, Benjamin A.
2015-09-01
Thresholds for microcavitation of bovine and porcine melanosomes were previously reported, using single nanosecond (ns) laser pulses in the visible (532 nm) and the near-infrared (NIR) from 1000 to 1319 nm. Here, we report average radiant exposure thresholds for bovine melanosome microcavitation at additional NIR wavelengths up to 1540 nm, which range from ˜0.159 J/cm2 at 800 nm to 4.5 J/cm2 at 1540 nm. Melanosome absorption coefficients were also estimated, and decreased with increasing wavelength. These values were compared to retinal pigment epithelium coefficients, and to water absorption, over the same wavelength range. Corneal total intraocular energy retinal damage threshold values were estimated and compared to the previous (2007) and recently changed (2014) maximum permissible exposure (MPE) safe levels. Results provide additional data that support the recent changes to the MPE levels, as well as the first microcavitation data at 1540 nm, a wavelength for which melanosome microcavitation may be an ns-pulse skin damage mechanism.
International Nuclear Information System (INIS)
Moody, J D; Divol, L; Glenzer, S H; MacKinnon, A J; Froula, D H; Gregori, G; Kruer, W L; Suter, L J; Williams, E A; Bahr, R; Seka, W
2003-01-01
We describe experiments investigating the simultaneous backscattering from 351 nm (3w) and 527 nm (2w) interaction beams in a long scalelength laser-produced plasma for intensities (le) 1 x 10 15 W/cm 2 . Measurements show comparable scattering fractions for both color probe beams. Time resolved spectra of stimulated Raman and Brillouin scattering (SRS and SBS) indicate the effects of laser intensity and smoothing as well as plasma composition and parameters on the scattering levels
Jechow, Andreas; Schedel, Marco; Stry, Sandra; Sacher, Joachim; Menzel, Ralf
2007-10-15
A continuous-wave distributed feedback diode laser emitting at 976 nm was frequency doubled by the use of a periodically poled lithium niobate waveguide crystal with a channel size of 3 microm x 5 microm and an interaction length of 10 mm. A laser to waveguide coupling efficiency of 75% could be achieved resulting in 304 mW of incident infrared light inside the waveguide. Blue laser light emission of 159 mW at 488 nm has been generated, which equals to a conversion efficiency of 52%. The resulting wall plug efficiency was 7.4%.
Du, Juan; Liu, Jiqiao; Bi, Decang; Ma, Xiuhua; Hou, Xia; Zhu, Xiaolei; Chen, Weibiao
2018-04-01
A ground-based double-pulse 1572 nm integrated path differential absorption (IPDA) lidar was developed for carbon dioxide (CO2) column concentrations measurement. The lidar measured the CO2 concentrations continuously by receiving the scattered echo signal from a building about 1300 m away. The other two instruments of TDLAS and in-situ CO2 analyzer measured the CO2 concentrations on the same time. A CO2 concentration measurement of 430 ppm with 1.637 ppm standard error was achieved.
DEFF Research Database (Denmark)
Pandey, Bishwajeet; Pandey, Sujeet; Sharma, Shivani
2016-01-01
In this paper, we are integrating clock gating in design of energy efficient equation solver circuits based on Vedic mathematics. Clock gating is one of the best energy efficient techniques. The Sutra 'SunyamSamyasamuccaye' says thatif sum of numerator and sum of denominator is same then we can e......, 94.54% for 1800MHz, and 94.02% for 2.2GHz, when we use gated clock instead of un gated one on 40nm FPGA and temperature is 329.85K. Power consumption in 28nm FPGA is less than 40nm FPGA....
International Nuclear Information System (INIS)
Cui, Malin; Zhao, Yuan; Wang, Chan; Song, Qijun
2016-01-01
Colloidal iridium nanoparticles (IrNPs) were synthesized through an environmentally friendly approach by using trisodium citrate as the capping molecule in an aqueous medium. The resulting colloidal IrNPs have a typical diameter of 2.5 nm and display absorption bands at 250, 400 and 600 nm. They possess uniform morphology, good dispersibility, excellent stability in water, and exhibit strong surface enhanced Raman scattering (SERS) activity with an enhancement factor (EF) of 3.5 × 10 5 at the 1512 cm -1 peak when using Rhodamine 6G as the probe molecule. The excellent SERS performance of the IrNPs was exemplarily applied to the determination of the industrial colorant Sudan Red I. The peak intensity of the Raman band at 1236 cm -1 is linearly related to the concentration of Sudan Red I which can be determined by SERS in the 2 nM to 8 μM concentration range with a limit of detection as low as 0.6 nM. In our perception, this strong SERS activity of the IrNPs has a large potential in the SERS-based quantitation of various chemical substances. (author)
Final report on LDRD project : single-photon-sensitive imaging detector arrays at 1600 nm
International Nuclear Information System (INIS)
Childs, Kenton David; Serkland, Darwin Keith; Geib, Kent Martin; Hawkins, Samuel D.; Carroll, Malcolm S.; Klem, John Frederick; Sheng, Josephine Juin-Jye; Patel, Rupal K.; Bolles, Desta; Bauer, Tom M.; Koudelka, Robert
2006-01-01
The key need that this project has addressed is a short-wave infrared light detector for ranging (LIDAR) imaging at temperatures greater than 100K, as desired by nonproliferation and work for other customers. Several novel device structures to improve avalanche photodiodes (APDs) were fabricated to achieve the desired APD performance. A primary challenge to achieving high sensitivity APDs at 1550 nm is that the small band-gap materials (e.g., InGaAs or Ge) necessary to detect low-energy photons exhibit higher dark counts and higher multiplication noise compared to materials like silicon. To overcome these historical problems APDs were designed and fabricated using separate absorption and multiplication (SAM) regions. The absorption regions used (InGaAs or Ge) to leverage these materials 1550 nm sensitivity. Geiger mode detection was chosen to circumvent gain noise issues in the III-V and Ge multiplication regions, while a novel Ge/Si device was built to examine the utility of transferring photoelectrons in a silicon multiplication region. Silicon is known to have very good analog and GM multiplication properties. The proposed devices represented a high-risk for high-reward approach. Therefore one primary goal of this work was to experimentally resolve uncertainty about the novel APD structures. This work specifically examined three different designs. An InGaAs/InAlAs Geiger mode (GM) structure was proposed for the superior multiplication properties of the InAlAs. The hypothesis to be tested in this structure was whether InAlAs really presented an advantage in GM. A Ge/Si SAM was proposed representing the best possible multiplication material (i.e., silicon), however, significant uncertainty existed about both the Ge material quality and the ability to transfer photoelectrons across the Ge/Si interface. Finally a third pure germanium GM structure was proposed because bulk germanium has been reported to have better dark count properties. However, significant
Final report on LDRD project : single-photon-sensitive imaging detector arrays at 1600 nm.
Energy Technology Data Exchange (ETDEWEB)
Childs, Kenton David; Serkland, Darwin Keith; Geib, Kent Martin; Hawkins, Samuel D.; Carroll, Malcolm S.; Klem, John Frederick; Sheng, Josephine Juin-Jye; Patel, Rupal K.; Bolles, Desta; Bauer, Tom M.; Koudelka, Robert
2006-11-01
The key need that this project has addressed is a short-wave infrared light detector for ranging (LIDAR) imaging at temperatures greater than 100K, as desired by nonproliferation and work for other customers. Several novel device structures to improve avalanche photodiodes (APDs) were fabricated to achieve the desired APD performance. A primary challenge to achieving high sensitivity APDs at 1550 nm is that the small band-gap materials (e.g., InGaAs or Ge) necessary to detect low-energy photons exhibit higher dark counts and higher multiplication noise compared to materials like silicon. To overcome these historical problems APDs were designed and fabricated using separate absorption and multiplication (SAM) regions. The absorption regions used (InGaAs or Ge) to leverage these materials 1550 nm sensitivity. Geiger mode detection was chosen to circumvent gain noise issues in the III-V and Ge multiplication regions, while a novel Ge/Si device was built to examine the utility of transferring photoelectrons in a silicon multiplication region. Silicon is known to have very good analog and GM multiplication properties. The proposed devices represented a high-risk for high-reward approach. Therefore one primary goal of this work was to experimentally resolve uncertainty about the novel APD structures. This work specifically examined three different designs. An InGaAs/InAlAs Geiger mode (GM) structure was proposed for the superior multiplication properties of the InAlAs. The hypothesis to be tested in this structure was whether InAlAs really presented an advantage in GM. A Ge/Si SAM was proposed representing the best possible multiplication material (i.e., silicon), however, significant uncertainty existed about both the Ge material quality and the ability to transfer photoelectrons across the Ge/Si interface. Finally a third pure germanium GM structure was proposed because bulk germanium has been reported to have better dark count properties. However, significant
Koch, Peter; Ruebel, Felix; Bartschke, Juergen; L'huillier, Johannes A
2015-11-20
We demonstrate a continuous wave single-frequency laser at 671.1 nm based on a high-power 888 nm pumped Nd:YVO4 ring laser at 1342.2 nm. Unidirectional operation of the fundamental ring laser is achieved with the injection-locking technique. A Nd:YVO4 microchip laser serves as the injecting seed source, providing a tunable single-frequency power of up to 40 mW. The ring laser emits a single-frequency power of 17.2 W with a Gaussian beam profile and a beam propagation factor of M2beam profile and a beam propagation factor of M2lasers. This work opens possibilities in cold atoms experiments with lithium, allowing the use of larger ensembles in magneto-optical traps or higher diffraction orders in atomic beam interferometers.
Energy Technology Data Exchange (ETDEWEB)
Schweitzer, Andreas; Wagner, Christian; Cremer, Christoph [Kirchhoff-Institute for Physics of the University, Im Neuenheimer Feld 227, 69120 Heidelberg (Germany)
2004-07-07
In fluorescent light microscopy, structured illumination approaches have emerged as a novel tool to analyse subwavelength sized objects in thick transparent specimens. In this report, new size measurements ('nanosizing') of small subwavelength sized fluorescent objects applying spatially modulated illumination (SMI) microscopy with an excitation wavelength of {lambda}{sub ex} 458 nm are presented. These measurements were made using fluorescent particles with a given diameter. From the SMI data achieved, the size (diameter) was determined using special calibration curves derived from analytical considerations assuming a Gaussian dye distribution within the object. The results showed that with SMI microscopy combined with suitable calibration, size measurements of objects considerably smaller than the epifluorescent optical resolution at {lambda}{sub ex} = 458 nm are feasible.
Barikbin, Behrooz; Khodamrdi, Zeinab; Kholoosi, Leila; Akhgri, Mohammad Reza; Haj Abbasi, Majid; Hajabbasi, Mojgan; Razzaghi, Zahra; Akbarpour, Samaneh
2017-05-17
This study aimed to evaluate the effectiveness of a combined set of low level diode laser scanner (665 nm and 808nm) on hair growth, and assessment of safety and effectiveness of a new laser scanner on hair growth treatment procedure in androgenic alopecia. 90 patients (18 to 70 years) with androgenic alopecia were randomized into three groups. The first group (n=30) received 655 nm red light using laser hat, the second group (n=30) received 655 nm red laser plus 808 nm infrared laser using a laser scanner of hair growth device (with the patent number: 77733) and the third group (n=30) received no laser as the control group. Patients in laser scanner group had better results and showed a higher increase in terminal hair density compared with laser hat group (mean of 9.61 versus 9.16 per cm 2 ). We found significant decrease in terminal hair density from baseline in control group (mean -1.8 per cm 2 , plaser scanner of the hair growth group compared with laser hat and the control group. The study showed that treatment with new laser devise had a promising result without any observable adverse effects.
Oubei, Hassan M.
2015-08-26
We experimentally demonstrate an underwater wireless optical communications (UWOC) employing 450-nm TO-9 packaged and fiberpigtailed laser diode (LD) directly encoded with an orthogonal frequency division multiplexed quadrature amplitude modulation (QAM-OFDM) data. A record data rate of up to 4.8 Gbit/s over 5.4-m transmission distance is achieved. By encoding the full 1.2-GHz bandwidth of the 450-nm LD with a 16-QAM-OFDM data, an error vector magnitude (EVM) of 16.5%, a signal-to-noise ratio (SNR) of 15.63 dB and a bit error rate (BER) of 2.6 × 10-3, well pass the forward error correction (FEC) criterion, were obtained. © 2015 Optical Society of America.
Oubei, Hassan M.; Duran, Jose R.; Janjua, Bilal; Wang, Huai-Yung; Tsai, Cheng-Ting; Chi, Yu-Cheih; Ng, Tien Khee; Kuo, Hao-Chung; He, Jr-Hau; Alouini, Mohamed-Slim; Lin, Gong-Ru; Ooi, Boon S.
2015-01-01
We experimentally demonstrate an underwater wireless optical communications (UWOC) employing 450-nm TO-9 packaged and fiberpigtailed laser diode (LD) directly encoded with an orthogonal frequency division multiplexed quadrature amplitude modulation (QAM-OFDM) data. A record data rate of up to 4.8 Gbit/s over 5.4-m transmission distance is achieved. By encoding the full 1.2-GHz bandwidth of the 450-nm LD with a 16-QAM-OFDM data, an error vector magnitude (EVM) of 16.5%, a signal-to-noise ratio (SNR) of 15.63 dB and a bit error rate (BER) of 2.6 × 10-3, well pass the forward error correction (FEC) criterion, were obtained. © 2015 Optical Society of America.
Xiong, Shisheng; Wan, Lei; Ishida, Yoshihito; Chapuis, Yves-Andre; Craig, Gordon S W; Ruiz, Ricardo; Nealey, Paul F
2016-08-23
Directed self-assembly (DSA) of block copolymers (BCPs) is a leading strategy to pattern at sublithographic resolution in the technology roadmap for semiconductors and is the only known solution to fabricate nanoimprint templates for the production of bit pattern media. While great progress has been made to implement block copolymer lithography with features in the range of 10-20 nm, patterning solutions below 10 nm are still not mature. Many BCP systems self-assemble at this length scale, but challenges remain in simultaneously tuning the interfacial energy atop the film to control the orientation of BCP domains, designing materials, templates, and processes for ultra-high-density DSA, and establishing a robust pattern transfer strategy. Among the various solutions to achieve domains that are perpendicular to the substrate, solvent annealing is advantageous because it is a versatile method that can be applied to a diversity of materials. Here we report a DSA process based on chemical contrast templates and solvent annealing to fabricate 8 nm features on a 16 nm pitch. To make this possible, a number of innovations were brought in concert with a common platform: (1) assembling the BCP in the phase-separated, solvated state, (2) identifying a larger process window for solvated triblock vs diblock BCPs as a function of solvent volume fraction, (3) employing templates for sub-10-nm BCP systems accessible by lithography, and (4) integrating a robust pattern transfer strategy by vapor infiltration of organometallic precursors for selective metal oxide synthesis to prepare an inorganic hard mask.
Directory of Open Access Journals (Sweden)
P. Centurión
2011-12-01
Full Text Available La disponibilidad en el mercado de una gran gama de equipos de láser de diferentes potencias y longitudes de onda para su aplicación en el tejido graso, así como la existencia de diversos trabajos científicos sobre el tema con resultados opuestos, han causado gran confusión y dudas sobre la técnica de láser-lipolisis entre los cirujanos plásticos. Presentamos un protocolo quirúrgico de liposucción con diodo-láser de 980-nm (LSDL 980-nm aplicado en 240 pacientes. Evaluamos la seguridad de la técnica según el índice de complicaciones sufrido, así como la evolución en el post-operatorio de los pacientes tratados. Empleamos un equipo diodo-láser-980 nm (ORlight ®, cuya energía llevamos a través de una fibra de 600 μm. Esto se traduce en la aplicación de 99 J por cada cm² de área tratada, con un espesor de hasta 4 cm de tejido adiposo subcutáneo. No tuvimos complicaciones mayores, tipo quemaduras y entre las complicaciones menores, que fueron de un 48.33 % (116 pacientes, encontramos equímosis mínimas (menos del 2 % de superficie corporal. El tiempo que los pacientes requirieron para controlar el dolor postoperatorio fue de entre 1 y 2 días. Consideramos importante la incorporación de la LSDL-980 nm por ser una técnica menos traumática y con bajo índice de complicaciones, que reduce el periodo de recuperación de los pacientes, provoca una importante retracción de la piel y disminuye el dolor postoperatorio.The availability of multiple laser systems on the market with different power and wavelengths and the existence of several scientific papers with contradictory presents, have created great confusion and doubts among plastic surgeons with regard to the laser lipolysis technique. We report a surgical protocol for liposuction diode laser-980 nm (LSDL-980 nm applied to 240 patients. We evaluate the security of the technique according to the complication rate and the postoperative development of patients submitted to
Refaeli, Zaharit; Shamir, Yariv; Ofir, Atara; Marcus, Gilad
2018-02-01
We report a simple robust and broadly spectral-adjustable source generating near fully compressed 1053 nm 62 fs pulses directly out of a highly-nonlinear photonic crystal fiber. A dispersion-nonlinearity balance of 800 nm Ti:Sa 20 fs pulses was obtained initially by negative pre-chirping and then launching the pulses into the fibers' normal dispersion regime. Following a self-phase modulation spectral broadening, some energy that leaked below the zero dispersion point formed a soliton whose central wavelength could be tuned by Self-Frequency-Raman-Shift effect. Contrary to a common approach of power, or, fiber-length control over the shift, here we continuously varied the state of polarization, exploiting the Raman and Kerr nonlinearities responsivity for state of polarization. We obtained soliton pulses with central wavelength tuned over 150 nm, spanning from well below 1000 to over 1150 nm, of which we could select stable pulses around the 1 μm vicinity. With linewidth of > 20 nm FWHM Gaussian-like temporal-shape pulses with 62 fs duration and near flat phase structure we confirmed high quality pulse source. We believe such scheme can be used for high energy or high power glass lasers systems, such as Nd or Yb ion-doped amplifiers and systems.
Synthesis of gold nanorods with a longitudinal surface plasmon resonance peak of around 1250 nm
Nguyen, Thi Nhat Hang; Le Trinh Nguyen, Thi; Thanh Tuyen Luong, Thi; Thang Nguyen, Canh Minh; Nguyen, Thi Phuong Phong
2016-03-01
We prepared gold nanorods and joined them to chemicals such as tetrachloauric (III) acid trihydrate, silver nitrate, hydroquinone, hexadecyltrimethylammonium bromide, sodium hydroxide and sodium borohydride using the seed-mediated method. The combination of hydroquinone, with or without salicylic acid, influences the size of the gold nanorods, and this is demonstrated by the results of TEM images, UV-vis spectra and the value of the longitudinal surface plasmon resonance peak with respect to the UV-vis spectra. By changing the Ag+ ion and hydroquinone concentration and the combination of hydroquinone and salicylic acid, the size of the gold nanorods can be controlled and this is manifested by longitudinal surface plasmon resonance peaks forming between 875 and 1278 nm. In particular, sample E2 achieved a longitudinal surface plasmon peak at 1273 nm and an aspect ratio of more than 10 by modifying the hydroquinone to 2.5 mM and salicylic acid to 0.5 mM concentration in the growth solution.
Forecasting noise and radiation hardness of CMOS front-end electronics beyond the 100 nm frontier
International Nuclear Information System (INIS)
Re, V.; Gaioni, L.; Manghisoni, M.; Ratti, L.; Traversi, G.
2010-01-01
The progress of industrial microelectronic technologies has already overtaken the 130 nm CMOS generation that is currently the focus of IC designers for new front-end chips in LHC upgrades and other detector applications. In a broader time span, sub-100 nm CMOS processes may become appealing for the design of very compact front-end systems with advanced integrated functionalities. This is especially true in the case of pixel detectors, both for monolithic devices (MAPS) and for hybrid implementations where a high resistivity sensor is connected to a CMOS readout chip. Technologies beyond the 100 nm frontier have peculiar features, such as the evolution of the device gate material to reduce tunneling currents through the thin dielectric. These new physical device parameters may impact on functional properties such as noise and radiation hardness. On the basis of experimental data relevant to commercial devices, this work studies potential advantages and challenges associated to the design of low-noise and rad-hard analog circuits in these aggressively scaled technologies.
Liquid Carbon Reflectivity at 19 nm
Directory of Open Access Journals (Sweden)
Riccardo Mincigrucci
2015-01-01
Full Text Available We hereby report on a pump-probe reflectivity experiment conducted on amorphous carbon, using a 780 nm laser as a pump and a 19 nm FEL emission as probe. Measurements were performed at 50 degrees with respect to the surface normal to have an un-pumped reflectivity higher than 0.5%. A sub-10 fs time synchronization error could be obtained exploiting the nearly jitter-free capabilities of FERMI. EUV FEL-based experiments open the way to study the behaviour of a liquid carbon phase being unaffected by plasma screening.
High Involvement Mothers of High Achieving Children: Potential Theoretical Explanations
Hunsaker, Scott L.
2013-01-01
In American society, parents who have high aspirations for the achievements of their children are often viewed by others in a negative light. Various pejoratives such as "pushy parent," "helicopter parent," "stage mother," and "soccer mom" are used in the common vernacular to describe these parents. Multiple…
Energy Technology Data Exchange (ETDEWEB)
Jia, Zhixu; Zheng, Kezhi [State Key Laboratory of Optical Fiber and Cable Manufacture Technology, Yangtze Optical Fiber and Cable Joint Stock Limited Company, Wuhan 430073 (China); State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Changchun 130012 (China); Yao, Chuanfei; Wang, Shunbin; Qin, Guanshi, E-mail: qings@jlu.edu.cn; Qin, Weiping, E-mail: wpqin@jlu.edu.cn [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Changchun 130012 (China); Xiong, Liangming; Luo, Jie; Lv, Dajuan [State Key Laboratory of Optical Fiber and Cable Manufacture Technology, Yangtze Optical Fiber and Cable Joint Stock Limited Company, Wuhan 430073 (China); Ohishi, Yasutake [Research Center for Advanced Photon Technology, Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468–8511 (Japan)
2016-04-28
We report enhanced upconversion (UC) fluorescence in Tm{sup 3+} doped tellurite microstructured fibers (TDTMFs) fabricated by using a rod-in-tube method. Under the pumping of a 1560 nm femtosecond fiber laser, ultrabroadband supercontinuum light expanding from ∼1050 to ∼2700 nm was generated in a 4 cm long TDTMF. Simultaneously, intense 800 nm UC emission from the {sup 3}H{sub 4} → {sup 3}H{sub 6} transition of Tm{sup 3+} was observed in the same TDTMF. Compared to that pumped by a 1560 nm continuous wave fiber laser, the UC emission intensity was enhanced by ∼4.1 times. The enhancement was due to the spectral broadening in the TDTMF under the pumping of the 1560 nm femtosecond fiber laser.
Acute and Cumulative Effects of Unmodified 50-nm Nano-ZnO on Mice.
Kong, Tao; Zhang, Shu-Hui; Zhang, Ji-Liang; Hao, Xue-Qin; Yang, Fan; Zhang, Cai; Yang, Zi-Jun; Zhang, Meng-Yu; Wang, Jie
2018-01-02
Nanometer zinc oxide (nano-ZnO) is widely used in diverse industrial and agricultural fields. Due to the extensive contact humans have with these particles, it is crucial to understand the potential effects that nano-ZnO have on human health. Currently, information related to the toxicity and mechanisms of nano-ZnO is limited. The aim of the present study was to investigate acute and cumulative toxic effects of 50-nm unmodified ZnO in mice. This investigation will seek to establish median lethal dose (LD50), a cumulative coefficient, and target organs. The acute and cumulative toxicity was investigated by Karber's method and via a dose-increasing method, respectively. During the experiment, clinical signs, mortality, body weights, hematology, serum biochemistry, gross pathology, organ weight, and histopathology were examined. The LD50 was 5177-mg/kg·bw; the 95% confidence limits for the LD50 were 5116-5238-mg/kg·bw. It could be concluded that the liver, kidney, lung, and gastrointestinal tract were target organs for the 50-nm nano-ZnO acute oral treatment. The cumulative coefficient (K) was 1.9 which indicated that the cumulative toxicity was apparent. The results also indicated that the liver, kidney, lung, and pancrea were target organs for 50-nm nano-ZnO cumulative oral exposure and might be target organs for subchronic and chronic toxicity of oral administered 50-nm ZnO.
Balu, Mihaela; Saytashev, Ilyas; Hou, Jue; Dantus, Marcos; Tromberg, Bruce J.
2015-01-01
© 2015 The Authors. Advancing the practical utility of nonlinear optical microscopy requires continued improvement in imaging depth and contrast. We evaluated second-harmonic generation (SHG) and third-harmonic generation images from ex vivo human skin and showed that a sub-40 fs, 1060-nm Yb-fiber laser can enhance SHG penetration depth by up to 80% compared to a > 100 fs, 800 nm Ti:sapphire source. These results demonstrate the potential of fiber-based laser systems to address a key perform...
Martin, Luc; Manakli, Serdar; Bayle, Sebastien; Belledent, Jérôme; Soulan, Sebastien; Wiedemann, Pablo; Farah, Abdi; Schiavone, Patrick
2012-03-01
Lithography faces today many challenges to meet the ITRS road-map. 193nm is still today the only existing industrial option to address high volume production for the 22nm node. Nevertheless to achieve such a resolution, double exposure is mandatory for critical level patterning. EUV lithography is still challenged by the availability of high power source and mask defectivity and suffers from a high cost of ownership perspective. Its introduction is now not foreseen before 2015. Parallel to these mask-based technologies, maskless lithography regularly makes significant progress in terms of potential and maturity. The massively parallel e-beam solution appears as a real candidate for high volume manufacturing. Several industrial projects are under development, one in the US, with the KLA REBL project and two in Europe driven by IMS Nanofabrication (Austria; MAPPER (The Netherlands). Among the developments to be performed to secure the takeoff of the multi-beam technology, the availability of a rapid and robust data treatment solution will be one of the major challenges. Within this data preparation flow, advanced proximity effect corrections must be implemented to address the 16nm node and below. This paper will detail this process and compare correction strategies in terms of robustness and accuracy. It will be based on results obtained using a MAPPER tool within the IMAGINE program driven by CEA-LETI, in Grenoble, France. All proximity effects corrections and the dithering step were performed using the software platform Inscale® from Aselta Nanographics. One important advantage of Inscale® is the ability to combine both model based dose and geometry adjustment to accurately pattern critical features. The paper will focus on the advantage of combining those two corrections at the 16nm node instead of using only geometry corrections. Thanks to the simulation capability of Inscale®, pattern fidelity and correction robustness will be evaluated and compared between
Achieving high mobility ZnO : Al at very high growth rates by dc filtered cathodic arc deposition
International Nuclear Information System (INIS)
Mendelsberg, R J; Lim, S H N; Wallig, J; Anders, A; Zhu, Y K; Milliron, D J
2011-01-01
Achieving a high growth rate is paramount for making large-area transparent conducting oxide coatings at a low cost. Unfortunately, the quality of thin films grown by most techniques degrades as the growth rate increases. Filtered dc cathodic arc is a lesser known technique which produces a stream of highly ionized plasma, in stark contrast to the neutral atoms produced by standard sputter sources. Ions bring a large amount of potential energy to the growing surface which is in the form of heat, not momentum. By minimizing the distance from cathode to substrate, the high ion flux gives a very high effective growth temperature near the film surface without causing damage from bombardment. The high surface temperature is a direct consequence of the high growth rate and allows for high-quality crystal growth. Using this technique, 500-1300 nm thick and highly transparent ZnO : Al films were grown on glass at rates exceeding 250 nm min -1 while maintaining resistivity below 5 x 10 -4 Ω cm with electron mobility as high as 60 cm 2 V -1 s -1 . (fast track communication)
Laser Treatment of Professional Tattoos With a 1064/532-nm Dual-Wavelength Picosecond Laser.
Kauvar, Arielle N B; Keaney, Terrence C; Alster, Tina
2017-12-01
Picosecond-domain laser pulses improve the photomechanical disruption of tattoos. This study evaluates the efficacy and safety of a novel, dual-wavelength, 1,064/532-nm, picosecond-domain laser for tattoo clearance. This was a prospective, self-controlled, clinical study of 34 subjects with 39 tattoos treated at 2 sites with an interval of 4.8 ± 1.6 weeks and up to 10 treatments (mean, 7.5). Blinded evaluation and investigator assessment of serial digital images was performed to evaluate treatment efficacy in the 36 tattoos that received at least 3 treatments. Investigators also assessed efficacy before each treatment visit up to 10 treatments. Safety and tolerability was evaluated for all 39 tattoos that underwent at least 1 treatment. Blinded evaluation demonstrated that lightening of tattoos was achieved in all subjects, with 86% (31 of 36 tattoos) showing at least a 50% clearance after 3 treatments. Adverse events were few and transient in nature. Patient satisfaction and treatment tolerability were high. Treatment of single-colored and multicolored tattoos with this novel 1,064/532-nm picosecond laser is highly safe and effective.
Absolute frequency atlas from 915 nm to 985 nm based on laser absorption spectroscopy of iodine
Nölleke, Christian; Raab, Christoph; Neuhaus, Rudolf; Falke, Stephan
2018-04-01
This article reports on laser absorption spectroscopy of iodine gas between 915 nm and 985 nm. This wavelength range is scanned utilizing a narrow linewidth and mode-hop-free tunable diode-laser whose frequency is actively controlled using a calibrated wavelength meter. This allows us to provide an iodine atlas that contains almost 10,000 experimentally observed reference lines with an uncertainty of 50 MHz. For common lines, good agreement is found with a publication by Gerstenkorn and Luc (1978). The new rich dataset allows existing models of the iodine molecule to be refined and can serve as a reference for laser frequency calibration and stabilization.
Maslow's hierarchy of needs: a framework for achieving human potential in hospice.
Zalenski, Robert J; Raspa, Richard
2006-10-01
Although the widespread implementation of hospice in the United States has led to tremendous advances in the care of the dying, there has been no widely accepted psychological theory to drive needs assessment and intervention design for the patient and family. The humanistic psychology of Abraham Maslow, especially his theory of motivation and the hierarchy of needs, has been widely applied in business and social science, but only sparsely discussed in the palliative care literature. In this article we review Maslow's original hierarchy, adapt it to hospice and palliative care, apply the adaptation to a case example, and then discuss its implications for patient care, education, and research. The five levels of the hierarchy of needs as adapted to palliative care are: (1) distressing symptoms, such as pain or dyspnea; (2) fears for physical safety, of dying or abandonment; (3) affection, love and acceptance in the face of devastating illness; (4) esteem, respect, and appreciation for the person; (5) selfactualization and transcendence. Maslow's modified hierarchy of palliative care needs could be utilized to provide a comprehensive approach for the assessment of patients' needs and the design of interventions to achieve goals that start with comfort and potentially extend to the experience of transcendence.
Directory of Open Access Journals (Sweden)
Marko eNoack
2015-02-01
Full Text Available Synaptic dynamics, such as long- and short-term plasticity, play an important role in the complexity and biological realism achievable when running neural networks on a neuromorphic IC. For example, they endow the IC with an ability to adapt and learn from its environment. In order to achieve the millisecond to second time constants required for these synaptic dynamics, analog subthreshold circuits are usually employed. However, due to process variation and leakage problems, it is almost impossible to port these types of circuits to modern sub-100nm technologies. In contrast, we present a neuromorphic system in a 28 nm CMOS process that employs switched capacitor (SC circuits to implement 128 short-term plasticity presynapses as well as 8192 stop-learning synapses. The neuromorphic system consumes an area of 0.36 mm² and runs at a power consumption of 1.9 mW. The circuit makes use of a technique for minimizing leakage effects allowing for real-time operation with time constants up to several seconds. Since we rely on SC techniques for all calculations, the system is composed of only generic mixed-signal building blocks. These generic building blocks make the system easy to port between technologies and the large digital circuit part inherent in an SC system benefits fully from technology scaling.
Endovenous laser therapy for occlusion of incompetent saphenous veins using 1940nm
Sroka, Ronald; Pongratz, Thomas; Esipova, Anna; Dikic, Slobodan; Demhasaj, Sahit; Comsa, Florin; Schmedt, Claus-Georg
2015-07-01
Objective: Several studies indicate that ELT using wavelengths of high water absorption showed advantages compared to conventional ELT. Thulium-Lasers emit nearby the local absorption maximum of water at 1940nm. In this clinical study the effectiveness, safety and the feasibility of 1940nm-ELT is proven. Materials and Method: A single centric, prospective observational study was performed. 1940nm-laserenergy was applied using radial emitting fibres with continuous pullback (1mm/s). Treatment was performed under anesthesia (general, spinal, tumescent) thus simultaneous miniphlebectomy and ligation of perforators could be applied. Patient and technical details were systematically collected. Evaluation included: standardized questionnaire, clinical examination, color-duplex ultrasonography preoperatively, 3d, 4w, 6m postoperatively, statistic. Results: The 1940nm-ELT study include 55 patients (female/men=34/21, mean age 55y, range 23-90y) treating n=72 vessels. The mean maximum diameter of great saphenous veins (GSV, n=59) was 7.5mm (range 3.7-11.3mm) and of small saphenous veins (SSV, n=13) was 5.3mm (3.0-10.0mm). The mean applied longitudinal endovenous energy density (LEED) was 64.3J/cm (40.3-98.2J/cm) in GSVs and 51.0J/cm (37.6-72.7J/cm) in SSVs. Complete occlusion of the vein without sign of reflux was achieved in 100%. The mean length of non-occluded stump at the sapheno-femoral junction was 6.0mm (1.0-20.0mm). Postoperative reduction of the diameter of GSV was 1.6mm (21.3%) and 2.0mm (37.7%) in SSV. One (1.4%) endovenous heat induced thrombus (EHIT) was observed. Further adverse events were: paresthesia 10/72 (13.9%), ecchymosis 1/72 (1.4%), lymphocele 1/72 (1.4%), hyperpigmentation 1/72 (1.4%). The mean postoperative pain intensity was 1.3 and 1.8 single doses of analgesics were administered. Normal physical activity was reached after 3d (1-21d). Conclusion: 1940nm-ELT using radial light application effectively eliminates the reflux in insufficient saphenous
Directory of Open Access Journals (Sweden)
Shuang Li
2014-01-01
Full Text Available Objective. The goal of this study was to investigate the therapeutic efficacy of 670 nm light-emitting diode (LED irradiation on the diabetic retinopathy (DR using hypoxic rhesus monkey choroid-retinal (RF/6A cells as the model system. Background Data. Treatment with light in the spectrum from red to near-infrared region has beneficial effect on tissue injury and 670 nm LED is currently under clinical investigation for retinoprotective therapy. Methods. Studies were conducted in the cultured cells under hypoxia treated by cobalt chloride (CoCl2. After irradiation by 670 nm LED with different power densities, cell viability, cytochrome C oxidase activity, and ATP concentration were measured. Results. The irradiation of 670 nm LED significantly improved cell viability, cytochrome C oxidase activity, and ATP concentration in the hypoxia RF/6A cells. Conclusion. 670 nm LED irradiation could recover the hypoxia damage caused by CoCl2. Photobiomodulation of 670 nm LED plays a potential role for the treatment of diabetic retinopathy.
International Nuclear Information System (INIS)
Bhatnagar, Parijat; Panda, Siddhartha; Edleman, Nikki L.; Allen, Scott D.; Wise, Richard; Mahorowala, Arpan
2007-01-01
We have developed a reactive ion etch (RIE) process in borophosphosilicate glass (BPSG) for 150 nm line-and-space features, where line-edge roughness (LER) complemented with RIE lag becomes a major issue. Effect of flow rates and carbon-to-fluorine atomic ratio of fluorohydrocarbon gases was utilized to achieve acceptable process window allowing lower radio frequency powers therefore obtaining acceptable LER and RIE lag in the high-resolution features etched into BPSG
Sahay, Peeyush; Scherrer, Susan T.; Wang, Chuji
2013-01-01
The weak absorption spectra of isoprene and acetone have been measured in the wavelength range of 261–275 nm using cavity ringdown spectroscopy. The measured absorption cross-sections of isoprene in the wavelength region of 261–266 nm range from 3.65 × 10−21 cm2·molecule−1 at 261 nm to 1.42 × 10−21 cm2·molecule−1 at 266 nm; these numbers are in good agreement with the values reported in the literature. In the longer wavelength range of 270–275 nm, however, where attractive applications using a single wavelength compact diode laser operating at 274 nm is located, isoprene has been reported in the literature to have no absorption (too weak to be detected). Small absorption cross-sections of isoprene in this longer wavelength region are measured using cavity ringdown spectroscopy for the first time in this work, i.e., 6.20 × 10−23 cm2·molecule−1 at 275 nm. With the same experimental system, wavelength-dependent absorption cross-sections of acetone have also been measured. Theoretical detection limits of isoprene and comparisons of absorbance of isoprene, acetone, and healthy breath gas in this wavelength region are also discussed. PMID:23803787
Odor, Alin A.; Violant, Deborah; Badea, Victoria; Gutknecht, Norbert
2016-03-01
Backgrounds: Er,Cr:YSGG (2780nm) and diode (940 nm) lasers can be used adjacent to the conventional periodontal treatment as minimally invasive non-surgical devices. Aim: To describe the short-term clinical outcomes by combining Er,Cr:YSGG (2780nm) and diode 940 nm lasers in non-surgical periodontal treatment. Materials and methods: A total of 10 patients with periodontal disease (mild, moderate, severe) - 233 teeth and 677 periodontal pockets ranging from 4 mm to 12 mm - were treated with Er,Cr:YSGG (2780nm) and diode (940 nm) lasers in adjunct to manual and piezoelectric scaling and root planning (SRP). Periodontal parameters such as mean probing depth (PD), mean clinical attachment level (CAL) and mean bleeding on probing (BOP) were evaluated at baseline and 6 months after the laser treatment using an electronic periodontal chart. Results: At baseline, the mean PD was 4.06 ± 1.06 mm, mean CAL was 4.56 ± 1.43 mm, and mean BOP was 43.8 ± 23.84 %. At 6 months after the laser supported periodontal treatments the mean PD was 2.6 ± 0.58 mm (p laser wavelengths in adjunct to SRP offers significant improvements of periodontal clinical parameters such as PD, CAL and BOP. Keywords: Laser supported periodontal treatment concept, Er,Cr:YSGG and diode 940nm lasers, Scaling and root planning, Minimally invasive non-surgical device
High-efficency stable 213-nm generation for LASIK application
Wang, Zhenglin; Alameh, Kamal; Zheng, Rong
2005-01-01
213nm Solid-state laser technology provides an alternative method to replace toxic excimer laser in LASIK system. In this paper, we report a compact fifth harmonic generation system to generate high pulse energy 213nm laser from Q-switched Nd:YAG laser for LASIK application based on three stages harmonic generation procedures. A novel crystal housing was specifically designed to hold the three crystals with each crystal has independent, precise angular adjustment structure and automatic tuning control. The crystal temperature is well maintained at ~130°C to improve harmonic generation stability and crystal operation lifetime. An output pulse energy 35mJ is obtained at 213nm, corresponding to total conversion efficiency ~10% from 1064nm pump laser. In system verification tests, the 213nm output power drops less than 5% after 5 millions pulse shots and no significant damage appears in the crystals.
Revealing the 1 nm/s Extensibility of Nanoscale Amorphous Carbon in a Scanning Electron Microscope
DEFF Research Database (Denmark)
Zhang, Wei
2013-01-01
In an ultra-high vacuum scanning electron microscope, the edged branches of amorphous carbon film (∼10 nm thickness) can be continuously extended with an eye-identifying speed (on the order of ∼1 nm/s) under electron beam. Such unusual mobility of amorphous carbon may be associated with deformation...... promoted by the electric field, which resulted from an inner secondary electron potential difference from the main trunk of carbon film to the tip end of branches under electron beam. This result demonstrates importance of applying electrical effects to modify properties of carbon materials. It may have...... positive implications to explore some amorphous carbon as electron field emission device. SCANNING 35: 261-264, 2013. © 2012 Wiley Periodicals, Inc....
PM Raman fiber laser at 1679 nm
DEFF Research Database (Denmark)
Svane, Ask Sebastian; Rottwitt, Karsten
2012-01-01
We demonstrate a PM Raman fiber laser emitting light at 1679 nm. The laser has an slope efficiency of 67 % and an output power of more than 275mWwith a 27 pm linewidth.......We demonstrate a PM Raman fiber laser emitting light at 1679 nm. The laser has an slope efficiency of 67 % and an output power of more than 275mWwith a 27 pm linewidth....
100-nm gate lithography for double-gate transistors
Krasnoperova, Azalia A.; Zhang, Ying; Babich, Inna V.; Treichler, John; Yoon, Jung H.; Guarini, Kathryn; Solomon, Paul M.
2001-09-01
The double gate field effect transistor (FET) is an exploratory device that promises certain performance advantages compared to traditional CMOS FETs. It can be scaled down further than the traditional devices because of the greater electrostatic control by the gates on the channel (about twice as short a channel length for the same gate oxide thickness), has steeper sub-threshold slope and about double the current for the same width. This paper presents lithographic results for double gate FET's developed at IBM's T. J. Watson Research Center. The device is built on bonded wafers with top and bottom gates self-aligned to each other. The channel is sandwiched between the top and bottom polysilicon gates and the gate length is defined using DUV lithography. An alternating phase shift mask was used to pattern gates with critical dimensions of 75 nm, 100 nm and 125 nm in photoresist. 50 nm gates in photoresist have also been patterned by 20% over-exposure of nominal 100 nm lines. No trim mask was needed because of a specific way the device was laid out. UV110 photoresist from Shipley on AR-3 antireflective layer were used. Process windows, developed and etched patterns are presented.
Achieving nanoscale horizontal separations in the standard 2 μm PolyMUMPS process
Elshurafa, Amro M.
2013-01-25
This paper shares with the research community how to achieve, effectively and easily, lateral submicron separations in the standard 2 lm PolyMUMPS process without any fabrication intervention or post-processing, based on the oxide sidewall spacer technique. Thousands of nanoseparations were created and successfully tested by visual inspection and by a simple capacitance measurement. The lateral separations attained were less than 440 nm and reached as low as 280 nm. To corroborate the findings, measurements were performed on different capacitors fabricated in different fabrication runs with consistent results. This is the first time that submicron lateral distances are reported in PolyMUMPS using the oxide spacer technique.
Koch, Peter; Bartschke, Juergen; L'huillier, Johannes A
2015-11-30
In this paper we report on the realization of a single-mode Q-switched Nd:YVO4 ring laser at 1342 nm. Unidirectional and single-mode operation of the ring laser is achieved by injection-locking with a continuous wave Nd:YVO4 microchip laser, emitting a single-frequency power of up to 40 mW. The ring laser provides a single-mode power of 13.9 W at 10 kHz pulse repetition frequency with a pulse duration of 18.2 ns and an excellent beam quality (M2 laser, a power of 8.7 W at 671 nm with a pulse duration of 14.8 ns and a beam propagation factor of M2 < 1.1 is obtained. The 671 nm radiation features a long-term spectral width of 75 MHz.
Influence of process parameters on threshold voltage and leakage current in 18nm NMOS device
Atan, Norani Binti; Ahmad, Ibrahim Bin; Majlis, Burhanuddin Bin Yeop; Fauzi, Izzati Binti Ahmad
2015-04-01
The process parameters are very crucial factor in the development of transistors. There are many process parameters that influenced in the development of the transistors. In this research, we investigate the effects of the process parameters variation on response characteristics such as threshold voltage (VTH) and sub-threshold leakage current (IOFF) in 18nm NMOS device. The technique to identify semiconductor process parameters whose variability would impact most on the device characteristic is realized through the process by using Taguchi robust design method. This paper presents the process parameters that influenced in threshold voltage (VTH) and sub-threshold leakage current (IOFF) which includes the Halo Implantation, Compensation Implantation, Adjustment Threshold voltage Implantation and Source/Drain Implantation. The design, fabrication and characterization of 18nm HfO2/TiSi2 NMOS device is simulated and performed via a tool called Virtual Wafer Fabrication (VWF) Silvaco TCAD Tool known as ATHENA and ATLAS simulators. These two simulators were combined with Taguchi L9 Orthogonal method to aid in the design and the optimization of the process parameters to achieve the optimum average of threshold voltage (VTH) and sub-threshold leakage current, (IOFF) in 18nm device. Results from this research were obtained; where Halo Implantation dose was identified as one of the process parameter that has the strongest effect on the response characteristics. Whereby the Compensation Implantation dose was identified as an adjustment factor to get the nominal values of threshold voltage VTH, and sub-threshold leakage current, IOFF for 18nm NMOS devices equal to 0.302849 volts and 1.9123×10-16 A/μm respectively. The design values are referred to ITRS 2011 prediction.
Intra-cavity upconversion to 631 nm of images illuminated by an eye-safe ASE source at 1550 nm.
Torregrosa, A J; Maestre, H; Capmany, J
2015-11-15
We report an image wavelength upconversion system. The system mixes an incoming image at around 1550 nm (eye-safe region) illuminated by an amplified spontaneous emission (ASE) fiber source with a Gaussian beam at 1064 nm generated in a continuous-wave diode-pumped Nd(3+):GdVO(4) laser. Mixing takes place in a periodically poled lithium niobate (PPLN) crystal placed intra-cavity. The upconverted image obtained by sum-frequency mixing falls around the 631 nm red spectral region, well within the spectral response of standard silicon focal plane array bi-dimensional sensors, commonly used in charge-coupled device (CCD) or complementary metal-oxide-semiconductor (CMOS) video cameras, and of most image intensifiers. The use of ASE illumination benefits from a noticeable increase in the field of view (FOV) that can be upconverted with regard to using coherent laser illumination. The upconverted power allows us to capture real-time video in a standard nonintensified CCD camera.
Visual evoked potentials in overt hypothyroid patients before and after achievement of euthyroidism
Directory of Open Access Journals (Sweden)
Aprajita
2017-01-01
Full Text Available Background: Visual evoked potential (VEP measures the time taken for visual stimulus to travel from the eye to the occipital cortex. Hypothyroidism affects the central nervous system (CNS through its role in gene expression, myelin production, axonal transportation, and neurotransmitters. Delay in the conduction of impulses results in abnormal VEP. Objective: Correlate the electrophysiological findings of VEP in newly diagnosed treatment-naive hypothyroid patients before and after 3 months of treatment and to find the correlation with serum thyroid-stimulating hormone (TSH levels. Materials and Methods: VEP was measured using Recorders and Medicare Systems Electromyograph Evoked Potential Mark II machine in 30 patients (serum TSH ≥10 mIU/L between 18 and 50 years of age who were followed up after 3 months of treatment. Results: The mean age (±standard deviation of the patients was 31.8 (±8.3 years. There was prolongation of VEP latencies which tends to decrease following hormone replacement therapy. It was found to be most significant for P100 (ms waveform (P < 0.001. The amplitude (P100-N75 mV which was decreased in hypothyroid patients showed improvement following achievement of euthyroidism. Significant positive correlation was found between P100, N75 latency and pretreatment serum TSH levels. Conclusion: Hypothyroid patients may have changes in the latencies and the amplitude of VEP which are reversible to a great extent with thyroxine replacement therapy. VEP thus acts as a dependable marker for CNS affection in thyroid diseases to detect subtle early changes and to assess the response to treatment in correlation with the clinical improvement.
Energy Technology Data Exchange (ETDEWEB)
Liu, Yiqing [Faculty of Geosciences and Environmental Engineering, Southwest Jiaotong University, Chengdu 611756 (China); Environmental Engineering and Science Program, University of Cincinnati, Cincinnati, OH 45221-0012 (United States); He, Xuexiang [Environmental Engineering and Science Program, University of Cincinnati, Cincinnati, OH 45221-0012 (United States); Fu, Yongsheng [Faculty of Geosciences and Environmental Engineering, Southwest Jiaotong University, Chengdu 611756 (China); Dionysiou, Dionysios D., E-mail: dionysios.d.dionysiou@uc.edu [Environmental Engineering and Science Program, University of Cincinnati, Cincinnati, OH 45221-0012 (United States)
2016-03-15
Highlights: • The most effective destruction of OTC by UV/PS was achieved at near neutral pH. • Deprotonated HOTC{sup −} had the highest second-order rate constant with SO{sub 4}·{sup −}. • Presence of HCO{sub 3}{sup −} or Cu{sup 2+} enhanced the removal of OTC in UV/PS system. • Total organic carbon was degraded slowly during the mineralization of OTC. • Studies on the degradation mechanism revealed four different reaction pathways. - Abstract: Oxytetracycline (OTC), an important broad-spectrum antibiotic, has been detected extensively in various environmental systems, which may have a detrimental impact on ecosystem and human health through the development of drug resistant bacteria and pathogens. In this study, the degradation of OTC was evaluated by UV-254 nm activated persulfate (PS). The observed UV fluence based pseudo first-order rate constant (k{sub obs}) was found to be the highest at near neutral pH conditions (pH 5.5–8.5). Presence of various natural water constituents had different effects on OTC degradation, with a significant enhancement in the presence of bicarbonate or Cu{sup 2+}. Limited elimination of total organic carbon (TOC) and PS was observed during the mineralization of OTC. Transformation byproducts in the presence and absence of hydroxyl radical scavenging agent tert-butanol (t-BuOH) were identified using ultra-high definition accurate-mass quadrupole time-of-flight liquid chromatography/mass spectrometer (LC-QTOF/MS). Potential OTC degradation mechanism was subsequently proposed revealing four different reaction pathways by SO{sub 4}·{sup −} reaction including hydroxylation (+16 Da), demethylation (−14 Da), decarbonylation (−28 Da) and dehydration (−18 Da). This study suggests that UV-254 nm/PS is a promising treatment technology for the control of water pollution caused by emerging contaminants such as OTC.
International Nuclear Information System (INIS)
Liu, Yiqing; He, Xuexiang; Fu, Yongsheng; Dionysiou, Dionysios D.
2016-01-01
Highlights: • The most effective destruction of OTC by UV/PS was achieved at near neutral pH. • Deprotonated HOTC"− had the highest second-order rate constant with SO_4·"−. • Presence of HCO_3"− or Cu"2"+ enhanced the removal of OTC in UV/PS system. • Total organic carbon was degraded slowly during the mineralization of OTC. • Studies on the degradation mechanism revealed four different reaction pathways. - Abstract: Oxytetracycline (OTC), an important broad-spectrum antibiotic, has been detected extensively in various environmental systems, which may have a detrimental impact on ecosystem and human health through the development of drug resistant bacteria and pathogens. In this study, the degradation of OTC was evaluated by UV-254 nm activated persulfate (PS). The observed UV fluence based pseudo first-order rate constant (k_o_b_s) was found to be the highest at near neutral pH conditions (pH 5.5–8.5). Presence of various natural water constituents had different effects on OTC degradation, with a significant enhancement in the presence of bicarbonate or Cu"2"+. Limited elimination of total organic carbon (TOC) and PS was observed during the mineralization of OTC. Transformation byproducts in the presence and absence of hydroxyl radical scavenging agent tert-butanol (t-BuOH) were identified using ultra-high definition accurate-mass quadrupole time-of-flight liquid chromatography/mass spectrometer (LC-QTOF/MS). Potential OTC degradation mechanism was subsequently proposed revealing four different reaction pathways by SO_4·"− reaction including hydroxylation (+16 Da), demethylation (−14 Da), decarbonylation (−28 Da) and dehydration (−18 Da). This study suggests that UV-254 nm/PS is a promising treatment technology for the control of water pollution caused by emerging contaminants such as OTC.
Bismuth-doped fibre amplifier operating between 1600 and 1800 nm
Energy Technology Data Exchange (ETDEWEB)
Firstov, S V; Alyshev, S V; Riumkin, K E; Mel' kumov, M A; Dianov, E M [Fiber Optics Research Center, Russian Academy of Sciences, Moscow (Russian Federation); Khopin, V F; Gurjanov, A N [G.G.Devyatykh Institute of Chemistry of High-Purity Substances, Russian Academy of Sciences, Nizhnii Novgorod (Russian Federation)
2015-12-31
We report the first bismuth-doped fibre amplifier operating between 1600 and 1800 nm, which utilises bidirectional pumping (co-propagating and counter-propagating pump beams) by laser diodes at a wavelength of 1550 nm. The largest gain coefficient of the amplifier is 23 dB, at a wavelength of 1710 nm. It has a noise figure of 7 dB, 3-dB gain bandwidth of 40 nm and gain efficiency of 0.1 dB mW{sup -1}. (letters)
DEFF Research Database (Denmark)
Jönsson, H.; Ryde, N.; Nissen, Poul Erik
2011-01-01
to clarify this situation by measuring the sulphur abundance in a sample of halo giants using two diagnostics: the S i triplet around 1045 nm and the [S i] line at 1082 nm. The latter of the two is not believed to be sensitive to non-LTE effects. We can thereby minimize the uncertainties in the diagnostic...... used and estimate the usefulness of the triplet for the sulphur determination in halo K giants. We will also be able to compare our sulphur abundance differences from the two diagnostics with the expected non-LTE effects in the 1045 nm triplet previously calculated by others. Methods. High...... diagnostics using tailored 1D model atmospheres and relying on non-LTE corrections from the litterature. Effects of convective inhomogeneities in the stellar atmospheres are investigated. Results. The sulphur abundances derived from both the [S i] line and the non-LTE corrected 1045 nm triplet favor a flat...
Wide modulation bandwidth terahertz detection in 130 nm CMOS technology
Nahar, Shamsun; Shafee, Marwah; Blin, Stéphane; Pénarier, Annick; Nouvel, Philippe; Coquillat, Dominique; Safwa, Amr M. E.; Knap, Wojciech; Hella, Mona M.
2016-11-01
Design, manufacturing and measurements results for silicon plasma wave transistors based wireless communication wideband receivers operating at 300 GHz carrier frequency are presented. We show the possibility of Si-CMOS based integrated circuits, in which by: (i) specific physics based plasma wave transistor design allowing impedance matching to the antenna and the amplifier, (ii) engineering the shape of the patch antenna through a stacked resonator approach and (iii) applying bandwidth enhancement strategies to the design of integrated broadband amplifier, we achieve an integrated circuit of the 300 GHz carrier frequency receiver for wireless wideband operation up to/over 10 GHz. This is, to the best of our knowledge, the first demonstration of low cost 130 nm Si-CMOS technology, plasma wave transistors based fast/wideband integrated receiver operating at 300 GHz atmospheric window. These results pave the way towards future large scale (cost effective) silicon technology based terahertz wireless communication receivers.
International Nuclear Information System (INIS)
Wang, Yuxin; Wen, Wenhui; Wang, Kai; Wang, Ke; Zhai, Peng; Qiu, Ping
2016-01-01
1700-nm window has been demonstrated to be a promising excitation window for deep-tissue multiphoton microscopy (MPM). Long working-distance water immersion objective lenses are typically used for deep-tissue imaging. However, absorption due to immersion water at 1700 nm is still high and leads to dramatic decrease in signals. In this paper, we demonstrate measurement of absorption spectrum of deuterium oxide (D 2 O) from 1200 nm to 2600 nm, covering the three low water-absorption windows potentially applicable for deep-tissue imaging (1300 nm, 1700 nm, and 2200 nm). We apply this measured result to signal enhancement in MPM at the 1700-nm window. Compared with water immersion, D 2 O immersion enhances signal levels in second-harmonic generation imaging, 3-photon fluorescence imaging, and third-harmonic generation imaging by 8.1, 24.8, and 24.7 times with 1662-nm excitation, in good agreement with theoretical calculation based on our absorption measurement. This suggests D 2 O a promising immersion medium for deep-tissue imaging
A single-channel 10-bit 160 MS/s SAR ADC in 65 nm CMOS
International Nuclear Information System (INIS)
Lu Yuxiao; Sun Lu; Li Zhe; Zhou Jianjun
2014-01-01
This paper demonstrates a single-channel 10-bit 160 MS/s successive-approximation-register (SAR) analog-to-digital converter (ADC) in 65 nm CMOS process with a 1.2 V supply voltage. To achieve high speed, a new window-opening logic based on the asynchronous SAR algorithm is proposed to minimize the logic delay, and a partial set-and-down DAC with binary redundancy bits is presented to reduce the dynamic comparator offset and accelerate the DAC settling. Besides, a new bootstrapped switch with a pre-charge phase is adopted in the track and hold circuits to increase speed and reduce area. The presented ADC achieves 52.9 dB signal-to-noise distortion ratio and 65 dB spurious-free dynamic range measured with a 30 MHz input signal at 160 MHz clock. The power consumption is 9.5 mW and a core die area of 250 × 200 μm 2 is occupied. (semiconductor integrated circuits)
Surin, A A; Borisenko, T E; Larin, S V
2016-06-01
We introduce an efficient, single-mode, linearly polarized continuous wave (CW) Raman fiber laser (RFL), operating at 1178 nm, with 65 W maximum output power and a narrow linewidth of 0.1 nm. Single-pass second-harmonic generation was demonstrated using a 20 mm long MgO-doped stoichiometric periodically polled lithium tantalate (MgO:sPPLT) crystal pumped by RFL radiation. Output power of 14 W at 589 nm with 22% conversion efficiency was achieved. The possibility of further power scaling is considered, as no crystal degradation was observed at these power levels.
Extreme ultraviolet resist materials for sub-7 nm patterning.
Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K; Giannelis, Emmanuel P
2017-08-14
Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.
Extreme ultraviolet resist materials for sub-7 nm patterning
Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K.; Giannelis, Emmanuel P.
2017-01-01
Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.
A 2--4 nm Linac Coherent Light Source (LCLS) using the SLAC linac
International Nuclear Information System (INIS)
Winick, H.; Bane, K.; Boyce, R.
1993-05-01
We describe the use of the SLAC linac to drive a unique, powerful. short wavelength Linac Coherent Light Source (LCLS). Operating as an FEL, lasing would be achieved in a single pass of a high peak current electron beam through a long undulator by self-amplified spontaneous emission (SASE). The main components are a high-brightness rf photocathode electron gun; pulse compressors; about 1/5 of the SLAC linac; and a long undulator with a FODO quadrupole focussing system. Using electrons below 8 GeV, the system would operate at wavelengths down to about 3 nm, producing ≥10 GW peak power in sub-ps pulses. At a 120 Hz rate the average power is ∼ 1 W
Schoenly, Joshua E.; Seka, Wolf; Romanos, Georgios; Rechmann, Peter
A desired outcome of scaling and root planing is the complete removal of calculus and infected root tissue and preservation of healthy cementum for rapid healing of periodontal tissues. Conventional periodontal treatments for calculus removal, such as hand instrument scaling and ultrasonic scaling, often deeply scrape the surface of the underlying hard tissue and may leave behind a smear layer. Pulsed lasers emitting at violet wavelengths (specifically, 380 to 400 nm) are a potential alternative treatment since they can selectively ablate dental calculus without ablating pristine hard tissue (i.e., enamel, cementum, and dentin). In this study, light and scanning electron microscopy are used to compare and contrast the efficacy of in vitro calculus removal for several conventional periodontal treatments (hand instruments, ultrasonic scaler, and Er:YAG laser) to calculus removal with a frequency-doubled Ti:sapphire (λ = 400 nm). After calculus removal, enamel and cementum surfaces are investigated for calculus debris and damage to the underlying hard tissue surface. Compared to the smear layer, grooves, and unintentional hard tissue removal typically found using these conventional treatments, calculus removal using the 400-nm laser is complete and selective without any removal of pristine dental hard tissue. Based on these results, selective ablation from the 400-nm laser appears to produce a root surface that would be more suitable for successful healing of periodontal tissues.
A 1,470 nm diode laser in stapedotomy: Mechanical, thermal, and acoustic effects.
Koenraads, Simone P C; de Boorder, Tjeerd; Grolman, Wilko; Kamalski, Digna M A
2017-08-01
Multiple laser systems have been investigated for their use in stapes surgery in patients with otosclerosis. The diode 1,470 nm laser used in this study is an attractive laser system because it is easily transported and relatively inexpensive in use. This wavelength has relative high absorption in water. This study aimed to investigate the mechanical, thermal, and acoustic effects of the diode 1,470 nm laser on a stapes in an inner ear model. Experiments were performed in an inner ear model including fresh frozen human stapes. High-speed imaging with frame rates up to 2,000 frames per second (f/s) was used to visualize the effects in the vestibule during fenestration of the footplate. A special high-speed color Schlieren technique was used to study thermal effects. The sound produced by perforation was recorded by a hydrophone. Single pulse settings of the diode 1,470 nm laser were 100 ms, 3 W. Diode 1,470 nm laser fenestration showed mechanical effects with small vapor bubbles and pressure waves pushed into the vestibule. Thermal imaging visualized an increase temperature underneath the stapes footplate. Acoustic effects were limited, but larger sounds levels were reached when vaporization bubbles arise and explode in the vestibule. The diode 1,470 nm laser highly absorbs in perilymph and is capable of forming a clear fenestration in the stapes. An overlapping laser pulse will increase the risk of vapor bubbles, pressure waves, and heating the vestibule. As long as we do not know the possible damage of these effects to the inner ear function, it seems advisable to use the laser with less potential harm. Lasers Surg. Med. 49:619-624, 2017. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.
International Nuclear Information System (INIS)
Turner, M.A.; Webb, R.B.
1981-01-01
Comparative mutagenesis and possible synergistic interaction between broad-spectrum (313- to 405-nm) near-ultraviolet (black light bulb [BLB]) radiation and 254-nm radiation were studied in Escherichia coli strains WP2 (wild type), WP2s (uvrA), WP10 (recA), WP6 (polA), WP6s (polA uvrA), WP100 (uvrA recA), and WP5 (lexA). With BLB radiation, strains WP2s and WP6s demonstrated a high level of mutagenesis, whereas strains WP2, WP5, WP6, WP10, and WP100 did not demonstrate significant mutagenesis. In contrast, 254-nm radiation was mutagenic in strains WP2, WP2s, WP6, and WP6s, but strains WP5, WP10, and WP100 were not significantly mutated. The absence of mutagenesis by BLB radiation in lexA and recA strains WP10, WP5, and WP100 suggests that lex + rec + repair may play a major role in mutagenesis by both BLB and 254-nm radiation. The hypothesis that BLB radiation selectively inhibits rec + lex + repair was tested by sequential BLB-254 nm radiation. With strain WP2, a fluence of 30 J/m 2 at 254 nm induced trp + revertants at a frequency of 15 x 10 -6 . However, when 10 5 J/m 2 or more BLB radiation preceded the 254-nm exposure, no trp + revertants could be detected. A similar inhibition of 254-nm mutagenesis was observed with strain WP6 (polA). However, strains WP2s (uvrA) and WP6s (polA uvrA) showed enhanced 254-nm mutagenesis when a prior exposure to BLB radiation was given
75 W 40% efficiency single-mode all-fiber erbium-doped laser cladding pumped at 976 nm.
Kotov, L V; Likhachev, M E; Bubnov, M M; Medvedkov, O I; Yashkov, M V; Guryanov, A N; Lhermite, J; Février, S; Cormier, E
2013-07-01
Optimization of Yb-free Er-doped fiber for lasers and amplifiers cladding pumped at 976 nm was performed in this Letter. The single-mode fiber design includes an increased core diameter of 34 μm and properly chosen erbium and co-dopant concentrations. We demonstrate an all-fiber high power laser and power amplifier based on this fiber with the record slope efficiency of 40%. To the best of our knowledge, the achieved output power of 75 W is the highest power reported for such lasers.
270 nm Pseudomorphic Ultraviolet Light-Emitting Diodes with Over 60 mW Continuous Wave Output Power
Grandusky, James R.; Chen, Jianfeng; Gibb, Shawn R.; Mendrick, Mark C.; Moe, Craig G.; Rodak, Lee; Garrett, Gregory A.; Wraback, Michael; Schowalter, Leo J.
2013-03-01
In this letter, the achievement of over 60 mW output power from pseudomorphic ultraviolet light-emitting diodes in continuous wave operation is reported. Die thinning and encapsulation improved the photon extraction efficiency to over 15%. Improved thermal management and a high characteristic temperature resulted in a low thermal rolloff up to 300 mA injection current with an output power of 67 mW, an external quantum efficiency (EQE) of 4.9%, and a wall plug efficiency (WPE) of 2.5% for a single-chip device emitting at 271 nm in continuous wave operation.
Sub–100-nm metafluorophores with digitally tunable optical properties self-assembled from DNA
Woehrstein, Johannes B.; Strauss, Maximilian T.; Ong, Luvena L.; Wei, Bryan; Zhang, David Y.; Jungmann, Ralf; Yin, Peng
2017-01-01
Fluorescence microscopy allows specific target detection down to the level of single molecules and has become an enabling tool in biological research. To transduce the biological information to an imageable signal, we have developed a variety of fluorescent probes, such as organic dyes or fluorescent proteins with different colors. Despite their success, a limitation on constructing small fluorescent probes is the lack of a general framework to achieve precise and programmable control of critical optical properties, such as color and brightness. To address this challenge, we introduce metafluorophores, which are constructed as DNA nanostructure–based fluorescent probes with digitally tunable optical properties. Each metafluorophore is composed of multiple organic fluorophores, organized in a spatially controlled fashion in a compact sub–100-nm architecture using a DNA nanostructure scaffold. Using DNA origami with a size of 90 × 60 nm2, substantially smaller than the optical diffraction limit, we constructed small fluorescent probes with digitally tunable brightness, color, and photostability and demonstrated a palette of 124 virtual colors. Using these probes as fluorescent barcodes, we implemented an assay for multiplexed quantification of nucleic acids. Additionally, we demonstrated the triggered in situ self-assembly of fluorescent DNA nanostructures with prescribed brightness upon initial hybridization to a nucleic acid target. PMID:28691083
Mezzana, Paolo; Valeriani, Maurizio; Valeriani, Roberto
2016-11-01
In this study were described the results, by tridimensional imaging evaluation, of the new "Combined Fractional Resurfacing" technique with the first fractional laser that overtakes the limits of traditional ablative, nonablative fractional resurfacing by combining CO 2 ablative and GaAs nonablative lasers. These two wavelengths can work separately or in a mixed modality to give the best treatment choice to all the patients. In this study, it is demonstrated that the simultaneous combination of the CO 2 wavelength (10600 nm) and GaAs wavelength (1540 nm) reduced the downtime, reduced pain during the treatment, and produced better results on fine wrinkles reduction and almost the same results on pigmentation as seen with 3D analysis by Antera (Miravex).
Diode-pumped continuous-wave eye-safe Nd:YAG laser at 1415 nm.
Lee, Hee Chul; Byeon, Sung Ug; Lukashev, Alexei
2012-04-01
We describe the output performance of the 1415 nm emission in Nd:YAG in a plane-concave cavity under traditional pumping into the 4F5/2 level (808 nm) and direct in-band pumping into the 4F3/2 level (885 nm). An end-pumped Nd:YAG laser yielded maximum cw output power of 6.3 W and 4.2 W at 885 nm and 808 nm laser diode (LD) pumping, respectively. To the best of our knowledge, this is the highest output power of a LD-pumped 1415 nm laser.
Investigation of a Pulsed 1550 nm Fiber Laser System (Briefing Charts)
2016-02-14
Pump dump and splice Pump dump and splice MFA 976 nm 15 W TFB TFB Pump dump and splice Waveform shaping Delay Power meter...filter 976 nm 7.6 W TFB WDM Pump dump and splice Pump dump and splice MFA 976 nm 15 W TFB TFB Pump dump and splice Waveform shaping...Multimode fiber to OSA or photodiode EOM EOM ASE filter ASE filter 976 nm 7.6 W TFB
Design of an 1800nm Raman amplifier
DEFF Research Database (Denmark)
Svane, Ask Sebastian; Rottwitt, Karsten
2013-01-01
We present the experimental results for a Raman amplifier that operates at 1810 nm and is pumped by a Raman fiber laser at 1680 nm. Both the pump laser and the Raman amplifier is polarization maintaining. A challenge when scaling Raman amplifiers to longer wavelengths is the increase...... in transmission loss, but also the reduction in the Raman gain coefficient as the amplifier wavelength is increased. Both polarization components of the Raman gain is characterized, initially for linearly co-polarized signal and pump, subsequently linearly polarized orthogonal signal and pump. The noise...
Sugarcane bagasse hydrolysate as a potential feedstock for red pigment production by Monascus ruber
DEFF Research Database (Denmark)
Terán Hilares, Ruly; de Souza, Rebeca Andrade; Marcelino, Paulo Franco
2018-01-01
condition (7.45 UA490nm). By using SCB hydrolysate-based medium, the highest red pigment production (18.71 AU490nm) was achieved under dark condition and the glucose and cellobiose present in the hydrolysate were metabolized. SCB enzymatic hydrolysate was demonstrated to be a promising carbon source...
Energy Technology Data Exchange (ETDEWEB)
Rubio, Laura [Grup de Mutagènesi, Departament de Genètica i de Microbiologia, Facultat de Biociències, Universitat Autònoma de Barcelona, Bellaterra (Spain); El Yamani, Naouale [Health Effects Laboratory-MILK, NILU-Norwegian Institute for Air Research, Kjeller (Norway); Kazimirova, Alena [Department of Biology, Slovak Medical University, Bratislava (Slovakia); Dusinska, Maria, E-mail: maria.dusinska@nilu.no [Health Effects Laboratory-MILK, NILU-Norwegian Institute for Air Research, Kjeller (Norway); Marcos, Ricard, E-mail: ricard.marcos@uab.es [Grup de Mutagènesi, Departament de Genètica i de Microbiologia, Facultat de Biociències, Universitat Autònoma de Barcelona, Bellaterra (Spain); CIBER Epidemiología y Salud Pública, Instituto de Salud Carlos III, Madrid (Spain)
2016-04-15
Although there is an important set of data showing potential genotoxic effects of nanomaterials (NMs) at the DNA (comet assay) and chromosome (micronucleus test) levels, few studies have been conducted to analyze their potential mutagenic effects at gene level. We have determined the ability of multi-walled carbon nanotubes (MWCNT, NM401), to induce mutations in the HPRT gene in Chinese hamster lung (V79) fibroblasts. NM401, characterized in the EU NanoGenotox project, were further studied within the EU Framework Programme Seven (FP7) project NANoREG. From the proliferation assay data we selected a dose-range of 0.12 to 12 µg/cm{sup 2} At these range we have been able to observe significant cellular uptake of MWCNT by using transmission electron microscopy (TEM), as well as a concentration-dependent induction of intracellular reactive oxygen species. In addition, a clear concentration-dependent increase in the induction of HPRT mutations was also observed. Data support a potential genotoxic/ carcinogenic risk associated with MWCNT exposure. - Highlights: • MWCNT were tested in V79 cells. • Cellular uptake of MWCNT was detected using TEM. • Intracellular ROS induction was observed after MWCNT exposure. • MWCNT induced a concentration-dependent increase of HPRT mutations.
International Nuclear Information System (INIS)
Rubio, Laura; El Yamani, Naouale; Kazimirova, Alena; Dusinska, Maria; Marcos, Ricard
2016-01-01
Although there is an important set of data showing potential genotoxic effects of nanomaterials (NMs) at the DNA (comet assay) and chromosome (micronucleus test) levels, few studies have been conducted to analyze their potential mutagenic effects at gene level. We have determined the ability of multi-walled carbon nanotubes (MWCNT, NM401), to induce mutations in the HPRT gene in Chinese hamster lung (V79) fibroblasts. NM401, characterized in the EU NanoGenotox project, were further studied within the EU Framework Programme Seven (FP7) project NANoREG. From the proliferation assay data we selected a dose-range of 0.12 to 12 µg/cm 2 At these range we have been able to observe significant cellular uptake of MWCNT by using transmission electron microscopy (TEM), as well as a concentration-dependent induction of intracellular reactive oxygen species. In addition, a clear concentration-dependent increase in the induction of HPRT mutations was also observed. Data support a potential genotoxic/ carcinogenic risk associated with MWCNT exposure. - Highlights: • MWCNT were tested in V79 cells. • Cellular uptake of MWCNT was detected using TEM. • Intracellular ROS induction was observed after MWCNT exposure. • MWCNT induced a concentration-dependent increase of HPRT mutations.
Bernstein, Eric F; Bhawalkar, Jay; Clifford, Joan; Hsia, James
2010-11-01
Multi-colored and even black tattoos often require more than one wavelength to remove the target pigment. The authors report here a novel alexandrite laser with two Nd:YAG laser handpieces pumped by the alexandrite treatment beam enabling the delivery of three wavelengths from a single device. To describe and evaluate the effectiveness of a novel Q-switched laser-pumped laser for treating tattoos. Twenty tattoos in 14 subjects were treated at four-week intervals using a combination of available wavelengths (532, 755 and 1064 nm) as determined by the treating physician. Digital cross-polarized photographs were taken before treatment and two months following the fourth and final treatment. Photographs were evaluated by three physician observers blinded as to the treatment condition and rated for clearance by the following scale: 1 = > 95 percent, 2 = 76-95 percent, 3 = 51-75 percent, 4 = 26-50 percent and 5 = 0-25 percent clearance. The average clearance score was 3.1, in the 51-75 percent range, two months following four treatments. No scarring, hyper- or hypopigmentation was noted on post-treatment photographs or by the treating physician. The alexandrite and alexandrite-pumped 532 nm and 1064 nm Q-switched lasers are effective for removing decorative tattoos, and represents the first commercial laser with laser-pumped, laser handpieces.
Majid, Mohammed Abdul
2015-11-12
We report on the first demonstration of InGaP/InAlGaP based orange semiconductor laser (OSL) and yellow superluminescent diode (YSLD) emitting at a wavelength of 608nm and 583nm respectively. The total output power of YSLD is ∼4.5mW which is the highest ever reported power on this material system at room-temperature.
Photorefractive effect at 775 nm in doped lithium niobate crystals
Energy Technology Data Exchange (ETDEWEB)
Nava, G.; Minzioni, P.; Cristiani, I.; Degiorgio, V. [Department of Electrical, Computer, and Biomedical Engineering, and CNISM, University of Pavia, 27100 Pavia (Italy); Argiolas, N.; Bazzan, M.; Ciampolillo, M. V.; Pozza, G.; Sada, C. [Physics and Astronomy Departement, University of Padova, 35131 Padova (Italy)
2013-07-15
The photorefractive effect induced by 775-nm laser light on doped lithium niobate crystals is investigated by the direct observation in the far field of the transmitted-beam distortion as a function of time. Measurements performed at various Zr-doping concentrations and different light intensities show that the 775-nm light beam induces a steady-state photorefractive effect comparable to that of 532-nm light, but the observed build-up time of the photovoltaic field is longer by three-orders of magnitude. The 775-nm photorefractivity of lithium niobate crystals doped with 3 mol. % ZrO{sub 2} or with 5.5 mol. % MgO is found to be negligible.
A Sub-ppm Acetone Gas Sensor for Diabetes Detection Using 10 nm Thick Ultrathin InN FETs
Kao, Kun-Wei; Hsu, Ming-Che; Chang, Yuh-Hwa; Gwo, Shangjr; Yeh, J. Andrew
2012-01-01
An indium nitride (InN) gas sensor of 10 nm in thickness has achieved detection limit of 0.4 ppm acetone. The sensor has a size of 1 mm by 2.5 mm, while its sensing area is 0.25 mm by 2 mm. Detection of such a low acetone concentration in exhaled breath could enable early diagnosis of diabetes for portable physiological applications. The ultrathin InN epilayer extensively enhances sensing sensitivity due to its strong electron accumulation on roughly 5–10 nm deep layers from the surface. Platinum as catalyst can increase output current signals by 2.5-fold (94 vs. 37.5 μA) as well as reduce response time by 8.4-fold (150 vs. 1,260 s) in comparison with bare InN. More, the effect of 3% oxygen consumption due to breath inhalation and exhalation on 2.4 ppm acetone gas detection was investigated, indicating that such an acetone concentration can be analyzed in air. PMID:22969342
Evaluation of 405nm CW visible blue light as a means of inactivating Tulane Virus on Blueberries
Introduction: Visible blue light (405nm) is effective against bacteria but its potential as a nonthermal intervention for viruses on foods, such as berries that are prone to norovirus contamination has not been evaluated. Tulane virus (TV) is now a common human norovirus surrogate that can be propa...
Removing foxing stains from old paper at 157 nm
International Nuclear Information System (INIS)
Sarantopoulou, E.; Samardzija, Z.; Kobe, S.; Kollia, Z.; Cefalas, A.C.
2003-01-01
Using a molecular fluorine laser at 157 nm foxing stains were removed successfully from a 16th century old paper. Laser cleaning of stains and foxing from old paper manuscripts is far more effective at 157 nm in comparison to different wavelengths without leaving any yellowish after-effect on the paper. This is because at 157 nm illumination of old paper, complete bond breaking of all the organic molecules of the paper is taking place. Mass spectroscopy at 157 nm and for moderate laser intensities up to 1 mJ/cm 2 of old paper suffering from foxing indicate organic matter disintegration to small photofragments atomic, diatomic or triatomic, which are flying apart with supersonic speed. In addition high spatial resolution energy dispersive X-ray system (EDXS) analysis over the effected areas indicate the presence of iron, suggesting that biological activity is taking place preferentially in paper areas containing iron
Stimulation of DNA synthesis by 340nm/ 351nm UV laser irradiation
International Nuclear Information System (INIS)
Meldrum, R.A.; Wharton, C.W.
1991-01-01
During preliminary experiments designed to test the feasibility of using a 'caged' DNA break trapping agent, the authors observed a stimulation of incorporation of 3 H-thymidine into DNA when cells were irradiated with low doses (100-1000J/m 2 ) of 351nm UV laser irradiation. This wavelength is used to photolyse 'caged' dideoxynucleotides in our fast time course measurements of DNA repair in mammalian cells. The dose at which this stimulation was observed is well below that at which measurable damage is detected. (author)
Large-scale lithography for sub-500nm features
International Nuclear Information System (INIS)
Pelzer, R L; Steininger, T; Belier, Benoit; Julie, Gwenaelle
2006-01-01
The interest in micro- and nanotechnologies has grown rapidly in the last years. The applications are versatile and different techniques found its way into several research domains as optics, electronics, magnetism, fluidics, etc. In all of these fields integration of more and more functions on steadily decreasing device dimensions lead to an increase in structural density and feature size. Expensive and slow processes utilizing projection steppers or e-beam direct writer equipment are used to fabricate nm features today. A high throughput and cost effective method adapted on a standard mask aligner will be demonstrated, making features of below 300nm available on wafer-level. We will demonstrate results of 4 different resists exposed on a DUV proximity aligner and plasma etched for optical and biological applications in the sub-300nm range
Large-scale lithography for sub-500nm features
Energy Technology Data Exchange (ETDEWEB)
Pelzer, R L [Technology group, EV Group, DI Erich Thallner Str. 1, A-4780 Schaerding (Austria); Steininger, T [Technology group, EV Group, DI Erich Thallner Str. 1, A-4780 Schaerding (Austria); Belier, Benoit [CNRS, Institut d' Electronique Fondamentale, Universite Paris-Sud Bat 220, F- 91405 Orsay Cedex (France); Julie, Gwenaelle [CNRS, Institut d' Electronique Fondamentale, Universite Paris-Sud Bat 220, F- 91405 Orsay Cedex (France)
2006-04-01
The interest in micro- and nanotechnologies has grown rapidly in the last years. The applications are versatile and different techniques found its way into several research domains as optics, electronics, magnetism, fluidics, etc. In all of these fields integration of more and more functions on steadily decreasing device dimensions lead to an increase in structural density and feature size. Expensive and slow processes utilizing projection steppers or e-beam direct writer equipment are used to fabricate nm features today. A high throughput and cost effective method adapted on a standard mask aligner will be demonstrated, making features of below 300nm available on wafer-level. We will demonstrate results of 4 different resists exposed on a DUV proximity aligner and plasma etched for optical and biological applications in the sub-300nm range.
Extreme ultraviolet resist materials for sub-7 nm patterning
Li, Li
2017-06-26
Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore\\'s law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.
Metastable Magnesium fluorescence spectroscopy using a frequency-stabilized 517 nm laser
DEFF Research Database (Denmark)
He, Ming; Jensen, Brian B; Therkildsen, Kasper T
2009-01-01
We present a laser operating at 517 nm for our Magnesium laser-cooling and atomic clock project. A two-stage Yb-doped fiber amplifier (YDFA) system generates more than 1.5 W of 1034 nm light when seeded with a 15 mW diode laser. Using a periodically poled lithium niobate (PPLN) waveguide, we obta...... obtained more than 40 mW of 517 nm output power by single pass frequency doubling. In addition, fluorescence spectroscopy of metastable magnesium atoms could be used to stabilize the 517 nm laser to an absolute frequency within 1 MHz.......We present a laser operating at 517 nm for our Magnesium laser-cooling and atomic clock project. A two-stage Yb-doped fiber amplifier (YDFA) system generates more than 1.5 W of 1034 nm light when seeded with a 15 mW diode laser. Using a periodically poled lithium niobate (PPLN) waveguide, we...
High-power diode-side-pumped intracavity-frequency-doubled continuous wave 532 nm laser
International Nuclear Information System (INIS)
Zhang Yuping; Zhang Huiyun; Zhong Kai; Li Xifu; Wang Peng; Yao Jianquan
2007-01-01
An efficient and high-power diode-side-pumped cw 532 nm green laser based on a V-shaped cavity geometry, and capable of generating 22.7 W green radiation with optical conversion efficiency of 8.31%, has been demonstrated. The laser is operated with rms noise amplitude of less than 1% and with M 2 -parameter of about 6.45 at the top of the output power. This laser has the potential for scaling to much higher output power. (authors)
[Comparative investigation of underwater-LIBS using 532 and 1 064 nm lasers].
Song, Jiao-Jian; Tian, Ye; Lu, Yuan; Li, Ying; Zheng, Rong-Er
2014-11-01
With the hope of applying laser induced breakdown spectroscopy (LIBS) to the ocean applications, the laser energy at 532 and 1 064 nm wavelength with 3 and 40 mj respectively was used, which was near their breakdown threshold. Extensive experimental investigations of LIBS from CaCl2 water solution were carried out in this paper using different laser wavelengths of 532 and 1 064 nm. The obtained results show that compared with the 532 nm laser, the 1 064 nm laser can induce the plasma in water with higher emission intensity and longer lifetime, while the reproducibility of LIBS signal under 1 064 nm laser is poorer. On the other hand, due to the different attenuation ratios of 532 and 1 064 nm laser energies in water, the LIBS signal of 1 064 nm laser decreases a lot within the transmission distance range 2-5 cm, while LIBS signal of 532 nm remains the same, because that the wavelength of 532 nm lies in the "transmission window" of the water solution. This study will provide valuable design considerations for the development of LIBS-sea system in near future.
International Nuclear Information System (INIS)
Kim, Sam-Dong; Ko, Pil-Seok; Park, Kyoung-Seok
2013-01-01
Various material properties of the perhydropolysilazane spin-on dielectric (PHPS SOD) were examined and analyzed in this study as potential inter-layer dielectrics (ILDs) integrated for Si circuits of 30 nm technology or beyond. The spin-coated PHPS (18.5 wt%) layers converted at 650 °C showed comparable but less perfect thermal conversion to silica than the films converted at 1000 °C, however exhibiting excellent gap filling (15 nm gap opening, aspect ratio (AR) of ∼23) and planarization (degree of planarization (DOP) = ∼73% for 800 nm initial step height, cusp angle = ∼16°) sufficient for the Si integration. PHPS SOD layers cured at 650 °C were integrated ILDs in the 0.18 µm Si front-end-of-the-line process, and the estimated hot-carrier reliability of n-channel metal oxide semiconductor transistors (ten years at a drain voltage of 1.68 V) had no significant difference from that of the transistors integrated with the conventional borophosposilicate glass ILDs. A modified contact pre-cleaning scheme using N 2 O plasma treatment also produced uniform and stable contact chain resistances from the SOD ILDs. (paper)
Continuous-wave laser operation at 743 and 753 nm based on a diode-pumped c-cut Pr:YAlO3 crystal
Lin, Xiuji; Huang, Xiaoxu; Liu, Bin; Xu, Bin; Xu, Huiying; Cai, Zhiping; Xu, Xiaodong; Li, Dongzhen; Liu, Jian; Xu, Jun
2018-02-01
We report on blue-diode-pumped continuous-wave Pr:YAlO3 (YAP) crystal lasers. Using a b-cut sample, a maximum output power of 181 mW is achieved at ∼747 nm with slope efficiency of 12.7% with respect to the absorbed power. Using a c-cut sample, a dual-wavelength laser at ∼743 and ∼753 nm is obtained with a total maximum output power of 72 mW by using the blue diode pumping, for the first time to our knowledge. These laser emissions are all linearly polarized and M2 factors of these output laser beams are also measured. YAP is experimentally verified to be one of effective oxide hosts for Pr-doped visible laser operation besides its fluoride counterparts.
Leconte, Baptiste; Gilles, Hervé; Robin, Thierry; Cadier, Benoit; Laroche, Mathieu
2018-04-16
We present the first frequency-doubled neodymium-doped fiber laser generating multi-watt CW power near 450 nm. A bow-tie resonator incorporating a LBO nonlinear crystal is integrated within a Nd-doped fiber laser emitting near 900 nm. This scheme achieves an IR to blue conversion efficiency close to 55% without any active control of the internal resonant cavity. As a result, up to 7.5 W of linearly-polarized blue power is generated, with beam quality factors M x 2 ~1.0 and M y 2 ~1.5. A simple numerical model has been developed to optimize and analyse the IR to blue conversion efficiency in the resonant cavity. Performance limitations and prospects for further improvements are discussed.
Optical gain at 650 nm from a polymer waveguide with dye-doped cladding
Reilly, M. A.; Coleman, B.; Pun, E. Y. B.; Penty, R. V.; White, I. H.; Ramon, M.; Xia, R.; Bradley, D. D. C.
2005-12-01
Signal amplification at the polymer optical fiber low-loss window of 650 nm is reported in an SU8 rib waveguide coated with Rhodamine-640 doped poly(methyl methacrylate). A signal beam is end-fired into the facet of a 7×100μm waveguide and amplified by top pumping of the 2-μm-thick cladding region with a pulsed pump source focused into a 9-mm-long stripe. A gain of 14dB and a minimum signal-to-noise ratio of around 2 dB are achieved in a 15-mm-long device with a low threshold pump intensity of 0.25μJ/mm2, which is an order of magnitude lower than previously reported.
Safety and Efficacy of a 1550nm/1927nm Dual Wavelength Laser for the Treatment of Photodamaged Skin.
Narurkar, Vic A; Alster, Tina S; Bernstein, Eric F; Lin, Tina J; Loncaric, Anya
2018-01-01
BACKGROUND: Fractional photothermolysis (FP) is a popular treatment option for photodamaged skin and addresses shortcomings of ablative skin resurfacing and nonablative dermal remodeling. Previous studies have demonstrated that FP using the 1550nm wavelength has led to improvement of ultrastructural changes and clinical effects associated with photodamaged skin in the deeper dermal structures, while treatment with the 1927nm wavelength has shown clinical effects in the superficial dermis. Both wavelengths produce precise microscopic treatment zones (MTZs) in the skin. The two wavelengths used in combination may optimize the delivery of fractional nonablative resurfacing intended for dermal and epidermal coagulation of photodamage skin. OBJECTIVES: To evaluate the safety and efficacy of a 1550/1927 Laser System (Fraxel Dual, Solta), using both 1550nm and 1927nm wavelengths in combination for treatment of facial and non-facial photodamage. METHODS: Prospective, multi-center, post-market study in subjects with clinically identifiable photodamage (N=35) (Fitzpatrick skin types I-IV). Both 1550nm and 1927nm wavelengths were used at each treatment visit. Investigator assessment of the affected area(s) occurred at one week, one month and 3 months after a series of up to four treatments. Severity of adverse events (AEs) were assessed using a 4-point scale (where 0=none and 3=marked). Assessments included erythema, edema, hyperkeratosis, hyper- and hypo-pigmentation, scarring, itchiness, dryness, and flaking. Severity of photoaging, fine and coarse wrinkling, mottled hyperpigmentation, sallowness, and tactile roughness at baseline was assessed using the same scale. Investigators and subjects assessed overall appearance of photodamage and pigmentation based on a 5-point quartile improvement scale at all follow-up visits (where 0=no improvement and 4=very significant improvement [76%-100%]). RESULTS: There was a positive treatment effect at all study visits, with moderate
Scalability of Ferroelectric Tunnel Junctions to Sub-100 nm Dimensions
Abuwasib, Mohammad
The ferroelectric tunnel junction (FTJ) is an emerging low-power device that has potential application as a non-volatile memory and logic element in beyond-CMOS circuits. As a beyond- CMOS device, it is necessary to investigate the device scaling limit of FTJs to sub-50 nm dimensions. In addition to the fabrication of scaled FTJs, the integration challenges and CMOS compatibility of the device needs to be addressed. FTJ device performance including ON/OFF ratio, memory retention time, switching endurance, write /read speed and power dissipation need to be characterized for benchmarking of this emerging device, compared to its charge-based counterparts such as DRAM, NAND/NOR flash, as well as to other emerging memory devices. In this dissertation, a detailed investigation of scaling of BaTiO3 (BTO) based FTJs was performed, from full-scale integration to electrical characterization. Two types of FTJs with La0.67Sr0.33MnO3 (LSMO) and SrRuO3 (SRO) bottom electrodes were investigated in this work namely; Co/BTO/LSMO and Co/BTO/SRO. A CMOS compatible fabrication process for integration of Co/BTO/LSMO FTJ devices ( 3x3 microm 2) was demonstrated for the first time using standard photolithography and self-aligned RIE technique. The fabricated FTJ device showed switching behavior, however, degradation of the LSMO contact was observed during the fabrication process. A detailed investigation of the contact properties of bottom electrode materials (LSMO, SRO) for BTO-based FTJs was performed. The process and thermal stability of different contact overlayers (Ti, Pt) was explained to understand the nature of the ohmic contacts for metal to SRO and LSMO layers. Noble metals-to-SRO was found to form the most stable contacts for FTJs. Based on this study, a systematic scalability study of Co/BTO/SRO FTJs was carried out from micron ( 3x3 microm2) to submicron ( 200x200 nm2) dimensions. Positive UP Negative Down (PUND) measurement confirms the ferroelectric properties of the BTO
International Nuclear Information System (INIS)
Katsifis, A.; Mattner, F.; Dikic, B.; Barlin, G.
2004-01-01
The pyridazines 3-acetamidomethyl-6-chloro-2-(4'-iodophenyl)imidazo[1,2-b]pyridazine 1 (IC 50 = 1.6 nM) and 3-benzamidomethyl-6-iodo-2-(4'-t-butylphenyl)imidazo[1,2-b] pyridazine 2 (IC 50 = 4.2 nM), are high affinity and selective ligands for the peripheral benzodiazepine receptors (PBR) compared to the central benzodiazepine counterparts. The [ 123 I]1 and [ 123 I]2 labelled analogues of these compounds were subsequently synthesised for the potential study of the PBR in vivo using SPECT. Radioiodination of [ 123 I]1 was achieved by iododestannylation of the corresponding tributyl tin precursor with Na[ 123 I] in the presence of peracetic acid or chloramine-T and the product isolated by C-18 RP HPLC. Radioiodination of [ 123 I]2 was achieved by copper assisted bromine [ 123 I]iodine exchange of the corresponding bromo precursor in the presence of acetic acid and sodium bisulfate as reducing agent at 200 C. Purification of the crude products were achieved by semi-preparative C-18 RP HPLC to give the products in radiochemical yields > 90%. The products were obtained in > 97% chemical and radiochemical purity and with specific activities > 180 GBq/μmol. (orig.)
Hartnick, Christopher J; Boseley, Mark E; Franco, Ramon A; Cunningham, Michael J; Pransky, Seth
2007-02-01
To report preliminary results regarding the safety and efficacy of the 585-nm pulsed-dye laser (PDL) for the treatment of juvenile-onset recurrent respiratory papillomatosis (JORRP) in the pediatric population. Prospective longitudinal cohort study. Two pediatric otolaryngology referral centers. Twenty-three pediatric patients ranging in age from 6 months to 17 years. The 585-nm PDL was used for at least 1 treatment on each of these patients to treat JORRP of the true vocal folds or anterior commissure. Complications from the use of the 585-nm PDL in the treatment of JORRP. There was no evidence of anterior commissure webbing or true vocal fold scarring in this group of 23 patients followed up for 3 months to 1 year. The 585-nm PDL seems to be a safe instrument for treatment of JORRP. There is the potential that improved voice outcomes may be apparent when compared with traditional therapies because the vocal fold epithelium seems to be unharmed when treated with this method. Furthermore, the lack of epithelial damage incurred by the 585-nm PDL should enable more aggressive surgical excision of anterior commissure disease. Further prospective longitudinal studies examining voice outcomes are needed.
Continuous-wave ceramic Nd:YAG laser at 1123 nm
International Nuclear Information System (INIS)
Zhang, S S; Wang, Q P; Zhang, X Y; Cong, Z H; Fan, S Z; Liu, Z J; Sun, W J
2009-01-01
Ceramic Nd:YAG (cNd:YAG) materials are employed to generate 1123-nm laser. A fiber-coupled continuous-wave (CW) 808-nm diode laser is used as the pumping source. With an incident diode power of 26.1 W, a CW output power of up to 10.8 W is obtained with a 10-mm-long ceramic Nd:YAG rod (1.0 at.%-Nd-doped). The conversion efficiency from diode power to 1123-nm laser power is 41.4%. The laser performance of another 10-mm-long cNd:YAG rod with a Nd-doping concentration of 0.6 at.% is studied as a comparison
Energy Technology Data Exchange (ETDEWEB)
Bourzeix, S
1995-01-15
The subject of this thesis is the construction of an experimental set-up, and in particular of a tunable continuous-wave laser at 205 nm, for the measurement of the ground state Lamb shift in atomic hydrogen. Chapter 1 deals with the Lamb shift from a historical point of view, and with the interest of its measurement, for metrology and test of quantum electrodynamics. Chapter 2 is devoted to the theory of the hydrogen atom. The principle of the experiment is based on the comparison of two frequencies which are in a ratio of 4: those of the two-photon transitions of 2S-6S or 2S-6D and 1S-3S. Chapter 3 describes the experimental set-up used to measure the 2S-6D transition which is excited by a titanium-sapphire laser at 820 nm. The 205 nm light required to excite the 1S-3S transition is generated by two frequency-doubling of the titanium-sapphire laser, made in non-linear crystals placed in enhancement cavities. Chapter 4 is entirely devoted to the frequency-doubling. After a recall of non-linear optics, the enhancement cavities are described in detail, as well as the results we achieved. At last chapter 5 describes the research for a signal on the 1S-3S transition: the construction of a ground state atomic beam, and the development of the detection system. This work has led to a preliminary measurement of the ground state Lamb shift in atomic hydrogen: L(1S) = 8172.850 (174) MHz whose result is in very good agreement with both the previous measurements and the most recent theoretical results. (author)
100-nm thick single-phase wurtzite BAlN films with boron contents over 10%
Li, Xiaohang; Wang, Shuo; Liu, Hanxiao; Ponce, Fernando A.; Detchprohm, Theeradetch; Dupuis, Russell D.
2017-01-01
Growing thicker BAlN films while maintaining single-phase wurtzite structure and boron content over 10% has been challenging. In this study, we report on the growth of 100 nm-thick single-phase wurtzite BAlN films with boron contents up to 14.4% by MOCVD. Flow-modulated epitaxy was employed to increase diffusion length of group-III atoms and reduce parasitic reactions between the metalorganics and NH3. A large growth efficiency of ∼2000 μm mol−1 was achieved as a result. Small B/III ratios up to 17% in conjunction with high temperatures up to 1010 °C were utilized to prevent formation of the cubic phase and maintain wurtzite structure.
100-nm thick single-phase wurtzite BAlN films with boron contents over 10%
Li, Xiaohang
2017-01-11
Growing thicker BAlN films while maintaining single-phase wurtzite structure and boron content over 10% has been challenging. In this study, we report on the growth of 100 nm-thick single-phase wurtzite BAlN films with boron contents up to 14.4% by MOCVD. Flow-modulated epitaxy was employed to increase diffusion length of group-III atoms and reduce parasitic reactions between the metalorganics and NH3. A large growth efficiency of ∼2000 μm mol−1 was achieved as a result. Small B/III ratios up to 17% in conjunction with high temperatures up to 1010 °C were utilized to prevent formation of the cubic phase and maintain wurtzite structure.
Effect of 660 nm Light-Emitting Diode on the Wound Healing in Fibroblast-Like Cell Lines
Directory of Open Access Journals (Sweden)
Myung-Sun Kim
2015-01-01
Full Text Available Light in the red to near-infrared (NIR range (630–1000 nm, which is generated using low energy laser or light-emitting diode (LED arrays, was reported to have a range of beneficial biological effects in many injury models. NIR via a LED is a well-accepted therapeutic tool for the treatment of infected, ischemic, and hypoxic wounds as well as other soft tissue injuries in humans and animals. This study examined the effects of exposure to 660 nm red LED light at intensities of 2.5, 5.5, and 8.5 mW/cm2 for 5, 10, and 20 min on wound healing and proliferation in fibroblast-like cells, such as L929 mouse fibroblasts and human gingival fibroblasts (HGF-1. A photo illumination-cell culture system was designed to evaluate the cell proliferation and wound healing of fibroblast-like cells exposed to 600 nm LED light. The cell proliferation was evaluated by MTT assay, and a scratched wound assay was performed to assess the rate of migrating cells and the healing effect. Exposure to the 660 nm red LED resulted in an increase in cell proliferation and migration compared to the control, indicating its potential use as a phototherapeutic agent.
Sharpening of the 6.8 nm peak in an Nd:YAG laser produced Gd plasma by using a pre-formed plasma
Directory of Open Access Journals (Sweden)
Yong Tian
2016-03-01
Full Text Available For effective use of a laser-produced-plasma (LPP light source, an LPP is desired to emit a narrow spectral peak because the reflection spectrum of multilayer mirrors for guiding emission from the source is very narrow. While a Gd plasma has been studied extensively as an extreme ultraviolet (EUV light source at around 6.8 nm, where La/B4C multilayer is reported to have a high reflectivity with a bandwidth of about 0.6 %, all previous works using an Nd:YAG laser reported very broad spectra. This paper reports the first narrowing of the 6.8 nm peak in the case of using an Nd:YAG laser to generate a Gd plasma by using a pre-pulse. The best peak narrowing is observed when a pre-formed plasma is heated by a 1064 nm main laser pulse with a duration of 10 ns at the irradiation density of 4x 1011 W/cm2 at a delay time of 50 ns after the pre-pulse irradiation. The observed spectral width of about 0.3 nm is about one fifth of the value for no pre-formed plasma. The peak wavelength of the 6.8 nm band shifted to a longer wavelength side and the peak was broadened both for lower and higher laser irradiation density. It is discussed that this robustness of the peak position of the 6.8 nm Gd peak against temperature change is suitable to achieve a narrow bandwidth from an LPP generated on solid. The observed spectra are compared with those previously reported in various conditions.
Bargiela-Pérez, Patricia; González-Merchán, Jorge; Díaz-Sánchez, Rosa; Serrera-Figallo, Maria-Angeles; Volland, Gerd; Joergens, Martin; Gutiérrez-Pérez, Jose-Luis
2018-01-01
Background The aim of this study is to evaluate the resection of hyperplastic lesions on the buccal mucosa comparing the 532nm laser (KTP), versus diode 980nm laser, considering pain, scarring, inflammation and drug consumption that occurred postoperatively with each lasers. Material and Methods A prospective study of consecutive series of 20 patients in two groups that presents hyperplastic lesions on the buccal mucosa. The choice of the KTP laser or diode 980nm laser for the surgery was made randomly. The power used was 1.5W in both groups in a continuous wave mode with a 320 μm optical fiber. Parameters of pain, scarring, inflammation and consumption of drugs were recorded by a Numerical Rating Scale and evaluated postoperatively. These recordings were made the day of the surgery, 24 hours after, 14 and 28 days after. Results Pain and inflammation was light - moderate. The consumption of paracetamol was somewhat higher in the diode 980nm laser versus the KTP laser after 24 hours, although data was not statistically significant; significant differences were found after 28 days in regards to pain (p = 0.023) and inflammation (p = 0.023), but always in the absence parameter so we find no pain in both lasers. Scarring in the two types of laser showed no differences along the visits, with not detected scar retractable. Conclusions Although there is a slight histological difference regarding the KTP laser in the oral soft tissues for clinical use, both wavelengths are very suitable for excision of oral fibroma. Key words:Laser surgery, Laser therapy, oral surgery, soft tissue, 980 nm diode laser, 532 nm KTP laser. PMID:29274158
In situ growth monitoring of AlGaN/GaN distributed Bragg reflectors at 530 nm using a 633 nm laser
Energy Technology Data Exchange (ETDEWEB)
Wen Feng; Huang Lirong; Jiang Bo; Tong Liangzhu; Xu Wei; Liu Deming, E-mail: hlr5649@163.co [Wuhan National Laboratory for Optoelectronics, College of Opto-Electronics Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)
2010-09-15
The metal-organic chemical vapor deposition (MOCVD) growth of AlGaN/GaN distributed Bragg reflectors (DBR) with a reflection peak at 530 nm was in situ monitored using 633 nm laser reflectometry. Evolutions of in situ reflected reflectivity for different kinds of AlGaN/GaN DBR were simulated by the classical transfer matrix method. Two DBR samples, which have the same parameters as the simulated structures, were grown by MOCVD. The simulated and experimental results show that it is possible to evaluate the DBR parameters from the envelope shape of the in situ reflectivity spectrum. With the help of the 633 nm laser reflectometry, a DBR light emitting diode (LED) was grown. The room temperature photoluminescence spectra show that the reflection peak of the DBR in the LED is within the design region. (semiconductor devices)
Frank, Milan; Jelínek, Michal; Kubeček, Václav; Ivleva, Lyudmila I.; Zverev, Petr G.; Smetanin, Sergei
2017-12-01
A lot of attention is currently focused on synchronously pumped, extra-cavity crystalline Raman lasers generating one or two Stokes Raman components in KGW or diamond Raman-active crystals, and also generating additional components of stimulated polariton scattering in lithium niobate crystal having both cubic and quadratic nonlinearities. In this contribution we report on generation of more than two Stokes components of stimulated Raman scattering with different Raman shifts in the all-solid-state, synchronously pumped, extra-cavity Raman laser based on the Raman-active a-cut BaWO4 crystal excited by a mode-locked, 220 nJ, 36 ps, 150 MHz diode sidepumped Nd:GdVO4 laser generating at the wavelength of 1063 nm. Excitation by the pumping radiation polarized along the BaWO4 crystal optical axis resulted in the Raman generation with not only usual (925cm - 1), but also additional (332cm - 1) Raman shift. Besides the 1180-nm first and 1323 nm second Stokes components with the Raman shift of 925cm - 1 from the 1063nm fundamental laser wavelength, we have achieved generation of the additional 1227 nm Raman component with different Raman shift of 332cm - 1 from the 1180nm component. At the 1227 nm component the strongest 12-times pulse shortening from 36ps down to 3ps was obtained due to shorter dephasing time of this additional Raman line (3ps for the 332-cm - 1 line instead of 6.5ps for the 925cm - 1 line). It has to be also noted that the 1225 nm generation is intracavity pumped by the 1179 nm first Stokes component resulting in the strongest pulse shortening close to the 332cm -1 line dephasing time (3ps). Slope efficiency of three Stokes components generation exceeded 20%.
Absorption spectrum of DNA for wavelengths greater than 300 nm
International Nuclear Information System (INIS)
Sutherland, J.C.; Griffin, K.P.
1981-01-01
Although DNA absorption at wavelengths greater than 300 nm is much weaker than that at shorter wavelengths, this absorption seems to be responsible for much of the biological damage caused by solar radiation of wavelengths less than 320 nm. Accurate measurement of the absorption spectrum of DNA above 300 nm is complicated by turbidity characteristic of concentrated solutions of DNA. We have measured the absorption spectra of DNA from calf thymus, Clostridium perfringens, Escherichia coli, Micrococcus luteus, salmon testis, and human placenta using procedures which separate optical density due to true absorption from that due to turbidity. Above 300 nm, the relative absorption of DNA increases as a function of guanine-cytosine content, presumably because the absorption of guanine is much greater than the absorption of adenine at these wavelengths. This result suggests that the photophysical processes which follow absorption of a long-wavelength photon may, on the average, differ from those induced by shorter-wavelength photons. It may also explain the lower quantum yield for the killing of cells by wavelengths above 300 nm compared to that by shorter wavelengths
Magnetic Nature of Light Transmission through a 5-nm Gap.
Yang, Hyosim; Kim, Dai-Sik; Kim, Richard H Joon-Yeon; Ahn, Jae Sung; Kang, Taehee; Jeong, Jeeyoon; Lee, Dukhyung
2018-02-09
Slot antennas have been exploited as important building blocks of optical magnetism because their radiations are invoked by the magnetic fields along the axes, as vectorial Babinet principle predicts. However, optical magnetism of a few-nanometer-width slit, for which fascinating applications are found due to the colossal field enhancement but Babinet principle fails due to the nonnegligible thickness, has not been investigated. In this paper, we demonstrated that the magnetic field plays a dominant role in light transmission through a 5-nm slit on a 150-nm-thick gold film. The 5-nm slit was fabricated by atomic layer lithography, and the transmission was investigated for various incident angles by experiment and simulation at 785-nm wavelength. We found that, due to the deep subwavelength gap width, the transmission has the same incident angle dependence as the tangential magnetic field on the metal surface and this magnetic nature of a nanogap holds up to ~100-nm width. Our analysis establishes conditions for nanogap optical magnetism and suggests new possibilities in realizing magnetic-field-driven optical nonlinearities.
International Nuclear Information System (INIS)
Wang Xin; Mu Baozhong; Jiang Li; Zhu Jingtao; Yi Shengzhen; Wang Zhanshan; He Pengfei
2011-01-01
Lithium fluoride (LiF) crystal is a radiation sensitive material widely used as EUV and soft x-ray detector. The LiF-based detector has high resolution, in principle limited by the point defect size, large field of view, and wide dynamic range. Using LiF crystal as an imaging detector, a resolution of 900 nm was achieved by a projection imaging of test meshes with a Schwarzschild objective operating at 13.5 nm. In addition, by imaging of a pinhole illuminated by the plasma, an EUV spot of 1.5 μm diameter in the image plane of the objective was generated, which accomplished direct writing of color centers with resolution of 800 nm. In order to avoid sample damage and contamination due to the influence of huge debris flux produced by the plasma source, a spherical normal-incidence condenser was used to collect EUV radiation. Together with a description of experimental results, the development of the Schwarzschild objective, the influence of condenser on energy density and the alignment of the imaging system are also reported.
Balu, Mihaela; Saytashev, Ilyas; Hou, Jue; Dantus, Marcos; Tromberg, Bruce J.
2015-12-01
Advancing the practical utility of nonlinear optical microscopy requires continued improvement in imaging depth and contrast. We evaluated second-harmonic generation (SHG) and third-harmonic generation images from ex vivo human skin and showed that a sub-40 fs, 1060-nm Yb-fiber laser can enhance SHG penetration depth by up to 80% compared to a >100 fs, 800 nm Ti:sapphire source. These results demonstrate the potential of fiber-based laser systems to address a key performance limitation related to nonlinear optical microscopy (NLOM) technology while providing a low-barrier-to-access alternative to Ti:sapphire sources that could help accelerate the movement of NLOM into clinical practice.
All-silica photonic bandgap fibre with zero dispersion and a large mode area at 730 nm
DEFF Research Database (Denmark)
Riishede, Jesper; Lægsgaard, Jesper; Broeng, Jes
2004-01-01
A theoretical analysis of a photonic bandgap fibre, consisting of a pure silica background with a triangular lattice of Ge-doped high-index rods, is presented. This novel fibre design guides a single, well-confined mode in a core region made from undoped silica. The fibre is found to have positive...... waveguide dispersion, which may be used to shift the zero-dispersion wavelength down to 730 nm, while maintaining an effective mode area of 17 $mu@-m$+2$/. This is an order of magnitude larger than what may be achieved in highly non-linear index-guiding microstructured fibres with comparable zero...
Broadband light generation at ~1300 nm through spectrally recoiled solitons and dispersive waves
DEFF Research Database (Denmark)
Falk, Peter Andreas; Frosz, Michael Henoch; Bang, Ole
2008-01-01
We experimentally study the generation of broadband light at ~1300 nm from an 810 nm Ti:sapphire femtosecond pump laser. We use two photonic crystal fibers with a second infrared zero-dispersion wavelength (λZ2) and compare the efficiency of two schemes: in one fiber λZ2=1400 nm and the light...... at 1300 nm is composed of spectrally recoiled solitons; in the other fiber λZ2=1200 nm and the light at 1300 nm is composed of dispersive waves....
CMOS-NEMS Copper Switches Monolithically Integrated Using a 65 nm CMOS Technology
Directory of Open Access Journals (Sweden)
Jose Luis Muñoz-Gamarra
2016-02-01
Full Text Available This work demonstrates the feasibility to obtain copper nanoelectromechanical (NEMS relays using a commercial complementary metal oxide semiconductor (CMOS technology (ST 65 nm following an intra CMOS-MEMS approach. We report experimental demonstration of contact-mode nano-electromechanical switches obtaining low operating voltage (5.5 V, good ION/IOFF (103 ratio, abrupt subthreshold swing (4.3 mV/decade and minimum dimensions (3.50 μm × 100 nm × 180 nm, and gap of 100 nm. With these dimensions, the operable Cell area of the switch will be 3.5 μm (length × 0.2 μm (100 nm width + 100 nm gap = 0.7 μm2 which is the smallest reported one using a top-down fabrication approach.
Speed Math for Kids Helping Children Achieve Their Full Potential
Handley, Bill
2012-01-01
Popular Australian author and inspirational teacher, Bill Handley, has developed and, over the years, refined methods of teaching mathematics and learning strategies that have achieved amazing results. His best-selling book, Speed Mathematics convinced readers that people who excel at maths use better strategies and are not necessarily more intelligent.This book contains additional methods and applications based on the strategies taught in Speed Mathematics that make the principles clearer, encourage creative thought, and are just plain fun. The book was written for young people but people of
Elsaie, Mohamed L; Ibrahim, Shady M; Saudi, Wael
2018-01-01
Introduction: Non-ablative fractional erbium-doped glass 1540 nm and fractional ablative 10600 nm carbon dioxide lasers are regarded as effective modalities for treating acne atrophic scars. In this study, we aimed to compare the effectiveness of fractional CO 2 laser and fractional nonablative 1540 nm erbium doped glass laser in treating post acne atrophic scars in Egyptian patients. Methods: Fifty-eight patients complaining of moderate and severe acne atrophic scars were randomly divided into 2 groups of 29 patients each. Both groups were subjected to 4 treatment sessions with 3 weeks interval and were followed up for 3 months. In group A, enrolled patient sreceived C2 laser, while in group B, patients were treated with 1540 nm erbium glass fractional laser. Results: Clinical assessment revealed that the mean grades of progress and improvement were higher with fractional 10600 nm CO2 laser but with non-significant difference between both treatments ( P = 0.1). The overall patients' satisfaction with both lasers were not significantly different ( P = 0.44). Conclusion: Both fractional ablative CO2 and fractional non-ablative erbium glass lasers are good modalities for treating acne scars with a high efficacy and safety profile and good patient satisfaction. The fractional ablative laser showed higher efficacy while non-ablative laser offered less pain and shorter downtime.
A High-Sensitivity Potentiometric 65-nm CMOS ISFET Sensor for Rapid E. coli Screening.
Jiang, Yu; Liu, Xu; Dang, Tran Chien; Huang, Xiwei; Feng, Hao; Zhang, Qing; Yu, Hao
2018-04-01
Foodborne bacteria, inducing outbreaks of infection or poisoning, have posed great threats to food safety. Potentiometric sensors can identify bacteria levels in food by measuring medium's pH changes. However, most of these sensors face the limitation of low sensitivity and high cost. In this paper, we developed a high-sensitivity ion-sensitive field-effect transistor sensor. It is small sized, cost-efficient, and can be massively fabricated in a standard 65-nm complementary metal-oxide-semiconductor process. A subthreshold pH-to-time-to-voltage conversion scheme was proposed to improve the sensitivity. Furthermore, design parameters, such as chemical sensing area, transistor size, and discharging time, were optimized to enhance the performance. The intrinsic sensitivity of passivation membrane was calculated as 33.2 mV/pH. It was amplified to 123.8 mV/pH with a 0.01-pH resolution, which greatly exceeded 6.3 mV/pH observed in a traditional source-follower based readout structure. The sensing system was applied to Escherichia coli (E. coli) detection with densities ranging from 14 to 140 cfu/mL. Compared to the conventional direct plate counting method (24 h), more efficient sixfold smaller screening time (4 h) was achieved to differentiate samples' E. coli levels. The demonstrated portable, time-saving, and low-cost prescreen system has great potential for food safety detection.
Energy Technology Data Exchange (ETDEWEB)
Katsifis, A.; Mattner, F.; Dikic, B. [Radiopharmaceuticals Div. ANSTO, Menai, NSW (Australia); Barlin, G. [Div. of Neurosciences, John Curtin School of Medical Research, Australian National Univ., Canberra (Australia)
2004-07-01
The pyridazines 3-acetamidomethyl-6-chloro-2-(4'-iodophenyl)imidazo[1,2-b]pyridazine 1 (IC{sub 50} = 1.6 nM) and 3-benzamidomethyl-6-iodo-2-(4'-t-butylphenyl)imidazo[1,2-b] pyridazine 2 (IC{sub 50} = 4.2 nM), are high affinity and selective ligands for the peripheral benzodiazepine receptors (PBR) compared to the central benzodiazepine counterparts. The [{sup 123}I]1 and [{sup 123}I]2 labelled analogues of these compounds were subsequently synthesised for the potential study of the PBR in vivo using SPECT. Radioiodination of [{sup 123}I]1 was achieved by iododestannylation of the corresponding tributyl tin precursor with Na[{sup 123}I] in the presence of peracetic acid or chloramine-T and the product isolated by C-18 RP HPLC. Radioiodination of [{sup 123}I]2 was achieved by copper assisted bromine [{sup 123}I]iodine exchange of the corresponding bromo precursor in the presence of acetic acid and sodium bisulfate as reducing agent at 200 C. Purification of the crude products were achieved by semi-preparative C-18 RP HPLC to give the products in radiochemical yields > 90%. The products were obtained in > 97% chemical and radiochemical purity and with specific activities > 180 GBq/{mu}mol. (orig.)
Energy Technology Data Exchange (ETDEWEB)
Yang Mengmeng; Jarrett, Stuart G.; Craven, Rolf [Department of Molecular and Biomedical Pharmacology, College of Medicine, University of Kentucky, Lexington, KY 40536-0298 (United States); Kaetzel, David M. [Department of Molecular and Biomedical Pharmacology, College of Medicine, University of Kentucky, Lexington, KY 40536-0298 (United States)], E-mail: dmkaetz@uky.edu
2009-01-15
In humans, NM23-H1 is a metastasis suppressor whose expression is reduced in metastatic melanoma and breast carcinoma cells, and which possesses the ability to inhibit metastatic growth without significant impact on the transformed phenotype. NM23-H1 exhibits three enzymatic activities in vitro, each with potential to maintain genomic stability, a 3'-5' exonuclease and two kinases, nucleoside diphosphate kinase (NDPK), and protein histidine kinase. Herein we have investigated the potential contributions of NM23 proteins to DNA repair in the yeast, Saccharomyces cerevisiae, which contains a single NM23 homolog, YNK1. Ablation of YNK1 delayed repair of UV- and etoposide-induced nuclear DNA damage by 3-6 h. However, YNK1 had no impact upon the kinetics of MMS-induced DNA repair. Furthermore, YNK1 was not required for repair of mitochondrial DNA damage. To determine whether the nuclear DNA repair deficit manifested as an increase in mutation frequency, the CAN1 forward assay was employed. An YNK1 deletion was associated with increased mutation rates following treatment with either UV (2.6x) or MMS (1.6x). Mutation spectral analysis further revealed significantly increased rates of base substitution and frameshift mutations following UV treatment in the ynk1{delta} strain. This study indicates a novel role for YNK1 in DNA repair in yeast, and suggests an anti-mutator function that may contribute to the metastasis suppressor function of NM23-H1 in humans.
International Nuclear Information System (INIS)
Yang Mengmeng; Jarrett, Stuart G.; Craven, Rolf; Kaetzel, David M.
2009-01-01
In humans, NM23-H1 is a metastasis suppressor whose expression is reduced in metastatic melanoma and breast carcinoma cells, and which possesses the ability to inhibit metastatic growth without significant impact on the transformed phenotype. NM23-H1 exhibits three enzymatic activities in vitro, each with potential to maintain genomic stability, a 3'-5' exonuclease and two kinases, nucleoside diphosphate kinase (NDPK), and protein histidine kinase. Herein we have investigated the potential contributions of NM23 proteins to DNA repair in the yeast, Saccharomyces cerevisiae, which contains a single NM23 homolog, YNK1. Ablation of YNK1 delayed repair of UV- and etoposide-induced nuclear DNA damage by 3-6 h. However, YNK1 had no impact upon the kinetics of MMS-induced DNA repair. Furthermore, YNK1 was not required for repair of mitochondrial DNA damage. To determine whether the nuclear DNA repair deficit manifested as an increase in mutation frequency, the CAN1 forward assay was employed. An YNK1 deletion was associated with increased mutation rates following treatment with either UV (2.6x) or MMS (1.6x). Mutation spectral analysis further revealed significantly increased rates of base substitution and frameshift mutations following UV treatment in the ynk1Δ strain. This study indicates a novel role for YNK1 in DNA repair in yeast, and suggests an anti-mutator function that may contribute to the metastasis suppressor function of NM23-H1 in humans
Ratti, Lodovico; Gaioni, Luigi; Manghisoni, Massimo; Traversi, Gianluca; Pantano, Devis
2008-08-01
The purpose of this paper is to study the mechanisms underlying performance degradation in 130 nm and 90 nm commercial CMOS technologies exposed to high doses of ionizing radiation. The investigation has been mainly focused on their noise properties in view of applications to the design of low-noise, low-power analog circuits to be operated in harsh environment. Experimental data support the hypothesis that charge trapping in shallow trench isolation (STI), besides degrading the static characteristics of interdigitated NMOS transistors, also affects their noise performances in a substantial fashion. The model discussed in this paper, presented in a previous work focused on CMOS devices irradiated with a 10 Mrad(SiO2) gamma -ray dose, has been applied here also to transistors exposed to much higher (up to 100 Mrad(SiO2 )) doses of X-rays. Such a model is able to account for the extent of the observed noise degradation as a function of the device polarity, dimensions and operating point.
Directory of Open Access Journals (Sweden)
Melissa Vos
Full Text Available Mitochondrial electron transport chain (ETC defects are observed in Parkinson's disease (PD patients and in PD fly- and mouse-models; however it remains to be tested if acute improvement of ETC function alleviates PD-relevant defects. We tested the hypothesis that 808 nm infrared light that effectively penetrates tissues rescues pink1 mutants. We show that irradiating isolated fly or mouse mitochondria with 808 nm light that is absorbed by ETC-Complex IV acutely improves Complex IV-dependent oxygen consumption and ATP production, a feature that is wavelength-specific. Irradiating Drosophila pink1 mutants using a single dose of 808 nm light results in a rescue of major systemic and mitochondrial defects. Time-course experiments indicate mitochondrial membrane potential defects are rescued prior to mitochondrial morphological defects, also in dopaminergic neurons, suggesting mitochondrial functional defects precede mitochondrial swelling. Thus, our data indicate that improvement of mitochondrial function using infrared light stimulation is a viable strategy to alleviate pink1-related defects.
Energy Technology Data Exchange (ETDEWEB)
Colucci, Alessandro; Nichelatti, Enrico [ENEA, Centro Ricerche Casaccia, Rome (Italy). Dipt. Innovazione
1998-04-01
It`s developed the prototype of an instrument that can be used for the optical characterisation of graded reflectivity mirrors at any wavelength in the spectral region from 250 nm to 1100 nm. The instrument utilises a high-pressure Xe arc lamp as light source. Light is spectrally filtered by means of a grating monochromator. The sample is illuminated with an image of the monochromator exit slit. After reflection from the sample, this image is projected onto a 1024-elements charge-coupled device linear array driven by a digital frame board and interfaced with a personal computer. It`s tested the instrument accuracy by comparing measurement results with the corresponding ones obtained by means of a laser scanning technique. Measurement Rms repeatability has been estimated to be approximately of 0.8%. [Italiano] E` stato sviluppato il prototipo di uno strumento per la catatterizzazione ottica di specchi a riflettivita` variabile, operante a qualsiasi lunghezza d`onda nell`intervallo spettrale da 250 nm a 1100 nm. La sorgente dello strumento e` una lampada ad arco allo Xenon ad alta pressione. La luce e` filtrata spettralmente per mezzo di un monocromatore a reticolo. Il campione viene illuminato da un`immagine della fenditura d`uscita del monocromatore. Dopo essere stata riflessa dal campione, questa immagine viene proiettata su un array CCD lineare a 1024 elementi, connesso elettronicamente a una scheda digitale e interfacciato a un personal computer. L`accuratezza dello strumento e` stata verificata confrontando alcune misure con le corrispondenti misure ottenute mediante una tecnica a scansione laser. La ripetibilita` RMS delle misure e` stata stimata essere circa dello 0.8%.
On the origins of 718 nm fluorescence from Porphyridium cruentum at 77 K.
Wang, R T; Graham, J R; Myers, J
1980-09-05
Emission spectra and transient behavior of fluorescence in Porphyridium cruentum have been studied in search of the pathway of excitation energy from the phycobilisome to Photosystem I (PS I) of photosynthesis. For activating light at 436 nm, absorbed almost entirely by chlorophyll, fluorescence is dominated by the 718 nm band generally attributed to chlorophyll of PS I. Activating light at 550 nm, absorbed mostly by the phycobilisome, gives rise to the distinctive fluorescence band of PS II chlorophyll at 696 nm but also gives a large component at 718 nm. Analysis depends critically upon the source of emission at 718 nm under 550 nm activation: does it arise from PS I or PS IIC0 Ley and Butler (Ley, A.C. and Butler, W.L. (1976) Proc. Natl. Acad. Sci. U.S.A. 73, 3956-3960) have proposed that the 718 nm arises mostly from PS I, to which it is transferred by spillover from PS II. We suggest a different proposition: that under 550 nm activation most of the 718 emission arises from PS II. Analysis shows that this proposition provides an alternative explanation. Using the small change in fluorescence yield observed under 436 nm activation as a monitor of excitation in PS I, we provide evidence that under 550 activation most of the 718 nm fluorescence arises from PS II.
Mansour, Nahla M; Abdelaziz, Sahar A
2016-08-01
The aim of this in vivo study was to evaluate the effects of a recombinant probiotic strain, Lactobacillus gasseri NM713, which expresses the conserved region of streptococcal M6 protein (CRR6), as an oral vaccine against Streptococcus pyogenes. A dose of 10(9) cells of the recombinant strain in 150 μL PBS buffer was administered orally to a group of mice. One control group received an equivalent dose of Lb. gasseri NM613 (containing the empty plasmid without insert) or and another control group received PBS buffer. Each group contained 30 mice. The immunization protocol was followed on three consecutive days, after which two booster doses were administered at two week intervals. Fecal and serum samples were collected from the mice on Days 18, 32, 46, 58 after the first immunization and Day 0 prior to immunization. Anti-CRR6 IgA and IgG concentrations were measured by ELISA in fecal and sera samples, respectively, to assess immune responses. Vaccination with the recombinant Lb. gasseri NM713 strain induced significant protection after nasal challenge with S. pyogenes, only a small percentage of this group developing streptococcal infection (10%) or dying of it (3.3%) compared with the NM613 and PBS control groups, high percentages of which developed streptococcal infection (43.3% and 46.7%, respectively) and died of it (46.7% and 53%, respectively). These results indicate that recombinant Lb. gasseri NM713 has potential as an oral delivery vaccine against streptococcus group A. © 2016 The Societies and John Wiley & Sons Australia, Ltd.
32nm and below logic patterning using optimized illumination and double patterning
Smayling, Michael C.; Axelrad, Valery
2009-03-01
Line/space dimensions for 32nm generation logic are expected to be ~45-50nm at ~90-100nm pitch. It is likely that the node will begin at the upper end of the range, and then shrink by ~10% to a "28nm" node. For the lower end of the range, even with immersion scanners, the Rayleigh k1 factor is below 0.32. The 22nm logic node should begin with minimum pitches of approximately 70nm, requiring some form of double patterning to maintain k1 above 0.25. Logic patterning has been more difficult than NAND Flash patterning because random logic was designed with complete "freedom" compared to the very regular patterns used in memory. The logic layouts with bends and multiple pitches resulted in larger rules, un-optimized illumination, and a poorly understood process windows with little control of context-dependent "hot spots."[1] The introduction of logic design styles which use strictly one-directional lines for the critical levels now gives the opportunity for illumination optimization. Gridded Design Rules (GDR) have been demonstrated to give areacompetitive layouts at existing 90, 65, and 45nm logic nodes while reducing CD variability.[2] These benefits can be extended to <=32nm logic using selective double pass patterning.
Tunable Balun Low-Noise Amplifier in 65nm CMOS Technology
Directory of Open Access Journals (Sweden)
J. Sturm
2014-04-01
Full Text Available The presented paper includes the design and implementation of a 65 nm CMOS low-noise amplifier (LNA based on inductive source degeneration. The amplifier is realized with an active balun enabling a single-ended input which is an important requirement for low-cost system on chip implementations. The LNA has a tunable bandpass characteristics from 4.7 GHz up to 5.6 GHz and a continuously tunable gain from 22 dB down to 0 dB, which enables the required flexibility for multi-standard, multi-band receiver architectures. The gain and band tuning is realized with an optimized tunable active resistor in parallel to a tunable L-C tank amplifier load. The amplifier achieves an IIP3 linearity of -8dBm and a noise figure of 2.7 dB at the highest gain and frequency setting with a low power consumption of 10 mW. The high flexibility of the proposed LNA structure together with the overall good performance makes it well suited for future multi-standard low-cost receiver front-ends.
International Nuclear Information System (INIS)
Zhang, D; Shao, Y; Liu, H P; Li, Y L; Tao, Z H; Ruan, Q R; Zhang, T Y
2011-01-01
Efficient continuous-wave (CW) intracavity frequency doubling of a diode-end-pumped Yb:GdYSiO 2 (Yb:GYSO) laser operating on 2 F 5/2 → 2 F 7/2 transitions at 1081.5 nm has been demonstrated. With 17.6 W of diode pump power and the frequency doubling crystal LiB 3 O 5 (LBO), a maximum output power of 3.76 W in the green spectral range at 541 nm has been achieved, corresponding to an optical-to-optical conversion efficiency of 21.4%; the output power stability over 30 min is better than 5%. To the best of our knowledge, this is first work on intracavity frequency doubling of a diode pumped Yb:GYSO laser at 1081.5 nm
Semiconductor optical amplifiers for the 1000-1100-nm spectral range
International Nuclear Information System (INIS)
Lobintsov, A A; Shramenko, M V; Yakubovich, S D
2008-01-01
Two types of semiconductor optical amplifiers (SOAs) based on a double-layer quantum-well (InGa)As/(GaAl)As/GaAs heterostructure are investigated. The optical gain of more than 30 dB and saturation output power of more than 30 mW are achived at 1060 nm in pigtailed SOA modules. These SOAs used as active elements of a tunable laser provide rapid continuous tuning within 85 nm and 45 nm at output powers of 0.5 mW and more than 30 mW, respectively. (active media, lasers, and amplifiers)
Photochemistry of acrylates at 222 nm
International Nuclear Information System (INIS)
Knolle, Wolfgang; Naumov, Sergej; Madani, Mohamed; Sonntag, Clemens von
2005-01-01
Excimer lamps as monochromatic UV sources with an intense short-wavelength emission (especially KrCl * , 222 nm) allow a photoinitiator-free initiation of the acrylate polymerisation. Laser photolysis (KrCl * excimer laser, pulse width 20 ns, up to 5 mJ per pulse) gives rise to similar transient spectra (λ max ∼ 280 nm) for all acrylates studied. As the rather unspecific spectra do not allow conclusions as to the main reaction channel, a product study has been performed by GC-MS following steady-state photolysis of acrylate solutions in acetonitrile, methanol and n-hexane. Somewhat unexpected, α-cleavage seems to be a main reaction channel, and quantum chemical calculations show that such a reaction can occur from either the excited singlet state or the unrelaxed triplet state, but not from the relaxed triplet state that is observed spectroscopically. A reaction scheme accounting for the observed products is presented
Huynh-Bao, Trong; Ryckaert, Julien; Sakhare, Sushil; Mercha, Abdelkarim; Verkest, Diederik; Thean, Aaron; Wambacq, Piet
2016-03-01
In this paper, we present a layout and performance analysis of logic and SRAM circuits for vertical and lateral GAA FETs using 5nm (iN5) design rules. Extreme ultra-violet lithography (EUVL) processes are exploited to print the critical features: 32 nm gate pitch and 24 nm metal pitch. Layout architectures and patterning compromises for enabling the 5nm node will be discussed in details. A distinct standard-cell template for vertical FETs is proposed and elaborated for the first time. To assess electrical performances, a BSIM-CMG model has been developed and calibrated with TCAD simulations, which accounts for the quasi-ballistic transport in the nanowire channel. The results show that the inbound power rail layout construct for vertical devices could achieve the highest density while the interleaving diffusion template can maximize the port accessibility. By using a representative critical path circuit of a generic low power SoCs, it is shown that the VFET-based circuit is 40% more energy efficient than LFET designs at iso-performance. Regarding SRAMs, benefits given by vertical channel orientation in VFETs has reduced the SRAM area by 20%~30% compared to lateral SRAMs. A double exposures with EUV canner is needed to reach a minimum tip-to-tip (T2T) of 16 nm for middle-of-line (MOL) layers. To enable HD SRAMs with two metal layers, a fully self-aligned gate contact for LFETs and 2D routing of the top electrode for VFETs are required. The standby leakage of vertical SRAMs is 4~6X lower than LFET-based SRAMs at iso-performance and iso-area. The minimum operating voltage (Vmin) of vertical SRAMs is 170 mV lower than lateral SRAMs. A high-density SRAM bitcell of 0.014 um2 can be obtained for the iN5 technology node, which fully follows the SRAM scaling trend for the 45nm nodes and beyond.
TUNABLE DIODE LASER MEASUREMENTS OF NO2 NEAR 670 NM AND 395 NM. (R823933)
Two single-mode diode lasers were used to record high-resolution absorption spectra of NO2 (dilute in Ar) near 670.2 and 394.5 nm over a range of temperatures (296 to 774 K) and total pressures (2.4 x 10(-2) to 1 atm). A commercial InGaAsP laser was tuned 1.3 cm(-1) at a repetiti...
Sub-10-nm suspended nano-web formation by direct laser writing
Wang, Sihao; Yu, Ye; Liu, Hailong; Lim, Kevin T. P.; Madurai Srinivasan, Bharathi; Zhang, Yong Wei; Yang, Joel K. W.
2018-06-01
A diffraction-limited three-dimensional (3D) direct laser writing (DLW) system based on two-photon polymerization can routinely pattern structures at the 100 nm length scale. Several schemes have been developed to improve the patterning resolution of 3D DLW but often require customized resist formulations or multi-wavelength exposures. Here, we introduce a scheme to produce suspended nano-webs with feature sizes below 10 nm in IP-Dip resist using sub-threshold exposure conditions in a commercial DLW system. The narrowest suspended lines (nano-webs) measured 7 nm in width. Larger ∼20 nm nano-webs were patterned with ∼80% yield at increased laser powers. In addition, closely spaced nano-gaps with a center-to-center distance of 33 nm were produced by patterning vertically displaced suspended lines followed by metal deposition and liftoff. We provide hypotheses and present preliminary results for a mechanism involving the initiation of a percolative path and a strain-induced narrowing in the nano-web formation. Our approach allows selective features to be patterned with dimensions comparable to the sub-10 nm patterning capability of electron-beam lithography (EBL).
Proximal potentially seismogenic sources for Sandia National Laboratories, Albuquerque, New Mexico
International Nuclear Information System (INIS)
Gibson, J.D.
1995-01-01
Recent geologic and geophysical investigations within the Albuquerque Basin have shed light on the potentially seismogenic sources that might affect Sandia National Laboratories, New Mexico (SNL/NM), a multi-disciplinary research and engineering facility of the US Department of Energy (DOE). This paper presents a summary of potentially seismogenic sources for SNL/NM, emphasizing those sources within approximately 8 kilometers (km) of the site. Several significant faults of the central Rio Grande rift transect SNL/NM. Although progress has been made on understanding the geometry and interactions of these faults, little is known of the timing of most recent movement or on recurrent intervals for these faults. Therefore, whether particular faults or fault sections have been active during the Holocene or even the late Pleistocene is undocumented. Although the overall subdued surface expression of many of these faults suggests that they have low to moderate slip rates, the proximity of these faults to critical (e.g., nuclear) and non-critical (e.g., high-occupancy, multistory office/light lab) facilities at SNL/NM requires their careful examination for evaluation of potential seismic hazard
Generation of continuous-wave 194 nm laser for mercury ion optical frequency standard
Zou, Hongxin; Wu, Yue; Chen, Guozhu; Shen, Yong; Liu, Qu; Precision measurement; atomic clock Team
2015-05-01
194 nm continuous-wave (CW) laser is an essential part in mercury ion optical frequency standard. The continuous-wave tunable radiation sources in the deep ultraviolet (DUV) region of the spectrum is also serviceable in high-resolution spectroscopy with many atomic and molecular lines. We introduce a scheme to generate continuous-wave 194 nm radiation with SFM in a Beta Barium Borate (BBO) crystal here. The two source beams are at 718 nm and 266 nm, respectively. Due to the property of BBO, critical phase matching (CPM) is implemented. One bow-tie cavity is used to resonantly enhance the 718 nm beam while the 266 nm makes a single pass, which makes the configuration easy to implement. Considering the walk-off effect in CPM, the cavity mode is designed to be elliptical so that the conversion efficiency can be promoted. Since the 266 nm radiation is generated by a 532 nm laser through SHG in a BBO crystal with a large walk-off angle, the output mode is quite non-Gaussian. To improve mode matching, we shaped the 266 nm beam into Gaussian modes with a cylindrical lens and iris diaphragm. As a result, 2.05 mW 194 nm radiation can be generated. As we know, this is the highest power for 194 nm CW laser using SFM in BBO with just single resonance. The work is supported by the National Natural Science Foundation of China (Grant No. 91436103 and No. 11204374).
Ferroelectricity down to at least 2 nm in multiferroic BiFeO3 epitaxial thin films
International Nuclear Information System (INIS)
Bea, H.; Fusil, S.; Bouzehouane, K.; Sirena, M.; Herranz, G.; Jacquet, E.; Contour, J.-P.; Barthelemy, A.; Bibes, M.
2006-01-01
We report here on the preservation of ferroelectricity down to 2 nm in BiFeO 3 ultrathin films. The electric polarization can be switched reversibly and is stable over several days. Our findings insight on the fundamental problem of ferroelectricity at low thickness and confirm the potential of BiFeO 3 as a lead-free ferroelectric and multiferroic material for nanoscale devices. (author)
Untrimmed Low-Power Thermal Sensor for SoC in 22 nm Digital Fabrication Technology
Directory of Open Access Journals (Sweden)
Ro'ee Eitan
2014-12-01
Full Text Available Thermal sensors (TS are essential for achieving optimized performance and reliability in the era of nanoscale microprocessor and system on chip (SoC. Compiling with the low-power and small die area of the mobile computing, the presented TS supports a wide range of sampling frequencies with an optimized power envelope. The TS supports up to 45 K samples/s, low average power consumption, as low as 20 μW, and small core Si area of 0.013 mm2. Advanced circuit techniques are used in order to overcome process variability, ensuring inaccuracy lower than ±2 °C without any calibration. All this makes the presented thermal sensor a cost-effective, low-power solution for 22 nm nanoscale digital process technology.
Directory of Open Access Journals (Sweden)
Kamaldeen A Muili
Full Text Available The approved immunomodulatory agents for the treatment of multiple sclerosis (MS are only partially effective. It is thought that the combination of immunomodulatory and neuroprotective strategies is necessary to prevent or reverse disease progression. Irradiation with far red/near infrared light, termed photobiomodulation, is a therapeutic approach for inflammatory and neurodegenerative diseases. Data suggests that near-infrared light functions through neuroprotective and anti-inflammatory mechanisms. We sought to investigate the clinical effect of photobiomodulation in the Experimental Autoimmune Encephalomyelitis (EAE model of multiple sclerosis.The clinical effect of photobiomodulation induced by 670 nm light was investigated in the C57BL/6 mouse model of EAE. Disease was induced with myelin oligodendrocyte glycoprotein (MOG according to standard laboratory protocol. Mice received 670 nm light or no light treatment (sham administered as suppression and treatment protocols. 670 nm light reduced disease severity with both protocols compared to sham treated mice. Disease amelioration was associated with down-regulation of proinflammatory cytokines (interferon-γ, tumor necrosis factor-α and up-regulation of anti-inflammatory cytokines (IL-4, IL-10 in vitro and in vivo.These studies document the therapeutic potential of photobiomodulation with 670 nm light in the EAE model, in part through modulation of the immune response.
The potential of building envelope greening to achieve quietness
Van Renterghem, T.; Hornikx, M.C.J.; Forssén, J.; Botteldooren, D.
2013-01-01
Reduction of noise is one of the multiple benefits of building envelope greening measures. The potential of wall vegetation systems, green roofs, vegetated low screens at roof edges, and also combinations of such treatments, have been studied by means of combining 2D and 3D full-wave numerical
Sousa, Teresa; Amaral, Carlos; Andrade, João; Pires, Gabriel; Nunes, Urbano J.; Castelo-Branco, Miguel
2017-08-01
imagery with potential for the implementation of multiclass (3) BCIs. Our results are consistent with the notion that frontal alpha synchronization is related with high internal processing demands, changing with the number of alternation levels during imagery. Together, these findings suggest the feasibility of pure visual motion imagery tasks as a strategy to achieve multiclass control systems with potential for BCI and in particular, neurofeedback applications in non-motor (attentional) disorders.
Sugarcane bagasse hydrolysate as a potential feedstock for red pigment production by Monascus ruber.
Terán Hilares, Ruly; de Souza, Rebeca Andrade; Marcelino, Paulo Franco; da Silva, Silvio Silvério; Dragone, Giuliano; Mussatto, Solange I; Santos, Júlio César
2018-04-15
Sugarcane bagasse (SCB) hydrolysate could be an interesting source for red pigment production by Monascus ruber Tieghem IOC 2225. The influence of different wavelength of light-emitting diode (LED) at 250 μmol.m -2 .s -1 of photon flux density on red pigment production by M. ruber in glucose-based medium was evaluated. Then, SCB hydrolysate was used as carbon source under the previously selected light incidence conditions. In glucose-based medium, the highest pigment production was achieved in fermentation assisted with orange LED light (8.28 UA 490nm ), white light (8.26 UA 490nm ) and under dark condition (7.45 UA 490nm ). By using SCB hydrolysate-based medium, the highest red pigment production (18.71 AU 490nm ) was achieved under dark condition and the glucose and cellobiose present in the hydrolysate were metabolized. SCB enzymatic hydrolysate was demonstrated to be a promising carbon source for high thermal stability red pigment production (activation energy of 10.5 kcal.mol -1 ), turning an interesting alternative for implementation in biorefineries. Copyright © 2017 Elsevier Ltd. All rights reserved.
International Nuclear Information System (INIS)
Arif, S.; Forster, M.; Kautek, W.; Bushuk, S.; Kouzmouk, A.; Tatur, H.; Batishche, S.
2013-01-01
The laser-induced phase separation of charcoal particles on additive-free cotton linters cellulose paper was investigated by electron and optical microscopy, colorimetry, and diffuse reflectance FT-IR. The fibre bundles were vaporised in depth of several 10 μm above destruction fluence thresholds using visible 532 nm radiation. This is in contrast to mid-ultraviolet 213 nm radiation, where only the top fibre bundles were modified and partially evaporated. The colorimetric lightness results generally represented the cleaning status, whereas the colorimetric yellowing data represented irreversible chemical and/or photochemical changes. Charcoal-contaminated paper treated with visible and mid-ultraviolet radiation exhibited yellowing, whereas uncontaminated did not. This suggests that the electron-rich plasma generated by the evaporation of the particles heats the adjacent substrate and also excludes oxygen. Mid-ultraviolet, in contrast to visible radiation, shows particle removal always accompanied by paper destruction. IR spectroscopy results suggest cross-linking by ether bonds near the destruction threshold, but do not prove the formation of oxidation products and double bonds as the basis of the yellowing. A ''cleaning window'' between the cleaning threshold (0.1 J/cm 2 ) and the paper destruction threshold (2.9 J/cm 2 ) with a pulse number of 2 is provided by visible 532 nm laser treatment. (orig.)
Deposition and characterization of ITO films produced by laser ablation at 355 nm
DEFF Research Database (Denmark)
Holmelund, E.; Thestrup Nielsen, Birgitte; Schou, Jørgen
2002-01-01
Indium tin oxide (ITO) films have been deposited by pulsed laser deposition (PLD) at 355 nm. Even though the absorption of laser light at the wavelength 355 nm is much smaller than that of the standard excimer lasers for PLD at 248 nm and 193 nm, high-quality films can be produced. At high fluence...
Tao, Joy; Champlain, Amanda; Weddington, Charles; Moy, Lauren; Tung, Rebecca
2018-01-01
Burn scars cause cosmetic disfigurement and psychosocial distress. We present two Fitzpatrick phototype (FP) III patients with burn scars successfully treated with combination pulsed dye laser (PDL) and non-ablative fractional lasers (NAFL). A 30-year-old, FP III woman with a history of a second-degree burn injury to the bilateral arms and legs affecting 30% body surface area (BSA) presented for cosmetic treatment. The patient received three treatments with 595 nm PDL (7 mm, 8 J, 6 ms), six with the 1550 nm erbium:glass laser (30 mJ, 14% density, 4-8 passes) and five with the 1927 nm thulium laser (10 mJ, 30% density, 4-8 passes). Treated burn scars improved significantly in thickness, texture and colour. A 33-year-old, FP III man with a history of a second-degree burn injury of the left neck and arm affecting 7% BSA presented for cosmetic treatment. The patient received two treatments with 595 nm PDL (5 mm, 7.5 J, 6 ms), four with the 1550 nm erbium:glass laser (30 mJ, 14% density, 4-8 passes) and two with the 1927 nm thulium laser (10 mJ, 30% density, 4-8 passes). The burn scars became thinner, smoother and more normal in pigmentation and appearance. Our patients' burn scars were treated with a combination of PDL and NAFL (two wavelengths). The PDL targets scar hypervascularity, the 1550 nm erbium:glass stimulates collagen remodelling and the 1927 nm thulium targets epidermal processes, particularly hyperpigmentation. This combination addresses scar thickness, texture and colour with a low side effect profile and is particularly advantageous in patients at higher risk of post-procedure hyperpigmentation. Our cases suggest the combination of 595nm PDL plus NAFL 1550 nm erbium:glass/1927 nm thulium device is effective and well-tolerated for burn scar treatment in skin of colour.
EVALUATION OF THE PROGNOSTIC VALUE OF nm23 GENE EXPRESSION IN BREAST CANCER
Institute of Scientific and Technical Information of China (English)
刘红; 毛慧生; 傅西林; 方志沂; 冯玉梅; 范宇; 李树玲
2002-01-01
Objective: To investigate the expression of nm23 gene and evaluate its prognostic value in breast cancer. Methods: nm23 expressions were detected in 101 breast cancer patients (group 1) by immunohistochemistry. RT-PCR and immunohistochemistry were used to measure expressions of nm23 gene in another 68 patients with breast cancer (group 2). Results: nm23 gene expression in group 1 was inversely associated with distant metastasis and lymph node metastasis (P<0.05). In 44 patients with negative lymph node, 9 cases progressed to distant metastasis, 7 of them (77.8%) showed low expression of nm23 gene (P<0.05). In 57 patients with positive lymph node, 24 our of 29 patients who had no distant metastasis (82.8%) expressed nm23 gene at high level (P<0.05). Meanwhile, there were 6 patients with distant metastasis in the group 2, all of thenm expressed nm23 gene mRNA at low level. Conclusion: The results showed that nm23 gene might play an independent role in predicting prognosis of breast cancer.
International Nuclear Information System (INIS)
Ward, B.K.; Carman, R.J.; Mildren, R.P.; Kane, D.M.
2004-01-01
Full text: Rare-gas and rare gas-halide excimer lamps are powerful, frequency agile, mercury-free sources of high power, narrow band (10nm-30nm), UV and VUV radiation covering the wavelength range 88nm-320nm. A very efficient and practically convenient method of excitation is achieved using the dielectric barrier discharge (DBD). In the DBD, charge build-up on an insulating (dielectric) barrier at one or both of the electrodes provides an intrinsic mechanism for quenching the discharge before the transition to a high current discharge. The UV/VUV output from rare-gas DBD lamps is highly monochromatic, derived predominantly from 2nd excimer continuum, with negligible output at visible and infrared wavelengths. For DBD lamps powered by sinusoidal voltage waveforms (50Hz-100kHz), the electrical to optical conversion efficiency typically reaches 10-20%. The discharge structure consists of multiple transient plasma aments that occur stochastically in time during the discharge cycle leading to VUV output pulses with irregular spacing and amplitude. We have shown, however, that using discrete high-voltage pulses of relatively short duration, produces a short-lived homogeneous discharge that generates much higher peak power from short (sub-microsecond) VUV output pulses, with an additional increase (two- fold) in overall efficiency. Conversion efficiencies between 40-60% have also been reported for short-pulse excitation techniques. Efficient generation of pulsed, high peak-power VUV output is of interest for a broad range of applications in time-resolved spectroscopy, photo-lithography, materials processing and surface modification/cleaning, killing of micro- organisms and bacteria, sterilization, and in ozone production for water purification
Application of a whole-body pharmacokinetic model for targeted radionuclide therapy to NM404 and FLT
Grudzinski, Joseph J.; Floberg, John M.; Mudd, Sarah R.; Jeffery, Justin J.; Peterson, Eric T.; Nomura, Alice; Burnette, Ronald R.; Tomé, Wolfgang A.; Weichert, Jamey P.; Jeraj, Robert
2012-03-01
We have previously developed a model that provides relative dosimetry estimates for targeted radionuclide therapy (TRT) agents. The whole-body and tumor pharmacokinetic (PK) parameters of this model can be noninvasively measured with molecular imaging, providing a means of comparing potential TRT agents. Parameter sensitivities and noise will affect the accuracy and precision of the estimated PK values and hence dosimetry estimates. The aim of this work is to apply a PK model for TRT to two agents with different magnitudes of clearance rates, NM404 and FLT, explore parameter sensitivity with respect to time and investigate the effect of noise on parameter precision and accuracy. Twenty-three tumor bearing mice were injected with a ‘slow-clearing’ agent, 124I-NM404 (n = 10), or a ‘fast-clearing’ agent, 18F-FLT (3‧-deoxy-3‧-fluorothymidine) (n = 13) and imaged via micro-PET/CT pseudo-dynamically or dynamically, respectively. Regions of interest were drawn within the heart and tumor to create time-concentration curves for blood pool and tumor. PK analysis was performed to estimate the mean and standard error of the central compartment efflux-to-influx ratio (k12/k21), central elimination rate constant (kel), and tumor influx-to-efflux ratio (k34/k43), as well as the mean and standard deviation of the dosimetry estimates. NM404 and FLT parameter estimation results were used to analyze model accuracy and parameter sensitivity. The accuracy of the experimental sampling schedule was compared to that of an optimal sampling schedule found using Cramer-Rao lower bounds theory. Accuracy was assessed using correlation coefficient, bias and standard error of the estimate normalized to the mean (SEE/mean). The PK parameter estimation of NM404 yielded a central clearance, kel (0.009 ± 0.003 h-1), normal body retention, k12/k21 (0.69 ± 0.16), tumor retention, k34/k43 (1.44 ± 0.46) and predicted dosimetry, Dtumor (3.47 ± 1.24 Gy). The PK parameter estimation of FLT
Zheng, Erhu; Huang, Yi; Zhang, Haiyang
2017-03-01
As CMOS technology reaches 14nm node and beyond, one of the key challenges of the extension of 193nm immersion lithography is how to control the line edge and width roughness (LER/LWR). For Self-aligned Multiple Patterning (SaMP), LER becomes larger while LWR becomes smaller as the process proceeds[1]. It means plasma etch process becomes more and more dominant for LER reduction. In this work, we mainly focus on the core etch solution including an extra plasma coating process introduced before the bottom anti reflective coating (BARC) open step, and an extra plasma cure process applied right after BARC-open step. Firstly, we leveraged the optimal design experiment (ODE) to investigate the impact of plasma coating step on LER and identified the optimal condition. ODE is an appropriate method for the screening experiments of non-linear parameters in dynamic process models, especially for high-cost-intensive industry [2]. Finally, we obtained the proper plasma coating treatment condition that has been proven to achieve 32% LER improvement compared with standard process. Furthermore, the plasma cure scheme has been also optimized with ODE method to cover the LWR degradation induced by plasma coating treatment.
Nearest-IR superluminescent diodes with a 100-nm spectral width
Energy Technology Data Exchange (ETDEWEB)
Il' chenko, S N; Ladugin, M A; Marmalyuk, Aleksandr A; Yakubovich, S D
2012-11-30
This paper presents an experimental study of quantum well superluminescent diodes with an extremely thin (InGa)As active layer. Under cw injection, the output power of such diodes is several milliwatts, with a centre wavelength of 830 nm and emission bandwidth of about 100 nm. (letters)
Fluorescence Imaging In Vivo at Wavelengths beyond 1500 nm.
Diao, Shuo; Blackburn, Jeffrey L; Hong, Guosong; Antaris, Alexander L; Chang, Junlei; Wu, Justin Z; Zhang, Bo; Cheng, Kai; Kuo, Calvin J; Dai, Hongjie
2015-12-01
Compared to imaging in the visible and near-infrared regions below 900 nm, imaging in the second near-infrared window (NIR-II, 1000-1700 nm) is a promising method for deep-tissue high-resolution optical imaging in vivo mainly owing to the reduced scattering of photons traversing through biological tissues. Herein, semiconducting single-walled carbon nanotubes with large diameters were used for in vivo fluorescence imaging in the long-wavelength NIR region (1500-1700 nm, NIR-IIb). With this imaging agent, 3-4 μm wide capillary blood vessels at a depth of about 3 mm could be resolved. Meanwhile, the blood-flow speeds in multiple individual vessels could be mapped simultaneously. Furthermore, NIR-IIb tumor imaging of a live mouse was explored. NIR-IIb imaging can be generalized to a wide range of fluorophores emitting at up to 1700 nm for high-performance in vivo optical imaging. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Lin, Cheng-ming; Chang, Keh-wen; Lee, Ming-der; Loong, Wen-An
1999-07-01
Abstract-Five materials which are PdSixOy, CrAlxOy, SiNx, TiSixNy, and TiSixOyNz as absorptive shifters for attenuated phase-shifting mask in 193 nm wavelength lithography are presented. PdSixOy films were deposited by dual e-gun evaporation. CrAlxOy, TiSixNy and TiSixOyNz films were formed by plasma sputtering and SiNx films were formed with LPCVD. All of these materials are shown to be capable of achieving 4 percent - 15 percent transmittance in 193 nm with thickness that produce a 180 degrees phase shift. Under BCl3:Cl2 equals 14:70 sccm; chamber pressure 5 mtorr and RF power 1900W, the dry etching selectivity of TiSixNy over DQN positive resist and fused silica, were found to be 2:1 and 4,8:1 respectively. An embedded layer TiSixNy with 0.5 micrometers line/space was successfully patterned.
Diode-pumped continuous-wave blue laser operation of Nd:GGG at 467.0, 467.7, and 468.5 nm
International Nuclear Information System (INIS)
Xu, B; Camy, P; Doualan, J L; Braud, A; Moncorgé, R; Cai, Z P; Brenier, A
2012-01-01
Intra-cavity frequency doubling of continuous-wave (CW) laser emission on the quasi-three level ( 4 F 3/2 → 4 I 9/2 ) laser transition of Nd 3+ in Nd:GGG is reported by using a three-mirror folded resonator. The thermal lens experienced by the optically-pumped Nd:GGG laser crystal is measured as a function of the absorbed pump power and compared to that found, in the same conditions, in the case of Nd:YAG. Results are interpreted by using a simple model accounting for the absorbed pump power and the thermo-mechanical properties of each laser crystal. Diode-pumped blue laser operation is achieved, for the first time, at 467.0 and 468.5 nm with output powers of 230 and 450 mW, respectively. Simultaneous laser operation resulting both from frequency-doubling and frequency summing at the three 467.1, 467.7, and 468.1 nm laser wavelengths is also obtained with a total output power of 60 mW
International Nuclear Information System (INIS)
Masuda, Shin; Kanoh, Eiji; Irisawa, Akiyoshi; Niki, Shoji
2009-01-01
We investigated the accuracy limitation of a wavelength meter installed in a vacuum chamber to enable us to develop a highly accurate meter based on a Michelson interferometer in 1550 nm optical communication bands. We found that an error of parts per million order could not be avoided using famous wavelength compensation equations. Chromatic dispersion of the refractive index in air can almost be disregarded when a 1560 nm wavelength produced by a rubidium (Rb) stabilized distributed feedback (DFB) diode laser is used as a reference wavelength. We describe a novel dual-wavelength self-calibration scheme that maintains high accuracy of the wavelength meter. The method uses the fundamental and second-harmonic wavelengths of an Rb-stabilized DFB diode laser. Consequently, a highly accurate Michelson type wavelength meter with an absolute accuracy of 5x10 -8 (10 MHz, 0.08 pm) over a wide wavelength range including optical communication bands was achieved without the need for a vacuum chamber.
Peculiarities of near-ultraviolet (365 nm) and visible light effects in Paramecium Caudatum cells
International Nuclear Information System (INIS)
Samoilova, K.A.; Sushchenko, N.B.
1977-01-01
After treatment with 365 nm or visible light Paramecium caudatum cells fail to divide and die shortly after irradiation; no death occurs long after irradiation, as well as after several cell cycles, unlike the situation after the action of 254 nm or 300-380 nm. Irradiation inhibits mainly the first cell cycle following which the recovery of cells starts. Thus no after-effects take place after the action of 365 nm and visible light, and the patterns of their effects are rather similar. The photoreactivation of damages induced by 365 nm is quite small, being about 2 times less than that for 254 nm and 300-380 nm. Similarity of the action of 254, 300-380, 365 nm and visible light was established by the stimulation of cell division by low doses and increase in viability of infusoria from cultures with low survival and depressed rate of multiplication. This effect of 365 nm is not eliminated by visible light; moreover, the latter promotes the 'therapeutic' effect of the 365 nm line. (author)
Surgical performance of a 405-nm diode laser in treatment of soft tissue
International Nuclear Information System (INIS)
Kato, J; Akashi, G; Moriya, K; Hirai, Y; Hatayama, H; Inoue, A; Miyazaki, H
2008-01-01
The study was conducted to evaluate the surgical performance of a 405-nm diode laser ex vivo. The experiments were carried out using tuna tissue, which was irradiated with a 405-nm diode laser at output powers of 400 mW (694 W/cm 2 ) to 1 W (1735 W/cm 2 ) on a motorized stage moving at a rate of 1 mm/sec. As a control, a 920-nm diode laser was used with the same irradiation conditions. After irradiation, the thickness of ablation and coagulation was measured by stereoscopic microscopy and evaluated statistically. Ablation and coagulation zones were obtained with 405-nm laser irradiation, but not with irradiation at 920 nm. Ablation depth increased significantly with output power and a thick coagulation zone was observed with 405-nm irradiation. The 405-nm diode laser performed well for incising and coagulating soft tissue at a low power density
Directory of Open Access Journals (Sweden)
Manuela Buonanno
Full Text Available BACKGROUND: 0.5% to 10% of clean surgeries result in surgical-site infections, and attempts to reduce this rate have had limited success. Germicidal UV lamps, with a broad wavelength spectrum from 200 to 400 nm are an effective bactericidal option against drug-resistant and drug-sensitive bacteria, but represent a health hazard to patient and staff. By contrast, because of its limited penetration, ~200 nm far-UVC light is predicted to be effective in killing bacteria, but without the human health hazards to skin and eyes associated with conventional germicidal UV exposure. AIMS: The aim of this work was to test the biophysically-based hypothesis that ~200 nm UV light is significantly cytotoxic to bacteria, but minimally cytotoxic or mutagenic to human cells either isolated or within tissues. METHODS: A Kr-Br excimer lamp was used, which produces 207-nm UV light, with a filter to remove higher-wavelength components. Comparisons were made with results from a conventional broad spectrum 254-nm UV germicidal lamp. First, cell inactivation vs. UV fluence data were generated for methicillin-resistant S. aureus (MRSA bacteria and also for normal human fibroblasts. Second, yields of the main UV-associated pre-mutagenic DNA lesions (cyclobutane pyrimidine dimers and 6-4 photoproducts were measured, for both UV radiations incident on 3-D human skin tissue. RESULTS: We found that 207-nm UV light kills MRSA efficiently but, unlike conventional germicidal UV lamps, produces little cell killing in human cells. In a 3-D human skin model, 207-nm UV light produced almost no pre-mutagenic UV-associated DNA lesions, in contrast to significant yields induced by a conventional germicidal UV lamp. CONCLUSIONS: As predicted based on biophysical considerations, 207-nm light kills bacteria efficiently but does not appear to be significantly cytotoxic or mutagenic to human cells. Used appropriately, 207-nm light may have the potential for safely and inexpensively
Buonanno, Manuela; Randers-Pehrson, Gerhard; Bigelow, Alan W; Trivedi, Sheetal; Lowy, Franklin D; Spotnitz, Henry M; Hammer, Scott M; Brenner, David J
2013-01-01
0.5% to 10% of clean surgeries result in surgical-site infections, and attempts to reduce this rate have had limited success. Germicidal UV lamps, with a broad wavelength spectrum from 200 to 400 nm are an effective bactericidal option against drug-resistant and drug-sensitive bacteria, but represent a health hazard to patient and staff. By contrast, because of its limited penetration, ~200 nm far-UVC light is predicted to be effective in killing bacteria, but without the human health hazards to skin and eyes associated with conventional germicidal UV exposure. The aim of this work was to test the biophysically-based hypothesis that ~200 nm UV light is significantly cytotoxic to bacteria, but minimally cytotoxic or mutagenic to human cells either isolated or within tissues. A Kr-Br excimer lamp was used, which produces 207-nm UV light, with a filter to remove higher-wavelength components. Comparisons were made with results from a conventional broad spectrum 254-nm UV germicidal lamp. First, cell inactivation vs. UV fluence data were generated for methicillin-resistant S. aureus (MRSA) bacteria and also for normal human fibroblasts. Second, yields of the main UV-associated pre-mutagenic DNA lesions (cyclobutane pyrimidine dimers and 6-4 photoproducts) were measured, for both UV radiations incident on 3-D human skin tissue. We found that 207-nm UV light kills MRSA efficiently but, unlike conventional germicidal UV lamps, produces little cell killing in human cells. In a 3-D human skin model, 207-nm UV light produced almost no pre-mutagenic UV-associated DNA lesions, in contrast to significant yields induced by a conventional germicidal UV lamp. As predicted based on biophysical considerations, 207-nm light kills bacteria efficiently but does not appear to be significantly cytotoxic or mutagenic to human cells. Used appropriately, 207-nm light may have the potential for safely and inexpensively reducing surgical-site infection rates, including those of drug
Comparison of 850-nm and 1550-nm VCSELs for low-cost short-reach IM/DD and OFDM SMF/MMF links
DEFF Research Database (Denmark)
Karinou, Fotini; Deng, Lei; Rodes Lopez, Roberto
2013-01-01
In this paper, we experimentally compare the suitability of two VCSEL designs of different wavelength and technology as inexpensive, off-the-shelf transmitter components to enable low-cost and energy-efficient optical interconnects employing conventional (NRZ IM/DD) and advanced (OFDM) modulation....... In particular, we assess the performance of a multimode (MM) 850-nm and a single-mode (SM) 1550-nm VCSEL over 100 m/1 km of 50.7-μm diameter OM-4 MMF links and 100 m/5 km SMF links. OFDM-QPSK is investigated in order to substitute IM/DD in order to increase the capacity in the aforementioned VCSEL-based, MMF...
Optical breakdown threshold investigation of 1064 nm laser induced air plasmas
International Nuclear Information System (INIS)
Thiyagarajan, Magesh; Thompson, Shane
2012-01-01
We present the theoretical and experimental measurements and analysis of the optical breakdown threshold for dry air by 1064 nm infrared laser radiation and the significance of the multiphoton and collisional cascade ionization process on the breakdown threshold measurements over pressures range from 10 to 2000 Torr. Theoretical estimates of the breakdown threshold laser intensities and electric fields are obtained using two distinct theories namely multiphoton and collisional cascade ionization theories. The theoretical estimates are validated by experimental measurements and analysis of laser induced breakdown processes in dry air at a wavelength of 1064 nm by focusing 450 mJ max, 6 ns, 75 MW max high-power 1064 nm IR laser radiation onto a 20 μm radius spot size that produces laser intensities up to 3 - 6 TW/cm 2 , sufficient for air ionization over the pressures of interest ranging from 10 to 2000 Torr. Analysis of the measured breakdown threshold laser intensities and electric fields are carried out in relation with classical and quantum theoretical ionization processes, operating pressures. Comparative analysis of the laser air breakdown results at 1064 nm with corresponding results of a shorter laser wavelength (193 nm) [M. Thiyagarajan and J. E. Scharer, IEEE Trans. Plasma Sci. 36, 2512 (2008)] and a longer microwave wavelength (10 8 nm) [A. D. MacDonald, Microwave Breakdown in Gases (Wiley, New York, 1966)]. A universal scaling analysis of the breakdown threshold measurements provided a direct comparison of breakdown threshold values over a wide range of frequencies ranging from microwave to ultraviolet frequencies. Comparison of 1064 nm laser induced effective field intensities for air breakdown measurements with data calculated based on the collisional cascade and multiphoton breakdown theories is used successfully to determine the scaled collisional microwave portion. The measured breakdown threshold of 1064 nm laser intensities are then scaled to
Results from a new 193nm die-to-database reticle inspection platform
Broadbent, William H.; Alles, David S.; Giusti, Michael T.; Kvamme, Damon F.; Shi, Rui-fang; Sousa, Weston L.; Walsh, Robert; Xiong, Yalin
2010-05-01
A new 193nm wavelength high resolution reticle defect inspection platform has been developed for both die-to-database and die-to-die inspection modes. In its initial configuration, this innovative platform has been designed to meet the reticle qualification requirements of the IC industry for the 22nm logic and 3xhp memory generations (and shrinks) with planned extensions to the next generation. The 22nm/3xhp IC generation includes advanced 193nm optical lithography using conventional RET, advanced computational lithography, and double patterning. Further, EUV pilot line lithography is beginning. This advanced 193nm inspection platform has world-class performance and the capability to meet these diverse needs in optical and EUV lithography. The architecture of the new 193nm inspection platform is described. Die-to-database inspection results are shown on a variety of reticles from industry sources; these reticles include standard programmed defect test reticles, as well as advanced optical and EUV product and product-like reticles. Results show high sensitivity and low false and nuisance detections on complex optical reticle designs and small feature size EUV reticles. A direct comparison with the existing industry standard 257nm wavelength inspection system shows measurable sensitivity improvement for small feature sizes
Generation of femtosecond laser pulses at 396 nm in K3B6O10Cl crystal
International Nuclear Information System (INIS)
Zhang Ning-Hua; Huang Hang-Dong; Tian Wen-Long; Zhu Jiang-Feng; Teng Hao; Fang Shao-Bo; Wei Zhi-Yi; Wu Hong-Ping; Pan Shi-Lie
2016-01-01
K 3 B 6 O 10 Cl (KBOC), a new nonlinear optical crystal, shows potential advantages for the generation of deep ultraviolet (UV) light compared with other borate crystals. In this paper we study for the first time the second harmonic generation (SHG) of a femtosecond Ti:sapphire amplifier with this crystal. Laser power is obtained to be as high as 220 mW at the central wavelength of 396 nm with a 1-mm-long crystal, and the maximum SHG conversion efficiency reaches 39.3%. The typical pulse duration is 83 fs. The results show that second harmonic (SH) conversion efficiency has the room to be further improved and that the new nonlinear crystal is very suited to generate the high efficiency deep ultraviolet laser radiation below 266 nm. (paper)
Varanasi, Rao; Mesawich, Michael; Connor, Patrick; Johnson, Lawrence
2017-03-01
Two versions of a specific 2nm rated filter containing filtration medium and all other components produced from high density polyethylene (HDPE), one subjected to standard cleaning, the other to specialized ultra-cleaning, were evaluated in terms of their cleanliness characteristics, and also defectivity of wafers processed with photoresist filtered through each. With respect to inherent cleanliness, the ultraclean version exhibited a 70% reduction in total metal extractables and 90% reduction in organics extractables compared to the standard clean version. In terms of particulate cleanliness, the ultraclean version achieved stability of effluent particles 30nm and larger in about half the time required by the standard clean version, also exhibiting effluent levels at stability almost 90% lower. In evaluating defectivity of blanket wafers processed with photoresist filtered through either version, initial defect density while using the ultraclean version was about half that observed when the standard clean version was in service, with defectivity also falling more rapidly during subsequent usage of the ultraclean version compared to the standard clean version. Similar behavior was observed for patterned wafers, where the enhanced defect reduction was primarily of bridging defects. The filter evaluation and actual process-oriented results demonstrate the extreme value in using filtration designed possessing the optimal intrinsic characteristics, but with further improvements possible through enhanced cleaning processes
Institute of Scientific and Technical Information of China (English)
杨千里; 郑文渊; 卢忠
2017-01-01
Objective: To compare the efficacy and adverse reactions of 532 nm KTP laser and 595 nm pulsed dye laser in the treatment of port wine stain. Methods:The lesions of 18 patients were divided into two areas. One area was treated with 532 nm KTP laser and another area was treated with 595 nm pulsed dye la ̄ser, once every 2~3 months for 2~4 times. The safety and efficacy were evaluated after the treatment and in 2-3 months after the treatment. Results:There was no significant difference in the cure rate between 532 nm KTP laser and 595 nm pulsed dye laser. Some patients who were resistant to pulsed dye laser treatment were also sensitive to the treatment with 532 nm KTP laser. There were less pain and less purpura in the group of 532 nm KTP laser. Only one patient in each group developed hyperpigmentation. Conclusion: 532 nm KTP laser has similar efficacy to 595 nm pulsed dye laser and relatively less adverse reactions. It can be used as an alternative treatment of port wine stain.%目的::比较532 nm KTP激光与脉冲染料激光( PDL)对鲜红斑痣的疗效及安全性。方法:将18例鲜红斑痣患者的自身皮损随机分成KTP激光治疗区及PDL治疗区,分别予以532 nm KTP激光及595 nm PDL治疗,每2~3个月治疗一次,共2~4次。每次治疗前、后即刻及治疗后2~3个月对患者激光治疗后的皮损进行疗效及安全性评价。结果:532 nm KTP激光治疗组及595 nm PDL治疗组治疗后疗效均无显著差异。在一些既往曾接受PDL治疗疗效不佳的患者,经532 nm KTP 激光治疗后,皮损取得一定改善。532 nm KTP激光治疗组在治疗时疼痛程度及治疗后紫癜程度均显著低于595 nmPDL治疗组。两组均有1例色素沉着发生。结论:532 nm KTP激光治疗鲜红斑痣的疗效较好,不良反应少,安全性好,可以作为鲜红斑痣治疗的另一方案。
Diverse effects of a 445 nm diode laser on isometric contraction of the rat aorta
Park, Sang Woong; Shin, Kyung Chul; Park, Hyun Ji; Lee, In Wha; Kim, Hyung-Sik; Chung, Soon-Cheol; Kim, Ji-Sun; Jun, Jae-Hoon; Kim, Bokyung; Bae, Young Min
2015-01-01
The usefulness of visible lasers in treating vascular diseases is controversial. It is probable that multiple effects of visible lasers on blood vessels and their unclear mechanisms have hampered the usefulness of this therapy. Therefore, elucidating the precise actions and mechanisms of the effects of lasers on blood vessels would provide insight into potential biomedical applications. Here, using organ chamber isometric contraction measurements, western blotting, patch-clamp, and en face immunohistochemistry, we showed that a 445 nm diode laser contracted rat aortic rings, both by activating endothelial nitric oxide synthase and by increasing oxidative stress. In addition to the effects on the endothelium, the laser also directly relaxed and contracted vascular smooth muscle by inhibiting L-type Ca2+ channels and by activating protein tyrosine kinases, respectively. Thus, we conclude that exposure to 445 nm laser might contract and dilate blood vessels in the endothelium and smooth muscle via distinct mechanisms. PMID:26417517
Zhan, Qiuqiang; Liu, Haichun; Wang, Baoju; Wu, Qiusheng; Pu, Rui; Zhou, Chao; Huang, Bingru; Peng, Xingyun; Ågren, Hans; He, Sailing
2017-10-20
Stimulated emission depletion microscopy provides a powerful sub-diffraction imaging modality for life science studies. Conventionally, stimulated emission depletion requires a relatively high light intensity to obtain an adequate depletion efficiency through only light-matter interaction. Here we show efficient emission depletion for a class of lanthanide-doped upconversion nanoparticles with the assistance of interionic cross relaxation, which significantly lowers the laser intensity requirements of optical depletion. We demonstrate two-color super-resolution imaging using upconversion nanoparticles (resolution ~ 66 nm) with a single pair of excitation/depletion beams. In addition, we show super-resolution imaging of immunostained cytoskeleton structures of fixed cells (resolution ~ 82 nm) using upconversion nanoparticles. These achievements provide a new perspective for the development of photoswitchable luminescent probes and will broaden the applications of lanthanide-doped nanoparticles for sub-diffraction microscopic imaging.
Fluorescence-based calculus detection using a 405-nm excitation wavelength
Brede, O.; Schelle, F.; Krueger, S.; Oehme, B.; Dehn, C.; Frentzen, M.; Braun, A.
2011-03-01
The aim of this study was to assess the difference of fluorescence signals of cement and calculus using a 405 nm excitation wavelength. A total number of 20 freshly extracted teeth was used. The light source used for this study was a blue LED with a wavelength of 405nm. For each tooth the spectra of calculus and cementum were measured separately. Fluorescence light was collimated into an optical fibre and spectrally analyzed using an echelle spectrometer (aryelle 200, Lasertechnik Berlin, Germany) with an additionally bandpass (fgb 67, Edmund Industrial Optics, Karlsruhe, Germany). From these 40 measurements the median values were calculated over the whole spectrum, leading to two different median spectra, one for calculus and one for cementum. For further statistical analysis we defined 8 areas of interest (AOI) in wavelength regions, showing remarkable differences in signal strength. In 7 AOIs the intensity of the calculus spectrum differed statistically significant from the intensity of the cementum spectrum (p calculus and cement between 600nm and 700nm. Thus, we can conclude that fluorescence of calculus shows a significant difference to the fluorescence of cement. A differentiation over the intensity is possible as well as over the spectrum. Using a wavelength of 405nm, it is possible to distinguish between calculus and cement. These results could be used for further devices to develop a method for feedback controlled calculus removal.
DEFF Research Database (Denmark)
Tennøy, Aud; Hansson, Lisa; Lissandrello, Enza
2016-01-01
regions. Even if diffusion of research-based knowledge have been investigated, planners' use of expert knowledge when making plans, and the effects on the content of plans, have gained surprisingly little attention in planning research. Over the past few years, interesting discussions concerning...... achievement potential of plans. This knowledge is the main basis for many planners' knowing and acting, and fundamental for land use and transport planning being coordinated and for traffic-reducing measures being included in the plans. The knowledge is used for explaining cause-effect relations......, and as arguments for selecting traffic-reducing measures. All examined plans also include strategies reducing their goal achievement potentials. This happens through a mix of mechanisms. When competing objectives or ideas seemingly call for traffic-increasing measures, the planners do not use the expert knowledge...
Pre-storm NmF2 enhancements at middle latitudes: delusion or reality?
Directory of Open Access Journals (Sweden)
A. V. Mikhailov
2009-03-01
Full Text Available A critical analysis of recent publications devoted to the NmF2 pre-storm enhancements is performed. There are no convincing arguments that the observed cases of NmF2 enhancements at middle and sub-auroral latitudes bear a relation to the following magnetic storms. In all cases considered the NmF2 pre-storm enhancements were due to previous geomagnetic storms, moderate auroral activity or they presented the class of positive quiet time events (Q-disturbances. Therefore, it is possible to conclude that there is no such an effect as the pre-storm NmF2 enhancement as a phenomenon inalienably related to the following magnetic storm. The observed nighttime NmF2 enhancements at sub-auroral latitudes may result from plasma transfer from the plasma ring area by meridional thermospheric wind. Enhanced plasmaspheric fluxes into the nighttime F2-region resulted from westward substorm-associated electric fields is another possible source of nighttime NmF2 enhancements. Daytime positive Q-disturbances occurring under very low geomagnetic activity level may be related to the dayside cusp activity.
Directory of Open Access Journals (Sweden)
Haisheng Zheng
2016-07-01
Full Text Available We report a direct conversion solid-state neutron detection device fabricated by combining the large neutron capture cross-section of 10B with the charge trapping attributes of sub-2 nm Pt nanoparticles (Pt NPs in MOSCAP structures. The 10B embedded polystyrene based neutron conversion layer also serves as the dielectric layer. Neutron sensing is achieved through carrier generation within the active 10B based dielectric layer and subsequent transfer to the embedded Pt NP layers, resulting in a significant change of the device's flat-band voltage upon ex-situ characterization. Both single and dual Pt NP layer embedded architectures, with varying electron addition energies, were tested within this study. While dual-layer Pt NPs embedded direct conversion devices with higher electron addition energy are shown to successfully capture charges generated through energetic reaction product upon neutron capture, the single Pt NP layer embedded device structure with lower electron addition energy displays signs of charge loss attributable to direct tunneling in the ex-situ capacitance–voltage measurement. Although only ex-situ detector operation is demonstrated within the realms of this study, sensitive in-situ neutron detectors and ultra-stable ex-situ dosimeters may be achievable utilizing a similar structure by fine-tuning the Pt NP size and the number of Pt NP layers in the device. Keywords: Neutron detection, Sub-2 nm Pt nanoparticles, 10B enriched dielectrics, Direct conversion, MOSCAP, Coulomb blockade
Energy Technology Data Exchange (ETDEWEB)
Arif, S.; Forster, M.; Kautek, W. [University of Vienna, Department of Physical Chemistry, Wien (Austria); Bushuk, S.; Kouzmouk, A.; Tatur, H.; Batishche, S. [National Academy of Sciences of the Republic of Belarus, Institute of Physics, Minsk (Belarus)
2013-02-15
The laser-induced phase separation of charcoal particles on additive-free cotton linters cellulose paper was investigated by electron and optical microscopy, colorimetry, and diffuse reflectance FT-IR. The fibre bundles were vaporised in depth of several 10 {mu}m above destruction fluence thresholds using visible 532 nm radiation. This is in contrast to mid-ultraviolet 213 nm radiation, where only the top fibre bundles were modified and partially evaporated. The colorimetric lightness results generally represented the cleaning status, whereas the colorimetric yellowing data represented irreversible chemical and/or photochemical changes. Charcoal-contaminated paper treated with visible and mid-ultraviolet radiation exhibited yellowing, whereas uncontaminated did not. This suggests that the electron-rich plasma generated by the evaporation of the particles heats the adjacent substrate and also excludes oxygen. Mid-ultraviolet, in contrast to visible radiation, shows particle removal always accompanied by paper destruction. IR spectroscopy results suggest cross-linking by ether bonds near the destruction threshold, but do not prove the formation of oxidation products and double bonds as the basis of the yellowing. A ''cleaning window'' between the cleaning threshold (0.1 J/cm{sup 2}) and the paper destruction threshold (2.9 J/cm{sup 2}) with a pulse number of 2 is provided by visible 532 nm laser treatment. (orig.)
Action of a 904-nm diode laser in orthopedics and traumatology: a clinical study on 447 cases
Tam, Giuseppe
2001-10-01
Objective: The evidence in medical literature is that a beneficial analgesic effect can only be obtained by employing laser radiation of relatively low power density and wavelengths which are able to penetrate tissue. For this reason the semiconductor, or laser diode (GaAs, 904 nm), is the most appropriate choice in pain-reduction therapy. Summary Background Data: Low power laser (or LLL) acts on the Prostaglandins synthesis, increases the endorphins synthesis in the Rolando gelatinous substance and in the dorsal horn of the spinal cord. The L-Arginine, which is the classic substrate of nitric oxide, carries on vasodilatory and anti- inflammatory action. Methods: Treatment was carried out on 447 cases and 435 patients (250 women and 185 men) between 20th May 1987 and 31st December 1999. The patients, whose age ranged from 25 to 70, were suffering from rheumatic, degenerative and traumatic pathologies as well as cutaneous ulcers. The majority of patients had been seen by orthopaedists and rheumatologists and had undergone x-ray, ultrasound scan, etc. All patients had previously received drug-based treatment and/or physiotherapy, with poor results. Two thirds were experiencing acute symptomatic pain, while the others presented a chronic pathology with recurrent crises. We used a pulsed IR diode laser, GaAs emitting at 904 nm. Frequency of treatment: 1 application per day for 5 consecutive days, followed by a 2-day interval. The percentage reduction in symptoms or improvement in functional status were determined on the basis of objective analysis as it happens in the Legal and Insurance Medicine field. Results: Very good results were achieved especially with cases of symptomatic osteoarthritis of the cervical vertebrae, with sport-related injuries, epicondylitis, osteoarthritis of the knee, periarthritis and with cutaneous ulcers. The beneficial action of the LLLT in the latter pathology is linked to the increase in collagen and to fibroblast proliferation. The total
Energy Technology Data Exchange (ETDEWEB)
Lin, Hsiao-Hsuan; Carlsson, Mats, E-mail: h.h.lin@astro.uio.no, E-mail: mats.carlsson@astro.uio.no [Institute of Theoretical Astrophysics, University of Oslo, P.O. Box 1029 Blindern, NO-0315 Oslo (Norway)
2015-11-01
The O i 135.56 nm line is covered by NASA's Interface Region Imaging Spectrograph (IRIS) small explorer mission which studies how the solar atmosphere is energized. We study here the formation and diagnostic potential of this line by means of non-local thermodynamic equilibrium modeling employing both 1D semi-empirical and 3D radiation magnetohydrodynamic models. We study the basic formation mechanisms and derive a quintessential model atom that incorporates essential atomic physics for the formation of the O i 135.56 nm line. This atomic model has 16 levels and describes recombination cascades through highly excited levels by effective recombination rates. The ionization balance O i/O ii is set by the hydrogen ionization balance through charge exchange reactions. The emission in the O i 135.56 nm line is dominated by a recombination cascade and the line is optically thin. The Doppler shift of the maximum emission correlates strongly with the vertical velocity in its line forming region, which is typically located at 1.0–1.5 Mm height. The total intensity of the line emission is correlated with the square of the electron density. Since the O i 135.56 nm line is optically thin, the width of the emission line is a very good diagnostic of non-thermal velocities. We conclude that the O i 135.56 nm line is an excellent probe of the middle chromosphere, and compliments other powerful chromospheric diagnostics of IRIS such as the Mg ii h and k lines and the C ii lines around 133.5 nm.
A 2 GS/s 8-bit folding and interpolating ADC in 90 nm CMOS
International Nuclear Information System (INIS)
He Wenwei; Meng Qiao; Zhang Yi; Tang Kai
2014-01-01
A single-channel 2 GS/s 8-bit analog-to-digital converter in 90 nm CMOS process technology is presented. It utilizes cascade folding architecture, which incorporates an additional inter-stage sample-and-hold amplifier between the folding circuits to enhance the quantization time. It also uses the foreground on-chip digital-assisted calibration circuit to improve the linearity of the circuit. The post simulation results demonstrate that it has a differential nonlinearity < ±0.3 LSB and an integral nonlinearity < ±0.25 LSB at the Nyquist frequency. Moreover, 7.338 effective numbers of bits can be achieved at 2 GSPS. The whole chip area is 0.88 × 0.88 mm 2 with the pad. It consumes 210 mW from a 1.2 V single supply. (semiconductor integrated circuits)
Soliman, Moamen M.
2018-02-02
Black TiO2 is being widely investigated due to its superior optical activity and potential applications in photocatalytic hydrogen generation. Herein, the limitations of the hydrogenation process of TiO2 nanostructures are unraveled by exploiting the fundamental tradeoffs affecting the overall efficiency of the water splitting process. To control the nature and concentration of defect states, different reduction rates are applied to sub-100 nm TiO2 nanotubes, chosen primarily for their superiority over their long counterparts. X-Ray Photoelectron Spectroscopy disclosed changes in the stoichiometry of TiO2 with the reduction rate. UV-vis and Raman spectra showed that high reduction rates promote the formation of the rutile phase in TiO2, which is inactive towards water splitting. Furthermore, electrochemical analysis revealed that such high rates induce a higher concentration of localized electronic defect states that hinder the water splitting performance. Finally, incident photon-to-current conversion efficiency (IPCE) highlighted the optimum reduction rate that attains a relatively lower defect concentration as well as lower rutile content, thereby achieving the highest conversion efficiency.
Orun, A B; Seker, H; Uslan, V; Goodyer, E; Smith, G
2017-06-01
The textural structure of 'skin age'-related subskin components enables us to identify and analyse their unique characteristics, thus making substantial progress towards establishing an accurate skin age model. This is achieved by a two-stage process. First by the application of textural analysis using laser speckle imaging, which is sensitive to textural effects within the λ = 650 nm spectral band region. In the second stage, a Bayesian inference method is used to select attributes from which a predictive model is built. This technique enables us to contrast different skin age models, such as the laser speckle effect against the more widely used normal light (LED) imaging method, whereby it is shown that our laser speckle-based technique yields better results. The method introduced here is non-invasive, low cost and capable of operating in real time; having the potential to compete against high-cost instrumentation such as confocal microscopy or similar imaging devices used for skin age identification purposes. © 2016 Society of Cosmetic Scientists and the Société Française de Cosmétologie.
Photoelectron Emission Studies in CsBr at 257 nm
International Nuclear Information System (INIS)
Maldonado, Juan R.; Liu, Zhi; Sun, Yun; Pianetta, Piero A.; Pease, Fabian W.
2006-01-01
CsBr/Cr photocathodes were found [1,2] to meet the requirements of a multi-electron beam lithography system operating with a light energy of 4.8 eV (257nm). The fact that photoemission was observed with a light energy below the reported 7.3 eV band gap for CsBr was not understood. This paper presents experimental results on the presence of intra-band gap absorption sites (IBAS) in CsBr thin film photo electron emitters, and presents a model based on IBAS to explain the observed photoelectron emission behavior at energies below band gap. A fluorescence band centered at 330 nm with a FWHM of about 0.34 eV was observed in CsBr/Cr samples under 257 nm laser illumination which can be attributed to IBAS and agrees well with previously obtained synchrotron photoelectron spectra[1] from the valence band of CsBr films
Infra-red laser source using Tm:Ho optical fibre for potential sensor applications
International Nuclear Information System (INIS)
Chen, S Y; Yeo, T L; Leighton, J; Sun, T; Grattan, K T V; Lade, R; Powell, B; Foster-Turner, G; Osborne, M
2007-01-01
In this work, a 1600nm Er fibre laser, which demonstrates a high pumping efficiency, has been used to pump an efficient all-fibre Tm:Ho laser system using a 0.3 m length of optical fibre. A low threshold of 33 mW and a slope efficiency of 0.6% have been achieved with operation at a wavelength of ∼1870 nm. A cross-comparison has been made with the output of a device pumped by a 785 nm laser diode. The focus of the work is better and more compact sources for gas sensing in the near infra red region of the spectrum
Ha, Jae-Won; Lee, Jae-Ik; Kang, Dong-Hyun
2017-02-21
This study was conducted to investigate the basic spectral properties of a 222-nm krypton-chlorine (KrCl) excilamp and its inactivation efficacy against major foodborne pathogens on solid media, as well as on sliced cheese compared to a conventional 254-nm low-pressure mercury (LP Hg) lamp. Selective media and sliced cheese inoculated with Escherichia coli O157:H7, Salmonella enterica serovar Typhimurium, and Listeria monocytogenes were irradiated with a KrCl excilamp and a LP Hg lamp at the same dose. The KrCl excilamp showed full radiant intensity from the outset at a wide range of working temperatures, especially at low temperatures of around 0 to 10°C. Irradiation with 222nm UV-C showed significantly (P<0.05) higher inactivation capacity against all three pathogens than 254-nm radiation on both media and sliced cheese surfaces without generating many sublethally injured cells which potentially could recover. The underlying inactivation mechanisms of 222-nm KrCl excilamp treatment were evaluated by fluorescent staining methods and damage to cellular membranes and intracellular enzyme inactivation were the primary factors contributing to the enhanced bactericidal effect. The results of this study suggest that a 222-nm UV-C surface disinfecting system can be applied as an alternative to conventional LP Hg lamp treatment by the dairy industry. Copyright © 2016. Published by Elsevier B.V.
Gadwal, Ikhlas
2018-04-06
We demonstrated here a novel and facile synthesis of two-dimensional (2D) covalent organic thin film with pore size around 1.5 nm using a planar, amphiphilic and substituted heptacyclic truxene based triamine and a simple dialdehyde as building blocks by dynamic imine bond formation at the air/water interface using Langmuir–Blodgett (LB) method. Optical microscopy (OM), scanning electron microscopy (SEM), transmission electron microscopy (TEM), and atomic force microscopy (AFM), all unanimously showed the formation of large, molecularly thin and free-standing membrane that can be easily transferred on different substrate surfaces. The 2D membrane supported on a porous polysulfone showed a rejection rate of 64 and 71% for NaCl and MgSO4, respectively, and a clear molecular sieving at molecular size around 1.3 nm, which demonstrated a great potential in the application of pretreatment of seawater desalination and separation of organic molecules.
International Nuclear Information System (INIS)
Colucci, Alessandro; Nichelatti, Enrico
1998-04-01
It's developed the prototype of an instrument that can be used for the optical characterisation of graded reflectivity mirrors at any wavelength in the spectral region from 250 nm to 1100 nm. The instrument utilises a high-pressure Xe arc lamp as light source. Light is spectrally filtered by means of a grating monochromator. The sample is illuminated with an image of the monochromator exit slit. After reflection from the sample, this image is projected onto a 1024-elements charge-coupled device linear array driven by a digital frame board and interfaced with a personal computer. It's tested the instrument accuracy by comparing measurement results with the corresponding ones obtained by means of a laser scanning technique. Measurement Rms repeatability has been estimated to be approximately of 0.8% [it
Irradiation Effect of Argon Ion on Interfacial Structure Fe(2nm/Si(tsi=0.5-2 nm Multilayer thin Film
Directory of Open Access Journals (Sweden)
S. Purwanto
2010-04-01
Full Text Available Investigation includes formation of interfacial structure of Fe(2nm/Si(tSi= 0.5-2 nm multilayer thin film and the behavior of antiferromagnetic coupling between Fe layers due to Argon ion irradiation was investigated. [Fe(2nm/Si]30 multilayers (MLs with a thickness of Si spacer 0.5 - 2 nanometer were prepared on n-type (100 Si substrate by the helicon plasma sputtering method. Irradiation were performed using 400keV Ar ion to investigate the behavior of magnetic properties of the Fe/Si MLs. The magnetization measurements of Fe/Si MLs after 400keV Ar ion irradiation show the degradation of antiferromagnetic behavior of Fe layers depend on the ion doses. The Magnetoresistance (MR measurements using by Four Point Probe (FPP method also confirm that MR ratio decrease after ion irradiation. X-ray diffraction (XRD patterns indicate that the intensity of a satellite peak induced by a superlattice structure does not change within the range of ion dose. These results imply that the surface of interface structures after ion irradiation become rough although the layer structures are maintained. Therefore, it is considered that the MR properties of Fe/Si MLs also are due to the metallic superlattice structures such as Fe/Cr and Co/Cu MLs.
Energy Technology Data Exchange (ETDEWEB)
Lin, Hsiao-Hsuan; Carlsson, Mats; Leenaarts, Jorrit, E-mail: mats.carlsson@astro.uio.no, E-mail: jorrit.leenaarts@astro.su.se [Institute of Theoretical Astrophysics, University of Oslo, P.O. Box 1029 Blindern, NO-0315 Oslo (Norway)
2017-09-01
The C i 135.58 nm line is located in the wavelength range of NASA’s Interface Region Imaging Spectrograph ( IRIS ) small explorer mission. We study the formation and diagnostic potential of this line by means of non local-thermodynamic-equilibrium modeling, employing both 1D and 3D radiation-magnetohydrodynamic models. The C i/C ii ionization balance is strongly influenced by photoionization by Ly α emission. The emission in the C i 135.58 nm line is dominated by a recombination cascade and the line forming region is optically thick. The Doppler shift of the line correlates strongly with the vertical velocity in its line forming region, which is typically located at 1.5 Mm height. With IRIS , the C i 135.58 nm line is usually observed together with the O i 135.56 nm line, and from the Doppler shift of both lines, we obtain the velocity difference between the line forming regions of the two lines. From the ratio of the C i/O i line core intensity, we can determine the distance between the C i and the O i forming layers. Combined with the velocity difference, the velocity gradient at mid-chromospheric heights can be derived. The C i/O i total intensity line ratio is correlated with the inverse of the electron density in the mid-chromosphere. We conclude that the C i 135.58 nm line is an excellent probe of the middle chromosphere by itself, and together with the O i 135.56 nm line the two lines provide even more information, which complements other powerful chromospheric diagnostics of IRIS such as the Mg ii h and k lines and the C ii lines around 133.5 nm.
Directory of Open Access Journals (Sweden)
F. Vanhellemont
2008-04-01
Full Text Available The Canadian ACE (Atmospheric Chemistry Experiment mission is dedicated to the retrieval of a large number of atmospheric trace gas species using the solar occultation technique in the infrared and UV/visible spectral domain. However, two additional solar disk imagers (at 525 nm and 1020 nm were added for a number of reasons, including the retrieval of aerosol and cloud products. In this paper, we present first comparison results for these imager aerosol/cloud optical extinction coefficient profiles, with the ones derived from measurements performed by 3 solar occultation instruments (SAGE II, SAGE III, POAM III, one stellar occultation instrument (GOMOS and one limb sounder (OSIRIS. The results indicate that the ACE imager profiles are of good quality in the upper troposphere/lower stratosphere, although the aerosol extinction for the visible channel at 525 nm contains a significant negative bias at higher altitudes, while the relative differences indicate that ACE profiles are almost always too high at 1020 nm. Both problems are probably related to ACE imager instrumental issues.
Repair of 313-nm induced lesions and photoprotection in yeast Candida guilliermondii
International Nuclear Information System (INIS)
Fraikin, G.Y.; Pospelov, M.E.; Rubin, L.B.
1977-01-01
The present communication is concerned with the effects of near-UV radiation (300-380 nm) on yeast Candida guilliermondii. It was found that certain doses of 313 nm irradiation caused inactivation of the yeast which was exhibited in a way different from the lethal action of far-UV radiation. It was also found that the cells inactivated by 313 nm are capable of recovering vitality, if incubated for some time in a non-nutrient medium. The yeast inactivated by far-UV radiation also proved to be capable of recovering, though to a lesser degree. Both 334 nm radiation and non-lethal doses at 313 nm induced the photoprotective effect against far-UV damage. The effect was exhibited if there was a certain time interval (2-4 h) between the exposures to photoprotective light and subsequent far-UV radiation. Within this time interval the extent of photoprotection was dependent on temperature. (author)
10-bit segmented current steering DAC in 90nm CMOS technology
International Nuclear Information System (INIS)
Bringas, R Jr; Dy, F; Gerasta, O J
2015-01-01
This special project presents a 10-Bit 1Gs/s 1.2V/3.3V Digital-to-Analog Converter using1 Poly 9 Metal SAED 90-nm CMOS Technology intended for mixed-signal and power IC applications. To achieve maximum performance with minimum area, the DAC has been implemented in 6+4 Segmentation. The simulation results show a static performance of ±0.56 LSB INL and ±0.79 LSB DNL with a total layout chip area of 0.683 mm 2 .The segmented architecture is implemented using two sub DAC's, which are the LSB and MSB section with certain number bits. The DAC is designed using 4-BitBinary Weighted DAC for the LSB section and 6-BitThermometer-coded DAC for the MSB section. The thermometer-coded architecture provides the most optimized results in terms of linearity through reducing the clock feed-through effect especially in hot switching between multiple transistors. The binary- weighted architecture gives better linearity output in higher frequencies with better saturation in current sources. (paper)
International Nuclear Information System (INIS)
Wang, Fei; Clément, Nicolas; Ducatteau, Damien; Troadec, David; Legrand, Bernard; Dambrine, Gilles; Théron, Didier; Tanbakuchi, Hassan
2014-01-01
We present a method to characterize sub-10 nm capacitors and tunnel junctions by interferometric scanning microwave microscopy (iSMM) at 7.8 GHz. At such device scaling, the small water meniscus surrounding the iSMM tip should be reduced by proper tip tuning. Quantitative impedance characterization of attofarad range capacitors is achieved using an ‘on-chip’ calibration kit facing thousands of nanodevices. Nanoscale capacitors and tunnel barriers were detected through variations in the amplitude and phase of the reflected microwave signal, respectively. This study promises quantitative impedance characterization of a wide range of emerging functional nanoscale devices. (paper)
International Nuclear Information System (INIS)
Liu, Q; Yan, X P; Fu, X; Gong, M; Wang, D S
2009-01-01
14.8 W UV laser at 266 nm was reported with the extra cavity frequency quartered configuration. The fundamental frequency IR source is a high-power high-beam-quality acoustic-optic Q-switched Nd:YVO 4 master-oscillator-power-amplifier laser. The type-I phase-matched LBO and type-I phase-matched BBO crystals were used as the extra-cavity frequency doubled and quartered crystal respectively. 14.8 W UV laser of 266 nm was obtained at the pulse repetition rate of 100 kHz with the conversion efficiency of 18.3% from green to UV, and the pulse duration of the UV laser was 10 ns corresponding to the pulse peak power of 14.8 kW. At 150 kHz, 11.5 W power output was obtained. The highest peak power of 21 kW was also achieved at 80 kHz with the average output power of 14.5 W
205 nm continuous-wave laser: application to the measurement of the Lamb shift in hydrogen
International Nuclear Information System (INIS)
Bourzeix, S.
1995-01-01
The subject of this thesis is the construction of an experimental set-up, and in particular of a tunable continuous-wave laser at 205 nm, for the measurement of the ground state Lamb shift in atomic hydrogen. Chapter 1 deals with the Lamb shift from a historical point of view, and with the interest of its measurement, for metrology and test of quantum electrodynamics. Chapter 2 is devoted to the theory of the hydrogen atom. The principle of the experiment is based on the comparison of two frequencies which are in a ratio of 4: those of the two-photon transitions of 2S-6S or 2S-6D and 1S-3S. Chapter 3 describes the experimental set-up used to measure the 2S-6D transition which is excited by a titanium-sapphire laser at 820 nm. The 205 nm light required to excite the 1S-3S transition is generated by two frequency-doubling of the titanium-sapphire laser, made in non-linear crystals placed in enhancement cavities. Chapter 4 is entirely devoted to the frequency-doubling. After a recall of non-linear optics, the enhancement cavities are described in detail, as well as the results we achieved. At last chapter 5 describes the research for a signal on the 1S-3S transition: the construction of a ground state atomic beam, and the development of the detection system. This work has led to a preliminary measurement of the ground state Lamb shift in atomic hydrogen: L(1S) = 8172.850 (174) MHz whose result is in very good agreement with both the previous measurements and the most recent theoretical results. (author)
Nanosecond-pulsed Q-switched Nd:YAG laser at 1064 nm with a gold nanotriangle saturable absorber
Chen, Xiaohan; Li, Ping; Dun, Yangyang; Song, Teng; Ma, Baomin
2018-06-01
Gold nanotriangles (GNTs) were successfully employed as a saturable absorber (SA) to achieve passively Q-switched lasers for the first time. The performance of the Q-switched Nd:YAG laser at 1064 nm has been systematically investigated. The corresponding shortest pulsewidth, the threshold pump power and the maximum Q-switched average output power were 275.5 ns, 1.37 W, and 171 mW, respectively. To our knowledge, this is the shortest pulsewidth and the lowest threshold in a passively Q-switched laser at approximately 1.1 µm based on a gold nanoparticle SA (GNPs-SA). Our experimental results proved that the GNTs-SA can be used as a promising saturable absorber for nanosecond-pulsed lasers.
Buonanno, Manuela; Stanislauskas, Milda; Ponnaiya, Brian; Bigelow, Alan W; Randers-Pehrson, Gerhard; Xu, Yanping; Shuryak, Igor; Smilenov, Lubomir; Owens, David M; Brenner, David J
2016-01-01
UVC light generated by conventional germicidal lamps is a well-established anti-microbial modality, effective against both bacteria and viruses. However, it is a human health hazard, being both carcinogenic and cataractogenic. Earlier studies showed that single-wavelength far-UVC light (207 nm) generated by excimer lamps kills bacteria without apparent harm to human skin tissue in vitro. The biophysical explanation is that, due to its extremely short range in biological material, 207 nm UV light cannot penetrate the human stratum corneum (the outer dead-cell skin layer, thickness 5-20 μm) nor even the cytoplasm of individual human cells. By contrast, 207 nm UV light can penetrate bacteria and viruses because these cells are physically much smaller. To test the biophysically-based hypothesis that 207 nm UV light is not cytotoxic to exposed mammalian skin in vivo. Hairless mice were exposed to a bactericidal UV fluence of 157 mJ/cm2 delivered by a filtered Kr-Br excimer lamp producing monoenergetic 207-nm UV light, or delivered by a conventional 254-nm UV germicidal lamp. Sham irradiations constituted the negative control. Eight relevant cellular and molecular damage endpoints including epidermal hyperplasia, pre-mutagenic UV-associated DNA lesions, skin inflammation, and normal cell proliferation and differentiation were evaluated in mice dorsal skin harvested 48 h after UV exposure. While conventional germicidal UV (254 nm) exposure produced significant effects for all the studied skin damage endpoints, the same fluence of 207 nm UV light produced results that were not statistically distinguishable from the zero exposure controls. As predicted by biophysical considerations and in agreement with earlier in vitro studies, 207-nm light does not appear to be significantly cytotoxic to mouse skin. These results suggest that excimer-based far-UVC light could potentially be used for its anti-microbial properties, but without the associated hazards to skin of conventional
Buonanno, Manuela; Stanislauskas, Milda; Ponnaiya, Brian; Bigelow, Alan W.; Randers-Pehrson, Gerhard; Xu, Yanping; Shuryak, Igor; Smilenov, Lubomir; Owens, David M.; Brenner, David J.
2016-01-01
Background UVC light generated by conventional germicidal lamps is a well-established anti-microbial modality, effective against both bacteria and viruses. However, it is a human health hazard, being both carcinogenic and cataractogenic. Earlier studies showed that single-wavelength far-UVC light (207 nm) generated by excimer lamps kills bacteria without apparent harm to human skin tissue in vitro. The biophysical explanation is that, due to its extremely short range in biological material, 207 nm UV light cannot penetrate the human stratum corneum (the outer dead-cell skin layer, thickness 5–20 μm) nor even the cytoplasm of individual human cells. By contrast, 207 nm UV light can penetrate bacteria and viruses because these cells are physically much smaller. Aims To test the biophysically-based hypothesis that 207 nm UV light is not cytotoxic to exposed mammalian skin in vivo. Methods Hairless mice were exposed to a bactericidal UV fluence of 157 mJ/cm2 delivered by a filtered Kr-Br excimer lamp producing monoenergetic 207-nm UV light, or delivered by a conventional 254-nm UV germicidal lamp. Sham irradiations constituted the negative control. Eight relevant cellular and molecular damage endpoints including epidermal hyperplasia, pre-mutagenic UV-associated DNA lesions, skin inflammation, and normal cell proliferation and differentiation were evaluated in mice dorsal skin harvested 48 h after UV exposure. Results While conventional germicidal UV (254 nm) exposure produced significant effects for all the studied skin damage endpoints, the same fluence of 207 nm UV light produced results that were not statistically distinguishable from the zero exposure controls. Conclusions As predicted by biophysical considerations and in agreement with earlier in vitro studies, 207-nm light does not appear to be significantly cytotoxic to mouse skin. These results suggest that excimer-based far-UVC light could potentially be used for its anti-microbial properties, but without
Directory of Open Access Journals (Sweden)
David Bol
2011-01-01
Full Text Available Ultra-low-voltage operation improves energy efficiency of logic circuits by a factor of 10×, at the expense of speed, which is acceptable for applications with low-to-medium performance requirements such as RFID, biomedical devices and wireless sensors. However, in 65/45 nm CMOS, variability and short-channel effects significantly harm robustness and timing closure of ultra-low-voltage circuits by reducing noise margins and jeopardizing gate delays. The consequent guardband on the supply voltage to meet a reasonable manufacturing yield potentially ruins energy efficiency. Moreover, high leakage currents in these technologies degrade energy efficiency in case of long stand-by periods. In this paper, we review recently published techniques to design robust and energy-efficient ultra-low-voltage circuits in 65/45 nm CMOS under relaxed yet strict timing constraints.
Prototyping the HPDP Chip on STM 65 NM Process
Papadas, C.; Dramitinos, G.; Syed, M.; Helfers, T.; Dedes, G.; Schoellkopf, J.-P.; Dugoujon, L.
2011-08-01
Currently Astrium GmbH is involved in the of the High Performance Data Processor (HPDP) development programme for telecommunication applications under a DLR contract. The HPDP project targets the implementation of the commercially available reconfigurable array processor IP (XPP from the company PACT XPP Technologies) in a radiation hardened technology.In the current complementary development phase funded under the Greek Industry Incentive scheme, it is planned to prototype the HPDP chip in commercial STM 65 nm technology. In addition it is also planned to utilise the preliminary radiation hardened components of this library wherever possible.This abstract gives an overview of the HPDP chip architecture, the basic details of the STM 65 nm process and the design flow foreseen for the prototyping. The paper will discuss the development and integration issues involved in using the STM 65 nm process (also including the available preliminary radiation hardened components) for designs targeted to be used in space applications.
Sub-10-nm Graphene Nanoribbons with Tunable Surface Functionalities for Lithium-ion Batteries
International Nuclear Information System (INIS)
Li, Yan-Sheng; Ao, Xiang; Liao, Jia-Liang; Jiang, Jianjun; Wang, Chundong; Chiang, Wei-Hung
2017-01-01
Highlights: •A green and scalable method to produce sub-10-nm GNR is present. •The surface functionality of sub-10-nm GNR is critical for the LIB properties. •The sub-10-nm GNR showed superior LIB capacity of 490.4 mAh g −1 after 100 cycles. -- Abstract: A systematic study to reveal the relationship between the surface oxygen-containing functionalities of sub-10-nm GNRs and their electrochemical properties for lithium-ion batteries has been presented. Sub-10-nm GNRs with controlled oxygen-containing groups were synthesized by a green and scalable intercalation-assisted unzipping SWCNTs. Detailed materials characterizations including TEM, XRD, Raman and XPS indicate that KNO 3 could be an effective intercalation agent to facilitate the SWCNT unzipping by reducing the strong Van der Waals force attraction of bundled SWCNT. The levels of surface functionalities of sub-10-nm GNR were tuned by carefully controlling the KMnO 4 concentration during the unzipping process. The electrochemical analysis suggests that the as-produced sub-10-nm GNR with 31.4 atomic percent (atom %) oxygen-containing functional groups showed the highest capacity of 490.4 mAh g −1 after 100 cycles. This work proposed that sub-10-nm GNRs with appropriate oxygen-functional groups can be a promising electrode material for high performance lithium-ion batteries.
Huiszoon, B.; Jonker, R.J.W.; Bennekom, van P.K.; Khoe, G.D.; Waardt, de H.
2005-01-01
This paper presents successful 20 and 40 Gb/s potentially low-cost transmission experiments using 1310 nm directly modulated distributed feedback lasers (DMLs) in the very-short- to medium-range distances. This paper will recommend operating conditions for error-free transmission at these bit rates
Lasing at 300 nm and below: Optical challenges and perspectives
Energy Technology Data Exchange (ETDEWEB)
Garzella, D. [Universite de Paris-Sud, Orsay (France); Couprie, M.E. [Universite de Paris-Sud, Orsay (France)]|[CEA DSM DRECAM SPAM, Gif Sur Yvette (France); Billardon, M. [ESPCI, Paris (France)
1995-12-31
The FEL experiment in the visible and near UV on the Super ACO storage ring has given, since 1989, important informations on the SRFEL dynamics and, furthermore, a very good beam stability has been achieved. In addition, the operation at 350 nm with this good stability and a long beam lifetime allowed us to perform the first user experiment in biology and to start with a campaign for using the laser as photons source for experiments in other domains, coupling FEL light and the Synchrotron Radiation. For this, FEL starts to be very competitive with respect to the other conventional laser sources, provided that it could oscillate further in the UV, say at 300 nm and below. So, the real challenge is now given by the lasing at shorter wavelengths and, for this, by the optical technology existing nowadays. Since 1992 the efforts have been concentrating to look for every kind of solution allowing us to overcome the problem of having a very low gain. From an optical point of view, in the range of wavelengths explored, there is a lack of transparents dielectric materials for substrates and coatings. Substrates are required at the same time to be relatively not absorbing (a few tens 10{sup -6}), to have a very good surface quality (RMS roughness below 10 {Angstrom}) because of scattering losses dramatically increasing in this spectral range and, due to the thermal load of the undulator emission, to have adequate thermal characteristics. In order to fulfill all these requirements, a good characterisation and modelisation of the substrates is needed, especially to correlate thermal loading and mechanical deformations from one hand, and roughness and scattering losses from the other hand. Coatings must be not absorbing too and, above all, the most amorphous as possible (this could be obtained with IBS deposition technique), in order to insure a good reproduction of the substrate roughness at the interfaces and on the top layer and an higher resistance to the XUV photons load.
Contrast-enhanced photoacoustic imaging with an optical wavelength of 1064 nm
Kim, Jeesu; Park, Sara; Park, Gyeong Bae; Choi, Wonseok; Jeong, Unyong; Kim, Chulhong
2018-02-01
Photoacoustic (PA) imaging is a biomedical imaging method that can provide both structural and functional information of living tissues beyond the optical diffusion limit by combining the concepts of conventional optical and ultrasound imaging methods. Although endogenous chromophores can be utilized to acquire PA images of biological tissues, exogenous contrast agents that absorb near-infrared (NIR) lights have been extensively explored to improve the contrast and penetration depth of PA images. Here, we demonstrate Bi2Se3 nanoplates, that strongly absorbs NIR lights, as a contrast agent for PA imaging. In particularly, the Bi2Se3 nanoplates produce relatively strong PA signals with an optical wavelength of 1064 nm, which has several advantages for deep tissue imaging including: (1) relatively low absorption by other intrinsic chromophores, (2) cost-effective light source using Nd:YAG laser, and (3) higher available energy than other NIR lights according to American National Standards Institute (ANSI) safety limit. We have investigated deep tissue imaging capability of the Bi2Se3 nanoplates by acquiring in vitro PA images of microtubes under chicken breast tissues. We have also acquired in vivo PA images of bladders, gastrointestinal tracts, and sentinel lymph nodes in mice after injection of the Bi2Se3 nanoplates to verify their applicability to a variety of biomedical research. The results show the promising potential of the Bi2Se3 nanoplates as a PA contrast agent for deep tissue imaging with an optical wavelength of 1064 nm.
Energy Technology Data Exchange (ETDEWEB)
Nguyen, N.D., E-mail: Duy.Nguyen@imec.b [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Rosseel, E. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Takeuchi, S. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Department of Physics and Astronomy, KU Leuven, B-3001 Leuven (Belgium); Everaert, J.-L. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Yang, L. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Department of Chemistry and INPAC Institute, KU Leuven, B-3001 Leuven (Belgium); Goossens, J.; Moussa, A.; Clarysse, T.; Richard, O.; Bender, H. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Zaima, S. [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Nagoya, 464-8603 (Japan); Sakai, A. [Department of System Innovation, Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); Loo, R. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Lin, J.C. [TSMC, R and D, 8, Li-Hsin 6th Rd., Hsinchu Science-Based Park, Hsinchu, Taiwan (China); TSMC assignee at IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Vandervorst, W. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Instituut voor Kern- en Stralingsfysika - IKS, KU Leuven, B-3001 Leuven (Belgium); Caymax, M. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium)
2010-01-01
We evaluated the combination of vapor phase doping and sub-melt laser anneal as a novel doping strategy for the fabrication of source and drain extension junctions in sub-32 nm CMOS technology, aiming at both planar and non-planar device applications. High quality ultra shallow junctions with abrupt profiles in Si substrates were demonstrated on 300 mm Si substrates. The excellent results obtained for the sheet resistance and the junction depth with boron allowed us to fulfill the requirements for the 32 nm as well as for the 22 nm technology nodes in the PMOS case by choosing appropriate laser anneal conditions. For instance, using 3 laser scans at 1300 {sup o}C, we measured an active dopant concentration of about 2.1 x 10{sup 20} cm{sup -} {sup 3} and a junction depth of 12 nm. With arsenic for NMOS, ultra shallow junctions were achieved as well. However, as also seen for other junction fabrication schemes, low dopant activation level and active dose (in the range of 1-4 x 10{sup 13} cm{sup -} {sup 2}) were observed although dopant concentration versus depth profiles indicate that the dopant atoms were properly driven into the substrate during the anneal step. The electrical deactivation of a large part of the in-diffused dopants was responsible for the high sheet resistance values.
International Nuclear Information System (INIS)
Nguyen, N.D.; Rosseel, E.; Takeuchi, S.; Everaert, J.-L.; Yang, L.; Goossens, J.; Moussa, A.; Clarysse, T.; Richard, O.; Bender, H.; Zaima, S.; Sakai, A.; Loo, R.; Lin, J.C.; Vandervorst, W.; Caymax, M.
2010-01-01
We evaluated the combination of vapor phase doping and sub-melt laser anneal as a novel doping strategy for the fabrication of source and drain extension junctions in sub-32 nm CMOS technology, aiming at both planar and non-planar device applications. High quality ultra shallow junctions with abrupt profiles in Si substrates were demonstrated on 300 mm Si substrates. The excellent results obtained for the sheet resistance and the junction depth with boron allowed us to fulfill the requirements for the 32 nm as well as for the 22 nm technology nodes in the PMOS case by choosing appropriate laser anneal conditions. For instance, using 3 laser scans at 1300 o C, we measured an active dopant concentration of about 2.1 x 10 20 cm - 3 and a junction depth of 12 nm. With arsenic for NMOS, ultra shallow junctions were achieved as well. However, as also seen for other junction fabrication schemes, low dopant activation level and active dose (in the range of 1-4 x 10 13 cm - 2 ) were observed although dopant concentration versus depth profiles indicate that the dopant atoms were properly driven into the substrate during the anneal step. The electrical deactivation of a large part of the in-diffused dopants was responsible for the high sheet resistance values.
308-nm excimer laser for the treatment of alopecia areata.
Al-Mutairi, Nawaf
2007-12-01
Alopecia areata is loss of hair from localized or diffuse areas of hair-bearing area of the skin. Recently there are reports of efficacy of the 308-nm excimer radiation for this condition. To study the effect of the 308-nm excimer laser in the treatment of alopecia areata. Eighteen patients with 42 recalcitrant patches (including 1 adult with alopecia totalis) were enrolled in this study. The lesions were treated with the 308-nm excimer laser twice a week for a period of 12 weeks; one lesion on each patient was left as a control for comparison. There were 7 males and 11 females in this study. Regrowth of hair was observed in 17 (41.5%) patches. Thirteen of the 18 lesions in scalp showed a complete regrowth of hair. The extremity regions failed to show a response. Atopic diatheses had an unfavorable effect on the outcome in our patients. The 308-nm excimer laser is an effective therapeutic option for patchy alopecia areata of the scalp and for some cases with patchy alopecia areata of the beard area. It does not work for patchy alopecia areata of the extremities.
Maternity Care in Russia: Issues, Achievements, and Potential.
Shuvalova, Marina P; Yarotskaya, Ekaterina L; Pismenskaya, Tatiana V; Dolgushina, Nataliya V; Baibarina, Elena N; Sukhikh, Gennady T
2015-10-01
In this review, we provide basic facts about maternity care services within the health care system in Russia. We give a short overview of such key aspects as the demographic situation, reproductive behaviour, regulatory framework for providing health care for women and children, maternal and perinatal mortality, and the availability of medical personnel. In 2012, Russia began registration of births in accordance with the WHO recommendations (births with weight ≥ 500 g at ≥ 22 weeks' gestation). Introduction of this new registration system increased the completeness and quality of the collected information and expanded possibilities for future international comparative assessments. A three-level system of specialized medical care has been introduced in Russia for women and newborns during pregnancy, childbirth, and the postpartum period. In 2014, the system included 1942 state (public) maternity hospitals providing 20 obstetric beds per 10 000 women aged 15 to 49 years. More than 100 perinatal centres (level III) are currently functioning in the country, with 32 new perinatal centres planned to open by 2016. The total number of obstetrician-gynaecologists in Russia is approximately 44 000, providing a ratio of 5.7 specialists per 10 000 women. The total number of midwives is 62 000, providing a ratio of 8.1 midwives per 10 000 women. In recent years we have succeeded in optimizing the maternity care system by increasing its accessibility and quality. This was achieved through qualitative and quantitative progress in the training of neonatologists, the development of intensive care technologies and neonatal critical care, capacity building of medical-genetic services and counselling, prenatal diagnosis, and the standardization of health care with data collection.
Jain, Neeraj; Raj, Balwinder
2017-12-01
Continued scaling of CMOS technology to achieve high performance and low power consumption of semiconductor devices in the complex integrated circuits faces the degradation in terms of electrostatic integrity, short channel effects (SCEs), leakage currents, device variability and reliability etc. Nowadays, multigate structure has become the promising candidate to overcome these problems. SOI FinFET is one of the best multigate structures that has gained importance in all electronic design automation (EDA) industries due to its improved short channel effects (SCEs), because of its more effective gate-controlling capabilities. In this paper, our aim is to explore the sensitivity of underlap spacer region variation on the performance of SOI FinFET at 20 nm channel length. Electric field modulation is analyzed with spacer length variation and electrostatic performance is evaluated in terms of performance parameter like electron mobility, electric field, electric potential, sub-threshold slope (SS), ON current (I on), OFF current (I off) and I on/I off ratio. The potential benefits of SOI FinFET at drain-to-source voltage, V DS = 0.05 V and V DS = 0.7 V towards analog and RF design is also evaluated in terms of intrinsic gain (A V), output conductance (g d), trans-conductance (g m), gate capacitance (C gg), and cut-off frequency (f T = g m/2πC gg) with spacer region variations.
Rana, Sidra; Nazar, Umair; Ali, Jafar; Ali, Qurat Ul Ain; Ahmad, Nasir M; Sarwar, Fiza; Waseem, Hassan; Jamil, Syed Umair Ullah
2018-06-01
A new strategy to enhance the antifouling potential of polyether sulfone (PES) membrane is presented. Chemically synthesized silver nanoparticles (AgNPs) were used to prepare a mixed-matrix PES membrane by the phase inversion technique. Primarily, AgNPs synthesis was confirmed by surface plasmon resonance at 410-430 nm using UV-Visible spectroscopy. X-ray diffraction analysis revealed that AgNPs were crystalline with a diameter of 21 ± 2 nm. Furthermore, PES membranes were characterized by energy dispersive X-ray spectroscopy to confirm the incorporation of AgNPs in membranes. Hydrophilicity of the membranes was enhanced, whereas roughness, mechanical strength and biofouling were relatively reduced after embedding the AgNPs. Antibacterial potential of AgNPs was evaluated for E. coli in the disc diffusion and colony-forming unit (CFU) count method. All of the membranes were assessed for antifouling activity by filtering a control dilution (10 6 CFU/ml) of E. coli and by counting CFU. Anti-biofouling activity of the membrane was observed with different concentrations of AgNPs. Maximum reduction (66%) was observed in membrane containing 1.5% of AgNPs. The addition of antibiotic ceftriaxone enhanced the antibacterial effect of AgNPs in PES membranes. Our practicable antifouling strategy may be applied to other polymeric membranes which may pave the new way to achieve sustainable and self-cleaning membrane reactors on large scale.
Ion transport in sub-5-nm graphene nanopores
International Nuclear Information System (INIS)
Suk, Myung E.; Aluru, N. R.
2014-01-01
Graphene nanopore is a promising device for single molecule sensing, including DNA bases, as its single atom thickness provides high spatial resolution. To attain high sensitivity, the size of the molecule should be comparable to the pore diameter. However, when the pore diameter approaches the size of the molecule, ion properties and dynamics may deviate from the bulk values and continuum analysis may not be accurate. In this paper, we investigate the static and dynamic properties of ions with and without an external voltage drop in sub-5-nm graphene nanopores using molecular dynamics simulations. Ion concentration in graphene nanopores sharply drops from the bulk concentration when the pore radius is smaller than 0.9 nm. Ion mobility in the pore is also smaller than bulk ion mobility due to the layered liquid structure in the pore-axial direction. Our results show that a continuum analysis can be appropriate when the pore radius is larger than 0.9 nm if pore conductivity is properly defined. Since many applications of graphene nanopores, such as DNA and protein sensing, involve ion transport, the results presented here will be useful not only in understanding the behavior of ion transport but also in designing bio-molecular sensors
Energy Technology Data Exchange (ETDEWEB)
Zhu, Kai [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Zhao, Dewei [University of Toledo; Wang, Changlei [University of Toledo; Wuhan University; Song, Zhaoning [University of Toledo; Yu, Yue [University of Toledo; Chen, Cong [University of Toledo; Zhao, Xingzhong [Wuhan University; Yan, Yanfa [University of Toledo
2018-02-09
We report on fabrication of 4-terminal all-perovskite tandem solar cells with power conversion efficiencies exceeding 23% by mechanically stacking semitransparent 1.75 eV wide-bandgap FA0.8Cs0.2Pb(I0.7Br0.3)3 perovskite top cells with 1.25 eV low-bandgap (FASnI3)0.6(MAPbI3)0.4 bottom cells. The top cells use MoOx/ITO transparent electrodes and achieve transmittance up to 70% beyond 700 nm.
Anomalous variations of NmF2 over the Argentine Islands: a statistical study
Directory of Open Access Journals (Sweden)
A. V. Pavlov
2009-04-01
Full Text Available We present a statistical study of variations in the F2-layer peak electron density, NmF2, and altitude, hmF2, over the Argentine Islands ionosonde. The critical frequencies, foF2, and, foE, of the F2 and E-layers, and the propagation factor, M(3000F2, measured by the ionosonde during the 1957–1959 and 1962–1995 time periods were used in the statistical analysis to determine the values of NmF2 and hmF2. The probabilities to observe maximum and minimum values of NmF2 and hmF2 in a diurnal variation of the electron density are calculated. Our study shows that the main part of the maximum diurnal values of NmF2 is observed in a time sector close to midnight in November, December, January, and February exhibiting the anomalous diurnal variations of NmF2. Another anomalous feature of the diurnal variations of NmF2 exhibited during November, December, and January when the minimum diurnal value of NmF2 is mainly located close to the noon sector. These anomalous diurnal variations of NmF2 are found to be during both geomagnetically quiet and disturbed conditions. Anomalous features are not found in the diurnal variations of hmF2. The statistical study of the NmF2 winter anomaly phenomena over the Argentine Islands ionosonde was carried out. The variations in a maximum daytime value, R, of a ratio of a geomagnetically quiet daytime winter NmF2 to a geomagnetically quiet daytime summer NmF2 taken at a given UT and for approximately the same level of solar activity were studied. The conditional probability of the occurrence of R in an interval of R, the most frequent value of R, the mean expected value of R, and the conditional probability to observe the F2-region winter anomaly during a daytime period were calculated for low, moderate, and high solar activity. The calculations show that the mean expected value of R and the occurrence frequency of the F2-region winter anomaly increase with increasing solar activity.
Mask roughness and its implications for LER at the 22- and 16-nm nodes
Energy Technology Data Exchange (ETDEWEB)
Naulleau, Patrick; George, Simi A.; McClinton, Brittany M.
2010-02-16
Line-edge roughness (LER) remains the most significant challenge facing the development of extreme ultraviolet (EUV) resist. The mask, however, has been found to be a significant contributor to image-plane LER. This has long been expected based on modeling and has more recently been demonstrated experimentally. Problems arise from both mask-absorber LER as well as mask multilayer roughness leading to random phase variations in the reflected beam and consequently speckle. Understanding the implications this has on mask requirements for the 22-nm half pitch node and below is crucial. Modeling results indicate a replicated surface roughness (RSR) specification of 50 pm and a ruthenium capping layer roughness specification of 440 pm. Moreover, modeling indicates that it is crucial to achieve the current ITRS specifications for mask absorber LER which is significantly smaller than current capabilities.
Highly Sensitive Bulk Silicon Chemical Sensors with Sub-5 nm Thin Charge Inversion Layers.
Fahad, Hossain M; Gupta, Niharika; Han, Rui; Desai, Sujay B; Javey, Ali
2018-03-27
There is an increasing demand for mass-producible, low-power gas sensors in a wide variety of industrial and consumer applications. Here, we report chemical-sensitive field-effect-transistors (CS-FETs) based on bulk silicon wafers, wherein an electrostatically confined sub-5 nm thin charge inversion layer is modulated by chemical exposure to achieve a high-sensitivity gas-sensing platform. Using hydrogen sensing as a "litmus" test, we demonstrate large sensor responses (>1000%) to 0.5% H 2 gas, with fast response (<60 s) and recovery times (<120 s) at room temperature and low power (<50 μW). On the basis of these performance metrics as well as standardized benchmarking, we show that bulk silicon CS-FETs offer similar or better sensing performance compared to emerging nanostructures semiconductors while providing a highly scalable and manufacturable platform.
O'Hare, Bernadette; Makuta, Innocent
2015-02-25
The importance of good health is reflected in the fact that more than half of the eight Millennium Development Goals (MDGs) are aimed at improving health status. Goal 4 (MDG4) aims to reduce child mortality. The progress indicator for goal 4 is the under-five mortality rate (U5M), with a targeted reduction of two thirds by 2015 from 1990 levels. This paper seeks to compare the time (in years) Sub Saharan African (SSA) countries will take to reach their MDG4 target at the current rate of decline, and the time it could have taken to reach their target if domestic resources had not been lost through illicit financial flows, corruption and servicing of debt since 2000. We estimate the amount by which the Gross Domestic Product (GDP) per capita would increase (in percentage terms) if losses of resource through illicit financial flows, corruption and debt servicing, were reduced. Using the income elasticity of U5M, a metric which reports the percentage change in U5M for a one percent change in GDP per capita, we estimate the potential gains in the annual reduction of the under-five mortality if these resource losses were reduced. At the current rate of reduction in U5M, nine countries out of this sample of 36 SSA countries (25%) will achieve their MDG4 target by 2015. In the absence of the leakages (IFF, corruption and debt service) 30 out of 36 (83%) would reach their MDG4 target by 2015 and all except one country, Zimbabwe would have achieved their MDG4 by 2017 (97%). In view of the uncertainty of the legitimacy of African debts we have also provided results where we excluded debt repayment from our analysis. Most countries would have met MDG4 target by curtailing these outflows. In order to release latent resources in SSA for development, action will be needed both by African countries and internationally. We consider that stemming these outflows, and thereby reducing the need for aid, can be achieved with a more transparent global financial system.
Negative-tone imaging with EUV exposure for 14nm hp and beyond
Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Fujimori, Toru; Momota, Makoto; Goto, Takahiro
2015-03-01
Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate (nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse only in case of using new rinse solvent of FN-RP311. Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI
Observations of thunderstorm-related 630 nm airglow depletions
Kendall, E. A.; Bhatt, A.
2015-12-01
The Midlatitude All-sky imaging Network for Geophysical Observations (MANGO) is an NSF-funded network of 630 nm all-sky imagers in the continental United States. MANGO will be used to observe the generation, propagation, and dissipation of medium and large-scale wave activity in the subauroral, mid and low-latitude thermosphere. This network is actively being deployed and will ultimately consist of nine all-sky imagers. These imagers form a network providing continuous coverage over the western United States, including California, Oregon, Washington, Utah, Arizona and Texas extending south into Mexico. This network sees high levels of both medium and large scale wave activity. Apart from the widely reported northeast to southwest propagating wave fronts resulting from the so called Perkins mechanism, this network observes wave fronts propagating to the west, north and northeast. At least three of these anomalous events have been associated with thunderstorm activity. Imager data has been correlated with both GPS data and data from the AIRS (Atmospheric Infrared Sounder) instrument on board NASA's Earth Observing System Aqua satellite. We will present a comprehensive analysis of these events and discuss the potential thunderstorm source mechanism.
International Nuclear Information System (INIS)
Rothe, Erhard W; Manke, Charles W; Piparia, Reema; Baird, Ronald J
2008-01-01
Arrays of nanostructures are made starting with a template of close-packed, polystyrene spheres on a silicon surface. The spheres are either 1.091 or 2.99 μm in diameter (d) and are of polystyrene (PS). They are irradiated with a pulse of either 308 or 248 nm light to which they are transparent and semitransparent, respectively. A transparent sphere with d = 1.091 μm diameter concentrates incident light onto a small substrate area. As has been previously reported, that creates silicon nanobumps that rise from circular craters. At 248 nm and d = 2.99 μm, the light energy is mainly absorbed, destroys the sphere, and leaves a shrunken mass (typically about 500 nm wide and 100 nm high) of organic material that is probably polystyrene and its thermal degradation products. At 248 nm and d = 1.091 μm, the residual organic structures are on the order of 300 nm wide and 100 nm high. A distinctive feature is that these organic structures are connected by filaments that are on the order of 50 nm wide and 10 nm high. Filaments form because the close-packed PS spheres expand into each other during the early part of the laser pulse, and then, as the main structures shrink, their viscoelasticity leads to threads between them. Our results with 248 nm and d = 1.091 μm differ from those described by Huang et al with 248 nm and d = 1.0 μm. Future studies might include the further effect of wavelength and fluence upon the process as well the use of other materials and the replacement of nanospheres by other focusing shapes, such as ellipsoids or rods
Tian, Bin; Tian, Bining; Smith, Bethany; Scott, M C; Hua, Ruinian; Lei, Qin; Tian, Yue
2018-04-11
Solar-driven water splitting using powdered catalysts is considered as the most economical means for hydrogen generation. However, four-electron-driven oxidation half-reaction showing slow kinetics, accompanying with insufficient light absorption and rapid carrier combination in photocatalysts leads to low solar-to-hydrogen energy conversion efficiency. Here, we report amorphous cobalt phosphide (Co-P)-supported black phosphorus nanosheets employed as photocatalysts can simultaneously address these issues. The nanosheets exhibit robust hydrogen evolution from pure water (pH = 6.8) without bias and hole scavengers, achieving an apparent quantum efficiency of 42.55% at 430 nm and energy conversion efficiency of over 5.4% at 353 K. This photocatalytic activity is attributed to extremely efficient utilization of solar energy (~75% of solar energy) by black phosphorus nanosheets and high-carrier separation efficiency by amorphous Co-P. The hybrid material design realizes efficient solar-to-chemical energy conversion in suspension, demonstrating the potential of black phosphorus-based materials as catalysts for solar hydrogen production.
A monolithic active pixel sensor for ionizing radiation using a 180 nm HV-SOI process
Energy Technology Data Exchange (ETDEWEB)
Hemperek, Tomasz; Kishishita, Tetsuichi; Krueger, Hans; Wermes, Norbert [Institute of Physics, University of Bonn, Bonn (Germany)
2016-07-01
An improved SOI-MAPS (Silicon On Insulator Monolithic Active Pixel Sensor) for ionizing radiation based on thick-180 nm High Voltage SOI technology (HV-SOI) has been developed. Similar to existing Fully Depleted SOI-based (FD-SOI) MAPS, a buried silicon oxide inter-dielectric (BOX) layer is used to separate the CMOS electronics from the handle wafer which is used as a depleted charge collection layer. Standard FD-SOI MAPS suffer from radiation damage such as transistor threshold voltage shifts due to trapped charge in the buried oxide layer and charged interface states created at the silicon oxide boundaries (back gate effect). The X-FAB 180 nm HV-SOI technology offers an additional isolation using a deep non-depleted implant between the BOX layer and the active circuitry which mitigates this problem. Therefore we see in this technology a high potential to implement radiation-tolerant MAPS with fast charge collection. The design and measurement results from first prototypes are presented including radiation tolerance to total ionizing dose and charge collection properties of neutron irradiated samples.
Hung, Wen-Yi; Chiang, Pin-Yi; Lin, Shih-Wei; Tang, Wei-Chieh; Chen, Yi-Ting; Liu, Shih-Hung; Chou, Pi-Tai; Hung, Yi-Tzu; Wong, Ken-Tsung
2016-02-01
A star-shaped 1,3,5-triazine/cyano hybrid molecule CN-T2T was designed and synthesized as a new electron acceptor for efficient exciplex-based OLED emitter by mixing with a suitable electron donor (Tris-PCz). The CN-T2T/Tris-PCz exciplex emission shows a high ΦPL of 0.53 and a small ΔET-S = -0.59 kcal/mol, affording intrinsically efficient fluorescence and highly efficient exciton up-conversion. The large energy level offsets between Tris-PCz and CN-T2T and the balanced hole and electron mobility of Tris-PCz and CN-T2T, respectively, ensuring sufficient carrier density accumulated in the interface for efficient generation of exciplex excitons. Employing a facile device structure composed as ITO/4% ReO3:Tris-PCz (60 nm)/Tris-PCz (15 nm)/Tris-PCz:CN-T2T(1:1) (25 nm)/CN-T2T (50 nm)/Liq (0.5 nm)/Al (100 nm), in which the electron-hole capture is efficient without additional carrier injection barrier from donor (or acceptor) molecule and carriers mobilities are balanced in the emitting layer, leads to a highly efficient green exciplex OLED with external quantum efficiency (EQE) of 11.9%. The obtained EQE is 18% higher than that of a comparison device using an exciplex exhibiting a comparable ΦPL (0.50), in which TCTA shows similar energy levels but higher hole mobility as compared with Tris-PCz. Our results clearly indicate the significance of mobility balance in governing the efficiency of exciplex-based OLED. Exploiting the Tris-PCz:CN-T2T exciplex as the host, we further demonstrated highly efficient yellow and red fluorescent OLEDs by doping 1 wt % Rubrene and DCJTB as emitter, achieving high EQE of 6.9 and 9.7%, respectively.
EST Table: NM_001043366 [KAIKOcDNA[Archive
Lifescience Database Archive (English)
Full Text Available NM_001043366 Sap-r 10/09/29 98 %/961 aa ref|NP_001036831.1| saposin-related [Bombyx... gnl|Amel|GB16561-PA 10/09/10 42 %/808 aa gi|91077504|ref|XP_966852.1| PREDICTED: similar to saposin isoform 1 [Tribolium castaneum] FS791050 ...
Liu, Kaiying; Wang, Li
2013-06-21
Capillary array electrophoresis (CAE) is a promising technique for multiple enantiomeric separations. Carboxytetramethylrhodamine succinimidyl ester (TAMRA SE), a rhodamine-core fluorescent probe, has rarely been applied as an original precolumn derivatization reagent for chiral amino acid (AA) analysis so far. For these purposes, high-throughput enantiomeric separations of 12 TAMRA SE-AAs by a home-made 532 nm CAE-LIF scanner are presented. The effect of cyclodextrins (CDs) and a variety of organic modifiers was quickly investigated. Baseline separations were achieved in 100 mM Tris-borate buffer (pH 10.0) containing 2 mM β-CD and 10 mM hexamethylenediamine (HDA). Multiple determination of the enantiomeric excess (ee) in non-racemic mixtures of alanine is successfully presented. Copyright © 2013 Elsevier B.V. All rights reserved.
ILT optimization of EUV masks for sub-7nm lithography
Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin
2017-06-01
The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.
Lu, Ping; Yuan, Renliang; Zuo, Jian Min
2017-02-01
Elemental mapping at the atomic-scale by scanning transmission electron microscopy (STEM) using energy-dispersive X-ray spectroscopy (EDS) provides a powerful real-space approach to chemical characterization of crystal structures. However, applications of this powerful technique have been limited by inefficient X-ray emission and collection, which require long acquisition times. Recently, using a lattice-vector translation method, we have shown that rapid atomic-scale elemental mapping using STEM-EDS can be achieved. This method provides atomic-scale elemental maps averaged over crystal areas of ~few 10 nm2 with the acquisition time of ~2 s or less. Here we report the details of this method, and, in particular, investigate the experimental conditions necessary for achieving it. It shows, that in addition to usual conditions required for atomic-scale imaging, a thin specimen is essential for the technique to be successful. Phenomenological modeling shows that the localization of X-ray signals to atomic columns is a key reason. The effect of specimen thickness on the signal delocalization is studied by multislice image simulations. The results show that the X-ray localization can be achieved by choosing a thin specimen, and the thickness of less than about 22 nm is preferred for SrTiO3 in [001] projection for 200 keV electrons.
980nm laser for difficult-to-treat basal cell carcinoma
Derjabo, A. D.; Cema, I.; Lihacova, I.; Derjabo, L.
2013-06-01
Begin basal cell carcinoma (BCC) is most common skin cancer over the world. There are around 20 modalities for BCC treatment. Laser surgery is uncommon option. We demonstrate our long term follow up results. Aim: To evaluate long term efficacy of a 980nm diode laser for the difficult-to-treat basal cell carcinoma. Materials and Methods: 167 patients with 173 basal cell carcinoma on the nose were treated with a 980 nm diode laser from May 1999 till May 2005 at Latvian Oncology center. All tumors were morphologically confirmed. 156 patients were followed for more than 5 years. Results: The lowest recurrence rate was observed in cases of superficial BCC, diameterConclusions: 980 nm diode laser is useful tool in dermatology with high long term efficacy, good acceptance by the patients and good cosmetics results.
Compact gain saturated plasma based X-ray lasers down to 6.9nm
Rocca, Jorge; Wang, Y.; Wang, S.; Rockwood, A.; Berrill, M.; Shlyaptsev, V.
2017-10-01
Plasma based soft x-ray amplifiers allow many experiments requiring bright, high energy soft x-ray laser pulses to be conducted in compact facilities. We have extended the wavelength of compact gain saturated x-ray lasers to 6.89 nm in a Ni-like Gd plasma generated by a Ti:Sa laser. Gain saturated laser operation was also obtained at 7.36 nm in Ni-like Sm. Isolectronic scaling and optimization of laser pre-pulse duration allowed us to also observe strong lasing at 6.6 nm and 6.1 nm in Ni-like Tb, and amplification at 6.4 nm and 5.89 nm in Ni-like Dy. The results were obtained by transient laser heating of solid targets with traveling wave excitation at progressively increased gracing incidence angles. We show that the optimum pump angle of incidence for collisional Ni-like lasers increases linearly with atomic number from Z =42 to Z =66, reaching 43 degrees for Ni-like Dy, in good agreement with hydrodynamic/atomic physics simulations. These results will enable single-shot nano-scale imaging and other application of sub-7 nm lasers to be performed at compact facilities. Work supported by Grant DE-FG02-4ER15592 of the Department of Energy, Office of Science, and by the National Science Foundation Grant ECCS 1509925.
Tunable redox potential of nonmetal doped monolayer MoS{sub 2}: First principle calculations
Energy Technology Data Exchange (ETDEWEB)
Lu, S. [Center for Coordination Bond Engineering, China Jiliang University (China); Li, C., E-mail: canli1983@gmail.com [Center for Coordination Bond Engineering, China Jiliang University (China); School of Materials Science and Engineering, China Jiliang University (China); Zhao, Y.F.; Gong, Y.Y.; Niu, L.Y.; Liu, X.J. [Center for Coordination Bond Engineering, China Jiliang University (China)
2016-10-30
Graphical abstract: Both E{sub CBM} and E{sub VBM} values are affected by the chemical valences of dopants, which also affect the redox potentials of specimens. Compared to the pristine monolayer MoS{sub 2}, the nonmetal ions with odd chemical valences [monovalent (H{sup +}, F{sup –}, Cl{sup –}, Br{sup –} and I{sup –}), trivalent (N{sup 3–}, P{sup 3–} and As{sup 3–}) and pentavalence (B{sup 5–})] enhance the oxidation potential and reduce the reduction potential of specimens, but the nonmetal ions with even chemical valences [divalent (O{sup 2–}, Se{sup 2–} and Te{sup 2–}) and quadravalent (C{sup 4–} and Si{sup 4–})] have the opposite effects on the redox potentials. Display Omitted - Highlights: • The newly formed chemical bonds affect the electronic distribution around the dopants and the nearby Mo atoms. • Compared to pristine monolayer MoS{sub 2}, the nonmetal ions with odd (even) chemical valences enhance (reduce) the oxidation potential and reduce (enhance) the reduction potential of specimens. • The lone pair electrons in nonmetal ions with odd chemical valences extra interact with the Mo ions which reduces the E{sub CBM} and E{sub VBM} values of specimens. - Abstract: Doping is an effective method to alter the electronic behavior of materials by forming new chemical bonds and bringing bond relaxation. With this aid of first principle calculations, the crystal configuration and electronic properties of monolayer MoS{sub 2} have been modulated by the nonmetal (NM) dopants (H, B, C, N, O, F, Si, P, Cl, As, Se, Br, Te and I), and the thermodynamic stability depending on the preparation conditions (Mo-rich and S-rich conditions) were discussed. Results shown that, the NM dopants substituted preferentially for S under Mo-rich condition, the electronic distribution around the dopants and the nearby Mo atoms are changed by the new formed Mo-NM bonds and bands relaxation. Compared to pristine monolayer MoS{sub 2}, the NM ions with odd
da Silveira Petruci, João Flavio; Liebetanz, Michael G; Cardoso, Arnaldo Alves; Hauser, Peter C
2017-08-25
In this communication, we describe a flow-through optical absorption detector for HPLC using for the first time a deep-UV light-emitting diode with an emission band at 235nm as light source. The detector is also comprised of a UV-sensitive photodiode positioned to enable measurement of radiation through a flow-through cuvette with round aperture of 1mm diameter and optical path length of 10mm, and a second one positioned as reference photodiode; a beam splitter and a power supply. The absorbance was measured and related to the analyte concentration by emulating the Lambert-Beer law with a log-ratio amplifier circuitry. This detector showed noise levels of 0.30mAU, which is comparable with our previous LED-based detectors employing LEDs at 280 and 255nm. The detector was coupled to a HPLC system and successfully evaluated for the determination of the anti-diabetic drugs pioglitazone and glimepiride in an isocratic separation and the benzodiazepines flurazepam, oxazepam and clobazam in a gradient elution. Good linearities (r>0.99), a precision better than 0.85% and limits of detection at sub-ppm levels were achieved. Copyright © 2017 Elsevier B.V. All rights reserved.
Comparative in vitro study of tissue welding using a 808 nm diode laser and a Ho:YAG laser.
Ott, B; Züger, B J; Erni, D; Banic, A; Schaffner, T; Weber, H P; Frenz, M
2001-01-01
In vitro porcine arteries and veins have been welded end-to-end using either a 808 nm diode laser combined with an indocyanine green enhanced albumin solder, or with a continuous-wave (cw) Ho:YAG laser without biological solder. The vascular stumps were approached to each other over a coronary dilatation catheter in order to obtain a precise alignment and good coaptation. Standard histology revealed for both welding techniques lateral tissue damage between 2 and 3 mm caused by laser-induced heat. Good solder attachment to the tissue was observed by the use of a scanning electron microscope. The vessels soldered with the 808 nm diode laser using albumin solder showed considerably higher tensile strength (1 N compared to 0.3 N) than vessels welded exclusively by Ho:YAG laser radiation. In contrast, leaking pressure (350 +/- 200 mmHg) and bursting pressure (457 +/- 200 mmHg) were found to be independent of the welding technique used. This study demonstrates that fast (total welding time about 2-5 min), stable and tight microvascular anastomosis can be achieved with the use of a dye-enhanced albumin laser soldering technique and an ancillary coronary dilatation catheter.
A 223-nm KrCl excimer laser on a He-Kr-HCl mixture
International Nuclear Information System (INIS)
Razhev, A M; Zhupikov, A A; Kargapol'tsev, E S
2004-01-01
The results of experimental studies of the parameters of a 223-nm electric-discharge KrCl excimer laser on a He-Kr-HCl mixture depending on the excitation conditions and the composition of the active gaseous medium are presented. To achieve the maximum values of the output energy and the efficiency of the KrCl laser on mixtures with buffer gaseous helium, an excitation system was used that included a circuit with an LC inverter with a high-voltage switch based on an RU-65 spark gap. An output energy of 320 mJ with an efficiency of 0.5% relative to the energy stored in the capacitors is obtained in a KrCl laser with an active medium based on the buffer He gas at a charging voltage of 30 kV. Radiation pulses with a duration of 22±1 ns and a pulse power of 15 MW are obtained. (lasers)
Ibrahim, Khalil; Mahbubur Rahman, M.; Taha, Hatem; Mohammadpour, Ehsan; Zhou, Zhifeng; Yin, Chun-Yang; Nikoloski, Aleksandar; Jiang, Zhong-Tao
2018-05-01
Mo, CrN, and Mo:CrN sputtered coatings synthesized onto silicon Si(100) substrates were investigated as solar selective surfaces and their potential applications in optical devices. These coatings were characterized using XRD, SEM, UV-vis, and FTIR techniques. XRD investigation, showed a change in CrN thin film crystallite characteristic due to Mo doping. Compared to the CrN coating, the Mo:CrN film has a higher lattice parameter and lower grain size of 4.19 nm and 106.18 nm, respectively. FESEM morphology confirmed the decrement in Mo:CrN crystal size due to Mo doping. Optical analysis showed that in the visible range of the solar spectrum, the CrN coatings exhibit the highest solar absorptance of 66% while the lowest thermal emittance value of 5.67 was recorded for the CrN coating doped with Mo. Consequently, the highest solar selectivity of 9.6, and the energy band-gap of 2.88 eV were achieved with the Mo-doped CrN coatings. Various optical coefficients such as optical absorption coefficient, refractive index, extinction coefficient, real and imaginary parts of dielectric constants, and energy loss functions of these coatings were also estimated from the optical reflectance data recorded in the wavelength range of 190-2300 nm.
Directory of Open Access Journals (Sweden)
C. H. A. von Savigny
1999-11-01
Full Text Available Vertical profiles of nitric oxide in the altitude range 90 to 105 km are derived from 553 nm nightglow continuum measurements made with the Wind Imaging Interferometer (WINDII on the Upper Atmosphere Research Satellite (UARS. The profiles are derived under the assumption that the continuum emission is due entirely to the NO+O air afterglow reaction. Vertical profiles of the atomic oxygen density, which are required to determine the nitric oxide concentrations, are derived from coordinated WINDII measurements of the atomic oxygen OI 557.7 nm nightglow emission. Data coverage for local solar times ranging from 20 h to 04 h, and latitudes ranging from 42°S to 42°N, is achieved by zonally averaging and binning data obtained on 18 nights during a two-month period extending from mid-November 1992 until mid-January 1993. The derived nitric oxide concentrations are significantly smaller than those obtained from rocket measurements of the airglow continuum but they do compare well with model expectations and nitric oxide densities measured using the resonance fluorescence technique on the Solar Mesosphere Explorer satellite. The near-global coverage of the WINDII observations and the similarities to the nitric oxide global morphology established from other satellite measurements strongly suggests that the NO+O reaction is the major source of the continuum near 553 nm and that there is no compelling reason to invoke additional sources of continuum emission in this immediate spectral region.Key words. Atmospheric composition and structure (airglow and aurora; thermosphere – composition and chemistry; instruments and techniques
Directory of Open Access Journals (Sweden)
Hongyan Yang
2014-12-01
Full Text Available We propose a novel metal-coupled metal-insulator-metal (MC-MIM waveguide which can achieve a highly efficient surface plasmon polaritons (SPPs excitation. The MC-MIM waveguide is formed by inserting a thin metal film in the insulator of an MIM. The introduction of the metal film, functioning as an SPPs coupler, provides a space for the interaction between SPPs and a confined electromagnetic field of the intermediate metal surface, which makes energy change and phase transfer in the metal-dielectric interface, due to the joint action of incomplete electrostatic shielding effect and SPPs coupling. Impacts of the metal film with different materials and various thickness on SPPs excitation are investigated. It is shown that the highest efficient SPPs excitation is obtained when the gold film thickness is 60 nm. The effect of refractive index of upper and lower symmetric dielectric layer on SPPs excitation is also discussed. The result shows that the decay value of refractive index is 0.3. Our results indicate that this proposed MC-MIM waveguide may offer great potential in designing a new SPPs source.
Directory of Open Access Journals (Sweden)
R. R. Rogers
2011-02-01
Full Text Available The Cloud-Aerosol Lidar with Orthogonal Polarization (CALIOP instrument on the Cloud-Aerosol Lidar and Infrared Pathfinder Satellite Observations (CALIPSO spacecraft has provided global, high-resolution vertical profiles of aerosols and clouds since it became operational on 13 June 2006. On 14 June 2006, the NASA Langley Research Center (LaRC High Spectral Resolution Lidar (HSRL was deployed aboard the NASA Langley B-200 aircraft for the first of a series of 86 underflights of the CALIPSO satellite to provide validation measurements for the CALIOP data products. To better assess the range of conditions under which CALIOP data products are produced, these validation flights were conducted under both daytime and nighttime lighting conditions, in multiple seasons, and over a large range of latitudes and aerosol and cloud conditions. This paper presents a quantitative assessment of the CALIOP 532 nm calibration (through the 532 nm total attenuated backscatter using internally calibrated airborne HSRL underflight data and is the most extensive study of CALIOP 532 nm calibration. Results show that HSRL and CALIOP 532 nm total attenuated backscatter agree on average within 2.7% ± 2.1% (CALIOP lower at night and within 2.9% ± 3.9% (CALIOP lower during the day, demonstrating the accuracy of the CALIOP 532 nm calibration algorithms. Additionally, comparisons with HSRL show consistency of the CALIOP calibration before and after the laser switch in 2009 as well as improvements in the daytime version 3.01 calibration scheme compared with the version 2 calibration scheme. Potential biases and uncertainties in the methodology relevant to validating satellite lidar measurements with an airborne lidar system are discussed and found to be less than 4.5% ± 3.2% for this validation effort with HSRL. Results from this study are also compared with prior assessments of the CALIOP 532 nm attenuated backscatter calibration.
Improvement of optical damage in specialty fiber at 266 nm wavelength
Tobisch, T.; Ohlmeyer, H.; Zimmermann, H.; Prein, S.; Kirchhof, J.; Unger, S.; Belz, M.; Klein, K.-F.
2014-02-01
Improved multimode UV-fibers with core diameters ranging from 70 to 600 μm diameter have been manufactured based on novel preform modifications and fiber processing techniques. Only E'-centers at 214 nm and NBOHC at 260 nm are generated in these fibers. A new generation of inexpensive laser-systems have entered the market and generated a multitude of new and attractive applications in the bio-life science, chemical and material processing field. However, for example pulsed 355 nm Nd:YAG lasers generate significant UV-damages in commercially available fibers. For lower wavelengths, no results on suitable multi-mode or low-mode fibers with high UV resistance at 266 nm wavelength (pulsed 4th harmonic Nd:YAG laser) have been published. In this report, double-clad fibers with 70 μm or 100 μm core diameter and a large claddingto- core ratio will be recommended. Laser-induced UV-damages will be compared between these new fiber type and traditional UV fibers with similar core sizes. Finally, experimental results will be cross compared against broadband cw deuterium lamp damage standards.
On-Chip Electrophoresis in Supported Lipid Bilayer Membranes Achieved Using Low Potentials
van Weerd, Jasper; Krabbenborg, Sven; Eijkel, Jan C.T.; Karperien, Hermanus Bernardus Johannes; Huskens, Jurriaan; Jonkheijm, Pascal
2014-01-01
A micro supported lipid bilayer (SLB) electrophoresis method was developed, which functions at low potentials and appreciable operating times. To this end, (hydroxymethyl)-ferrocene (FcCH2OH) was employed to provide an electrochemical reaction at the anode and cathode at low applied potential to
Optical track width measurements below 100 nm using artificial neural networks
Smith, R. J.; See, C. W.; Somekh, M. G.; Yacoot, A.; Choi, E.
2005-12-01
This paper discusses the feasibility of using artificial neural networks (ANNs), together with a high precision scanning optical profiler, to measure very fine track widths that are considerably below the conventional diffraction limit of a conventional optical microscope. The ANN is trained using optical profiles obtained from tracks of known widths, the network is then assessed by applying it to test profiles. The optical profiler is an ultra-stable common path scanning interferometer, which provides extremely precise surface measurements. Preliminary results, obtained with a 0.3 NA objective lens and a laser wavelength of 633 nm, show that the system is capable of measuring a 50 nm track width, with a standard deviation less than 4 nm.
CALIPSO lidar calibration at 532 nm: version 4 nighttime algorithm
Kar, Jayanta; Vaughan, Mark A.; Lee, Kam-Pui; Tackett, Jason L.; Avery, Melody A.; Garnier, Anne; Getzewich, Brian J.; Hunt, William H.; Josset, Damien; Liu, Zhaoyan; Lucker, Patricia L.; Magill, Brian; Omar, Ali H.; Pelon, Jacques; Rogers, Raymond R.; Toth, Travis D.; Trepte, Charles R.; Vernier, Jean-Paul; Winker, David M.; Young, Stuart A.
2018-03-01
Data products from the Cloud-Aerosol Lidar with Orthogonal Polarization (CALIOP) on board Cloud-Aerosol Lidar and Infrared Pathfinder Satellite Observations (CALIPSO) were recently updated following the implementation of new (version 4) calibration algorithms for all of the Level 1 attenuated backscatter measurements. In this work we present the motivation for and the implementation of the version 4 nighttime 532 nm parallel channel calibration. The nighttime 532 nm calibration is the most fundamental calibration of CALIOP data, since all of CALIOP's other radiometric calibration procedures - i.e., the 532 nm daytime calibration and the 1064 nm calibrations during both nighttime and daytime - depend either directly or indirectly on the 532 nm nighttime calibration. The accuracy of the 532 nm nighttime calibration has been significantly improved by raising the molecular normalization altitude from 30-34 km to the upper possible signal acquisition range of 36-39 km to substantially reduce stratospheric aerosol contamination. Due to the greatly reduced molecular number density and consequently reduced signal-to-noise ratio (SNR) at these higher altitudes, the signal is now averaged over a larger number of samples using data from multiple adjacent granules. Additionally, an enhanced strategy for filtering the radiation-induced noise from high-energy particles was adopted. Further, the meteorological model used in the earlier versions has been replaced by the improved Modern-Era Retrospective analysis for Research and Applications, Version 2 (MERRA-2), model. An aerosol scattering ratio of 1.01 ± 0.01 is now explicitly used for the calibration altitude. These modifications lead to globally revised calibration coefficients which are, on average, 2-3 % lower than in previous data releases. Further, the new calibration procedure is shown to eliminate biases at high altitudes that were present in earlier versions and consequently leads to an improved representation of
High-Power Yb-Doped Solid-Core Photonic Bandgap Fiber Amplifier at 1150-1200nm
DEFF Research Database (Denmark)
Maruyama, H.; Shirakawa, A.; Ueda, K.
2008-01-01
Solid-core photonic-bandgap fiber amplification at the long-wavelength edge of ytterbium band is reported. A 32W output at 1156nm with a 66% slope efficiency and 9.1W output at 1178nm were succesfully obtained.......Solid-core photonic-bandgap fiber amplification at the long-wavelength edge of ytterbium band is reported. A 32W output at 1156nm with a 66% slope efficiency and 9.1W output at 1178nm were succesfully obtained....
In Vitro Study of Dentin Hypersensitivity Treated by 980-nm Diode Laser.
Liu, Ying; Gao, Jie; Gao, Yan; Xu, Shuaimei; Zhan, Xueling; Wu, Buling
2013-01-01
To investigate the ultrastructural changes of dentin irradiated with 980-nm diode laser under different parameters and to observe the morphological alterations of odontoblasts and pulp tissue to determine the safety parameters of 980-nm diode laser in the treatment of dentin hypersensitivity (DH). Twenty extracted human third molars were selected to prepare dentin discs. Each dentin disc was divided into four areas and was irradiated by 980-nm diode laser under different parameters: Group A: control group, 0 J/cm(2); Group B: 2 W/CW (continuous mode), 166 J/cm(2); Group C: 3W/CW, 250 J/cm(2); and Group D: 4W/CW, 333 J/cm(2). Ten additional extracted human third molars were selected to prepare dentin discs. Each dentin disc was divided into two areas and was irradiated by 980-nm diode laser: Group E: control group, 0 J/cm(2); and Group F: 2.0 W/CW, 166 J/cm(2). The morphological alterations of the dentin surfaces and odontoblasts were examined with scanning electron microscopy (SEM), and the morphological alterations of the dental pulp tissue irradiated by laser were observed with an upright microscope. The study demonstrated that dentinal tubules can be entirely blocked after irradiation by 980-nm diode laser, regardless of the parameter setting. Diode laser with settings of 2.0 W and 980-nm sealed exposed dentin tubules effectively, and no significant morphological alterations of the pulp and odontoblasts were observed after irradiation. Irradiation with 980-nm diode laser could be effective for routine clinical treatment of DH, and 2.0W/CW (166 J/cm(2)) was a suitable energy parameter due to its rapid sealing of the exposed dentin tubules and its safety to the odontoblasts and pulp tissue.
In Vitro Study of Dentin Hypersensitivity Treated by 980-nm Diode Laser
Liu, Ying; Gao, Jie; Gao, Yan; XU, Shuaimei; Zhan, Xueling; Wu, Buling
2013-01-01
Introduction: To investigate the ultrastructural changes of dentin irradiated with 980-nm diode laser under different parameters and to observe the morphological alterations of odontoblasts and pulp tissue to determine the safety parameters of 980-nm diode laser in the treatment of dentin hypersensitivity (DH). Methods: Twenty extracted human third molars were selected to prepare dentin discs. Each dentin disc was divided into four areas and was irradiated by 980-nm diode laser under different parameters: Group A: control group, 0 J/cm2; Group B: 2 W/CW (continuous mode), 166 J/cm2; Group C: 3W/CW, 250 J/cm2; and Group D: 4W/CW, 333 J/cm2. Ten additional extracted human third molars were selected to prepare dentin discs. Each dentin disc was divided into two areas and was irradiated by 980-nm diode laser: Group E: control group, 0 J/cm2; and Group F: 2.0 W/CW, 166 J/cm2. The morphological alterations of the dentin surfaces and odontoblasts were examined with scanning electron microscopy (SEM), and the morphological alterations of the dental pulp tissue irradiated by laser were observed with an upright microscope. Results: The study demonstrated that dentinal tubules can be entirely blocked after irradiation by 980-nm diode laser, regardless of the parameter setting. Diode laser with settings of 2.0 W and 980-nm sealed exposed dentin tubules effectively, and no significant morphological alterations of the pulp and odontoblasts were observed after irradiation. Conclusions: Irradiation with 980-nm diode laser could be effective for routine clinical treatment of DH, and 2.0W/CW (166 J/cm2) was a suitable energy parameter due to its rapid sealing of the exposed dentin tubules and its safety to the odontoblasts and pulp tissue. PMID:25606318
Investigations of a Dual Seeded 1178 nm Raman Laser System
2016-01-14
was obtained by Raman amplification of a distributed feedback diode laser in a variably strained polarization- maintaining fiber with a record-high...Calia, D.B., “50W CW visible laser source at 589 nm obtained via frequency doubling of three coherently combined narrow-band Raman fiber amplifiers...AFRL-RD-PS- TP-2016-0009 AFRL-RD-PS- TP-2016-0009 INVESTIGATIONS OF A DUAL SEEDED 1178 NM RAMAN LASER SYSTEM Leanne Henry, et al. 14 January
The dynamics of femtosecond pulsed laser removal of 20 nm Ni films from an interface
Energy Technology Data Exchange (ETDEWEB)
Schrider, Keegan J.; Yalisove, Steven M. [Department of Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan 48109 (United States); Torralva, Ben [Department of Atmospheric, Oceanic, and Space Sciences, University of Michigan, Ann Arbor, Michigan 48109 (United States)
2015-09-21
The dynamics of femtosecond laser removal of 20 nm Ni films on glass substrates was studied using time-resolved pump-probe microscopy. 20 nm thin films exhibit removal at two distinct threshold fluences, removal of the top 7 nm of Ni above 0.14 J/cm{sup 2}, and removal of the entire 20 nm film above 0.36 J/cm{sup 2}. Previous work shows the top 7 nm is removed through liquid spallation, after irradiation the Ni melts and rapidly expands leading to tensile stress and cavitation within the Ni film. This work shows that above 0.36 J/cm{sup 2} the 20 nm film is removed in two distinct layers, 7 nm and 13 nm thick. The top 7 nm layer reaches a speed 500% faster than the bottom 13 nm layer at the same absorbed fluence, 500–2000 m/s and 300–700 m/s in the fluence ranges studied. Significantly different velocities for the top 7 nm layer and bottom 13 nm layer indicate removal from an interface occurs by a different physical mechanism. The method of measuring film displacement from the development of Newton's rings was refined so it could be shown that the 13 nm layer separates from the substrate within 70 ps and accelerates to its final velocity within several hundred picoseconds. We propose that removal of the bottom 13 nm is consistent with heterogeneous nucleation and growth of vapor at the Ni-glass interface, but that the rapid separation and acceleration of the 13 nm layer from the Ni-glass interface requires consideration of exotic phases of Ni after excitation.
Illiberi, A.; Grob, F.; Frijters, C.; Poodt, P.; Ramachandra, R.; Winands, H.; Simor, M.; Bolt, P.J.
2013-01-01
Undoped zinc oxide (ZnO) films have been grown on a moving glass substrate by plasma-enhanced chemical vapor deposition at atmospheric pressure. High deposition rates of ∼7 nm/s are achieved at low temperature (200°C) for a substrate speed from 20 to 60 mm/min. ZnO films are highly transparent in
Fabrication of biosynthetic vascular prostheses by 193-nm excimer laser radiation
Husinsky, Wolfgang; Csek, Ch.; Bartel, A.; Grabenwoeger, M.; Fitzal, F.; Wolner, Ernst
1998-05-01
This study was undertaken to investigate the feasibility of transmural capillary ingrowth into the inner surface of biosynthetic vascular prostheses (OmniflowTM) through perforations created by an excimer-laser, thus inducing an endothelial cell coverage. The biosynthetic vascular prostheses (10 cm length, 6 mm (phi) ) were perforated with an excimer laser ((phi) of the holes 50 - 100 micrometer, distance 4 mm) and implanted into the carotid arteries of 8 sheep. The laser tissue interaction process of 193 nm radiation ensures minimal thermal damage to the prostheses. They were compared to untreated OmniflowTM prostheses implanted at the contralateral side. Three months after implantation the prostheses were explanted and evaluated by gross morphology, histological examination and scanning electron microscopy. Scanning electron microscopy showed endothelial cells in the midgraft portion of all perforated prostheses, whereas collagen fibers, fibrin meshwork and activated platelets formed the inner layer in 6 out of 8 untreated OmniflowTM prostheses. It can be concluded, that spontaneous endothelialization of biosynthetic vascular prostheses can be achieved by transmural capillary ingrowth through perforations in the wall of the prostheses in an experimental sheep model.
Zhou, Min; Ku, Geng; Pageon, Laura; Li, Chun
2014-11-01
Here, we report that polyethylene glycol (PEG)-coated copper(ii) sulfide nanoparticles (PEG-CuS NPs) with their peak absorption tuned to 1064 nm could be used both as a contrast agent for photoacoustic tomographic imaging of mouse tumor vasculature and as a mediator for confined photothermolysis of tumor cells in an orthotopic syngeneic 4T1 breast tumor model. PEG-CuS NPs showed stronger photoacoustic signal than hollow gold nanospheres and single-wall carbon nanotubes at 1064 nm. MicroPET imaging of 4T1 tumor-bearing mice showed a gradual accumulation of the NPs in the tumor over time. About 6.5% of injected dose were taken up in each gram of tumor tissue at 24 h after intravenous injection of 64Cu-labeled PEG-CuS NPs. For both photoacoustic imaging and therapeutic studies, nanosecond (ns)-pulsed laser was delivered with Q-switched Nd:YAG at a wavelength of 1064 nm. Unlike conventional photothermal ablation therapy mediated by continuous wave laser with which heat could spread to the surrounding normal tissue, interaction of CuS NPs with short pulsed laser deliver heat rapidly to the treatment volume keeping the thermal damage confined to the target tissues. Our data demonstrated that it is possible to use a single-compartment nanoplatform to achieve both photoacoustic tomography and highly selective tumor destruction at 1064 nm in small animals.Here, we report that polyethylene glycol (PEG)-coated copper(ii) sulfide nanoparticles (PEG-CuS NPs) with their peak absorption tuned to 1064 nm could be used both as a contrast agent for photoacoustic tomographic imaging of mouse tumor vasculature and as a mediator for confined photothermolysis of tumor cells in an orthotopic syngeneic 4T1 breast tumor model. PEG-CuS NPs showed stronger photoacoustic signal than hollow gold nanospheres and single-wall carbon nanotubes at 1064 nm. MicroPET imaging of 4T1 tumor-bearing mice showed a gradual accumulation of the NPs in the tumor over time. About 6.5% of injected dose were
A novel double patterning approach for 30nm dense holes
Hsu, Dennis Shu-Hao; Wang, Walter; Hsieh, Wei-Hsien; Huang, Chun-Yen; Wu, Wen-Bin; Shih, Chiang-Lin; Shih, Steven
2011-04-01
Double Patterning Technology (DPT) was commonly accepted as the major workhorse beyond water immersion lithography for sub-38nm half-pitch line patterning before the EUV production. For dense hole patterning, classical DPT employs self-aligned spacer deposition and uses the intersection of horizontal and vertical lines to define the desired hole patterns. However, the increase in manufacturing cost and process complexity is tremendous. Several innovative approaches have been proposed and experimented to address the manufacturing and technical challenges. A novel process of double patterned pillars combined image reverse will be proposed for the realization of low cost dense holes in 30nm node DRAM. The nature of pillar formation lithography provides much better optical contrast compared to the counterpart hole patterning with similar CD requirements. By the utilization of a reliable freezing process, double patterned pillars can be readily implemented. A novel image reverse process at the last stage defines the hole patterns with high fidelity. In this paper, several freezing processes for the construction of the double patterned pillars were tested and compared, and 30nm double patterning pillars were demonstrated successfully. A variety of different image reverse processes will be investigated and discussed for their pros and cons. An economic approach with the optimized lithography performance will be proposed for the application of 30nm DRAM node.
Continuous-wave single-frequency laser with dual wavelength at 1064 and 532 nm.
Zhang, Chenwei; Lu, Huadong; Yin, Qiwei; Su, Jing
2014-10-01
A continuous-wave high-power single-frequency laser with dual-wavelength output at 1064 and 532 nm is presented. The dependencies of the output power on the transmission of the output coupler and the phase-matching temperature of the LiB(3)O(5) (LBO) crystal are studied. An output coupler with transmission of 19% is used, and the temperature of LBO is controlled to the optimal phase-matching temperature of 422 K; measured maximal output powers of 33.7 W at 1064 nm and of 1.13 W at 532 nm are obtained with optical-optical conversion efficiency of 45.6%. The laser can be single-frequency operated stably and mode-hop-free, and the measured frequency drift is less than 15 MHz in 1 min. The measured Mx2 and My2 for the 1064 nm laser are 1.06 and 1.09, respectively. The measured Mx2 and My2 for the 532 nm laser are 1.12 and 1.11, respectively.
Directory of Open Access Journals (Sweden)
Liberato De Caro
2016-11-01
Full Text Available We present a novel method to determine the projected atomic potential of a specimen directly from transmission electron microscopy coherent electron nano-diffraction patterns, overcoming common limitations encountered so far due to the dynamical nature of electron-matter interaction. The projected potential is obtained by deconvolution of the inverse Fourier transform of experimental diffraction patterns rescaled in intensity by using theoretical values of the kinematical atomic scattering factors. This novelty enables the compensation of dynamical effects typical of transmission electron microscopy (TEM experiments on standard specimens with thicknesses up to a few tens of nm. The projected atomic potentials so obtained are averaged on sample regions illuminated by nano-sized electron probes and are in good quantitative agreement with theoretical expectations. Contrary to lens-based microscopy, here the spatial resolution in the retrieved projected atomic potential profiles is related to the finer lattice spacing measured in the electron diffraction pattern. The method has been successfully applied to experimental nano-diffraction data of crystalline centrosymmetric and non-centrosymmetric specimens achieving a resolution of 65 pm.
Directory of Open Access Journals (Sweden)
Masaki Ohata
2017-01-01
Full Text Available The laser-induced breakdown spectroscopy (LIBS with a frequency quintupled 213 nm Nd:YAG laser was examined to the analysis of trace level of carbon (C in high-purity metals and its detection and analytical capabilities were evaluated. Though C signal in a wavelength of 247.9 nm, which showed the highest sensitivity of C, could be obtained from Cd, Ti, and Zn ca. 7000 mg kg−1 C in Fe could not be detected due to the interferences from a lot of Fe spectra. Alternative C signal in a wavelength of 193.1 nm could not be also detected from Fe due to the insufficient laser output energy of the frequency quintupled 213 nm Nd:YAG laser. The depth analysis of C by LIBS was also demonstrated and the C in Cd and Zn was found to be contaminated in only surface area whereas the C in Ti was distributed in bulk. From these results, the frequency quintupled 213 nm Nd:YAG laser, which was adopted widely as a commercial laser ablation (LA system coupled with inductively coupled plasma mass spectrometry (ICPMS for trace element analysis in solid materials, could be used for C analysis to achieve simultaneous measurements for both C and trace elements in metals by LIBS and LA-ICPMS, respectively.
Min, Seong U K; Choi, Yu Sung; Lee, Dong Hun; Yoon, Mi Young; Suh, Dae Hun
2009-11-01
Nonablative laser is gaining popularity because of the low risk of complications, especially in patients with darker skin. To compare the efficacy and safety of a long-pulse neodymium-doped yttrium aluminium garnet (Nd:YAG) laser and a combined 585/1,064-nm laser for the treatment of acne scars. Nineteen patients with mild to moderate atrophic acne scars received four long-pulse Nd:YAG laser or combined 585/1,064-nm laser treatment sessions at fortnightly intervals. Treatments were administered randomly in a split-face manner. Acne scars showed mild to moderate improvement, with significant Echelle d'évaluation clinique des cicatrices d'acné (ECCA) score reductions, after both treatments. Although intermodality differences were not significant, combined 585/1,064-nm laser was more effective for deep boxcar scars. In patients with combined 585/1,064-nm laser-treated sides that improved more than long-pulse Nd:YAG laser-treated sides, ECCA scores were significantly lower for combined 585/1,064-nm laser treatment. Histologic evaluations revealed significantly greater collagen deposition, although there was no significant difference between the two modalities. Patient satisfaction scores concurred with physicians' evaluations. Both lasers ameliorated acne scarring with minimal downtime. In light of this finding, optimal outcomes might be achieved when laser treatment types are chosen after considering individual scar type and response.
Exploration of BEOL line-space patterning options at 12 nm half-pitch and below
Decoster, S.; Lazzarino, F.; Petersen Barbosa Lima, L.; Li, W.; Versluijs, J.; Halder, S.; Mallik, A.; Murdoch, G.
2018-03-01
While the semiconductor industry is almost ready for high-volume manufacturing of the 7 nm technology node, research centers are defining and troubleshooting the patterning options for the 5 nm technology node (N5) and below. The target dimension for imec's N5 BEOL applications is 20-24 nm Metal Pitch (MP), which requires Self-Aligned multiple (Double/Quadruple/Octuple) Patterning approaches (SAxP) in combination with EUV or immersion lithography at 193 nm. There are numerous technical challenges to enable gratings at the hard mask level such as good uniformity across wafer, low line edge/width roughness (LER/LWR), large process window, and all of this at low cost. An even greater challenge is to transfer these gratings into the dielectric material at such critical dimensions, where increased line edge roughness, line wiggling and even pattern collapse can be expected for materials with small mechanical stability such as highly porous low-k dielectrics. In this work we first compare three different patterning options for 12 nm half-pitch gratings at the hard mask level: EUV-based SADP and 193i-based SAQP and SAOP. This comparison will be based on process window, line edge/width roughness and cost. Next, the transfer of 12 nm line/space gratings in the dielectric material is discussed and presented. The LER of the dielectric lines is investigated as a function of the dielectric material, the trench depth, and the stress in the sacrificial hard mask. Finally, we elaborate on the different options to enable scaling down from 24 nm MP to 16 nm MP, and demonstrate 8 nm line/space gratings with 193i-based SAOP.
Achievement Goals and Achievement Emotions: A Meta-Analysis
Huang, Chiungjung
2011-01-01
This meta-analysis synthesized 93 independent samples (N = 30,003) in 77 studies that reported in 78 articles examining correlations between achievement goals and achievement emotions. Achievement goals were meaningfully associated with different achievement emotions. The correlations of mastery and mastery approach goals with positive achievement…
Chirp and temperature effects in parametric down conversion from crystals pumped at 800 nm
Sánchez-Lozano, X.; Wiechers, C.; Lucio, J. L.
2018-04-01
We consider spontaneous parametric down conversion from aperiodic poled crystals pumped at 800 nm. Our analyses account the effect of internal and external parameters, where, in the former, we include the crystal chirp and length, while in the latter temperature, also the pump chirp and other beam properties. The typical distribution produced is a pop-tab like structure in frequency-momentum space, and our results show that this system is a versatile light source, appropriated to manipulate the frequency and transverse momentum properties of the light produced. We briefly comment on the potential usefulness of the types of telecom wavelength light produced, in particular for quantum information applications.
Achieving swift equilibration of a Brownian particle using flow-fields
Patra, Ayoti; Jarzynski, Christopher
Can a system be driven to a targeted equilibrium state on a timescale that is much shorter than its natural equilibration time? In a recent experiment, the swift equilibration of an overdamped Brownian particle was achieved by use of an appropriately designed, time-dependent optical trap potential. Motivated by these results, we develop a general theoretical approach for guiding an ensemble of Brownian particles to track the instantaneous equilibrium distribution of a desired potential U (q , t) . In our approach, we use flow-fields associated with the parametric evolution of the targeted equilibrium state to construct an auxiliary potential U (q , t) , such that dynamics under the composite potential U (t) + U (t) achieves the desired evolution. Our results establish a close connection between the swift equilibration of Brownian particles, quantum shortcuts to adiabaticity, and the dissipationless driving of a classical, Hamiltonian system.
Writable and erasable PPV medium by irradiation at 365 nm
Energy Technology Data Exchange (ETDEWEB)
Mochizuki, Hiroyuki [Photonics Research Institutes, National Institute of Advanced Industrial Science and Technology, 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan)], E-mail: h-mochizuki@aist.go.jp; Mizokuro, Toshiko; Tanigaki, Nobutaka; Hiraga, Takashi [Photonics Research Institutes, National Institute of Advanced Industrial Science and Technology, 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan)
2008-03-03
Dopings of vaporized cis-1,2-dicyano-1,2-bis(2,4,5-trimethyl-3-thienyl) ethane (CMTE) into poly(methyl methacrylate) (PMMA), polystyrene, and polycarbonate were performed by a vacuum process, and the doping behaviors of CMTE were evaluated. Among the matrix polymers, PMMA was dispersed CMTE densely in its surface region. By using the CMTE-doped PMMA, we could fabricate a novel rewritable medium: a multi-layered film was prepared from over-coating of CMTE-doped PMMA onto poly(p-phenylene vinylene) (PPV) film, which set on a transparent substrate. Image storage could be performed upon irradiation at 365 nm at the side of CMTE/PMMA layer: color of the irradiated area changed a light yellow to a red due to photo-isomerization of CMTE. Next, upon irradiation at 365 nm at the side of the transparent substrate, PPV emitted a green fluorescence at around 530 nm, and the CMTE absorbed the emission from PPV causing image-erasure based on back-isomerization of CMTE.
Photoacoustic imaging at 1064nm wavelength with exogenous contrast agents
Upputuri, Paul Kumar; Jiang, Yuyan; Pu, Kanyi; Pramanik, Manojit
2018-02-01
Photoacoustic (PA) imaging is a promising imaging modality for both preclinical research and clinical practices. Laser wavelengths in the first near infrared window (NIR-I, 650-950 nm) have been widely used for photoacoustic imaging. As compared with NIR-I window, scattering of photons by biological tissues is largely reduced in the second NIR (NIR-II) window, leading to enhanced imaging fidelity. However, the lack of biocompatible NIR-II absorbing exogenous agents prevented the use of this window for in vivo imaging. In recent years, few studies have been reported on photoacoustic imaging in NIR-II window using exogenous contrast agents. In this work, we discuss the recent work on PA imaging using 1064 nm wavelength, the fundamental of Nd:YAG laser, as an excitation wavelength. The PA imaging at 1064 nm is advantageous because of the low and homogeneous signal from tissue background, enabling high contrast in PA imaging when NIR-II absorbing contrast agents are employed.
Energy Technology Data Exchange (ETDEWEB)
Vivian, Julian P.; Scoullar, Jessica; Robertson, Amy L.; Bottomley, Stephen P.; Horne, James; Chin, Yanni; Wielens, Jerome; Thompson, Philip E.; Velkov, Tony; Piek, Susannah; Byres, Emma; Beddoe, Travis; Wilce, Matthew C.J.; Kahler, Charlene M.; Rossjohn, Jamie; Scanlon, Martin J. (UWA); (Monash)
2009-09-02
DsbA is an enzyme found in the periplasm of Gram-negative bacteria that catalyzes the formation of disulfide bonds in a diverse array of protein substrates, many of which are involved in bacterial pathogenesis. Although most bacteria possess only a single essential DsbA, Neisseria meningitidis is unusual in that it possesses three DsbAs, although the reason for this additional redundancy is unclear. Two of these N. meningitidis enzymes (NmDsbA1 and NmDsbA2) play an important role in meningococcal attachment to human epithelial cells, whereas NmDsbA3 is considered to have a narrow substrate repertoire. To begin to address the role of DsbAs in the pathogenesis of N. meningitidis, we have determined the structure of NmDsbA3 to 2.3-{angstrom} resolution. Although the sequence identity between NmDsbA3 and other DsbAs is low, the NmDsbA3 structure adopted a DsbA-like fold. Consistent with this finding, we demonstrated that NmDsbA3 acts as a thiol-disulfide oxidoreductase in vitro and is reoxidized by Escherichia coli DsbB (EcDsbB). However, pronounced differences in the structures between DsbA3 and EcDsbA, which are clustered around the active site of the enzyme, suggested a structural basis for the unusual substrate specificity that is observed for NmDsbA3.
Wang, Yi; Zheng, Yiqun; Huang, Cheng Zhi; Xia, Younan
2013-01-01
This article describes a robust method for the facile synthesis of small Ag nanocubes with edge lengths controlled in the range of 18–32 nm. The success of this new method relies on the substitution of ethylene glycol (EG) -- the solvent most commonly used in a polyol synthesis -- with diethylene glycol (DEG). Owing to the increase in hydrocarbon chain length, DEG possesses a higher viscosity and a lower reducing power relative to EG. As a result, we were able to achieve a nucleation burst in...
Wang, Yaoting; Liu, Jianli; Liu, Qin; Li, Yuanji; Zhang, Kuanshou
2010-06-07
We report a continuous-wave (cw) single frequency Nd:YAG blue laser at 473 nm end-pumped by a laser diode. A ring laser resonator was designed, the frequency doubling efficiency and the length of nonlinear crystal were optimized based on the investigation of the influence of the frequency doubling efficiency on the thermal lensing effect induced by energy-transfer upconversion. By intracavity frequency doubling with PPKTP crystal, an output power of 1 W all-solid-state cw blue laser of single-frequency operation was achieved. The stability of the blue output power was better than +/- 1.8% in the given four hours.
EUV beam splitter for use in the wavelength region around 6 nm
International Nuclear Information System (INIS)
Takenaka, Hisataka; Ichimaru, Satoshi; Gullikson, E.M.
2005-01-01
Extreme ultraviolet (EUV) beam splitters for use at a wavelength of around 6 nm were fabricated. The designs were optimized for Cr/C multilayers and incident angles of 45 deg. and 80 deg. . Measurements revealed the reflectivity of a Cr/C beam splitter to be 3.3% and the transmittance to be 5.6% at a wavelength of 6.36 nm and an incident angle of 45 deg. . The reflectivity of a Cr/C beam splitter was 5.8% and the transmittance was 6.6% at a wavelength of 6.15 nm and an incident angle of 80 deg.