WorldWideScience

Sample records for nm immersion lithography

  1. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  2. Via patterning in the 7-nm node using immersion lithography and graphoepitaxy directed self-assembly

    Science.gov (United States)

    Doise, Jan; Bekaert, Joost; Chan, Boon Teik; Hori, Masafumi; Gronheid, Roel

    2017-04-01

    Insertion of a graphoepitaxy directed self-assembly process as a via patterning technology into integrated circuit fabrication is seriously considered for the 7-nm node and beyond. At these dimensions, a graphoepitaxy process using a cylindrical block copolymer that enables hole multiplication can alleviate costs by extending 193-nm immersion-based lithography and significantly reducing the number of masks that would be required per layer. To be considered for implementation, it needs to be proved that this approach can achieve the required pattern quality in terms of defects and variability using a representative, aperiodic design. The patterning of a via layer from an actual 7-nm node logic layout is demonstrated using immersion lithography and graphoepitaxy directed self-assembly in a fab-like environment. The performance of the process is characterized in detail on a full 300-mm wafer scale. The local variability in an edge placement error of the obtained patterns (4.0 nm 3σ for singlets) is in line with the recent results in the field and significantly less than of the prepattern (4.9 nm 3σ for singlets). In addition, it is expected that pattern quality can be further improved through an improved mask design and optical proximity correction. No major complications for insertion of the graphoepitaxy directed self-assembly into device manufacturing were observed.

  3. Experimental demonstration of line-width modulation in plasmonic lithography using a solid immersion lens-based active nano-gap control

    International Nuclear Information System (INIS)

    Lee, Won-Sup; Kim, Taeseob; Choi, Guk-Jong; Lim, Geon; Joe, Hang-Eun; Gang, Myeong-Gu; Min, Byung-Kwon; Park, No-Cheol; Moon, Hyungbae; Kim, Do-Hyung; Park, Young-Pil

    2015-01-01

    Plasmonic lithography has been used in nanofabrication because of its utility beyond the diffraction limit. The resolution of plasmonic lithography depends on the nano-gap between the nanoaperture and the photoresist surface—changing the gap distance can modulate the line-width of the pattern. In this letter, we demonstrate solid-immersion lens based active non-contact plasmonic lithography, applying a range of gap conditions to modulate the line-width of the pattern. Using a solid-immersion lens-based near-field control system, the nano-gap between the exit surface of the nanoaperture and the media can be actively modulated and maintained to within a few nanometers. The line-widths of the recorded patterns using 15- and 5-nm gaps were 47 and 19.5 nm, respectively, which matched closely the calculated full-width at half-maximum. From these results, we conclude that changing the nano-gap within a solid-immersion lens-based plasmonic head results in varying line-width patterns

  4. Patterning 45nm flash/DRAM contact hole mask with hyper-NA immersion lithography and optimized illumination

    Science.gov (United States)

    Chen, Ting; Van Den Broeke, Doug; Hsu, Stephen; Park, Sangbong; Berger, Gabriel; Coskun, Tamer; de Vocht, Joep; Corcoran, Noel; Chen, Fung; van der Heijden, Eddy; Finders, Jo; Engelen, Andre; Socha, Robert

    2006-03-01

    Patterning contact-hole mask for Flash/DRAM is probably one of the most challenging tasks for design rule below 50nm due to the extreme low-k I printing conditions common in the memory designs. When combined with optical proximity corrections (OPC) to the mask, using optimized illumination has become a viable part of the production lithography process for 65nm node. At k Ipitch design rules. Here we use 6% attPSM mask for simulation and actual exposure in ASML XT 1400i (NA=0.93) and 1700i (NA=1.2) respectively. We begin with the illumination source optimization using full vector high-NA calculation (VHNA) with production resist stack and all manufacturability requirements for the source shaping diffractive optical element (DOE) are accounted for during the source optimization. Using the optimized source, IML TM technology based scattering bars (SB) placement together with model based OPC (MOPC) are applied to the original contact-hole design. In-focus printing and process latitude simulations are used to gauge the performance and manufacturability of the final optimized process, which includes the optimized mask, optimized source and required imaging settings. Our results show that for the 130nm pitch Flash contact-hole patterns, on ASML XT 1400i at NA=0.93, both optimized illumination source and immersion lithography are necessary in order to achieve manufacturability. The worst-case depth of focus (DOF) before SB and MOPC is 100-130nm at 6% EL, without common process window (PW) and with MOPC, the worst-case DOF is >200nm at 6% EL. The latter is in excellent agreement with the wafer results from ASML XT 1400i, and the predicated CDs match well with the measured at isolated, medium and dense pitch contact-holes to within 5nm. For the 120nm pitch Flash contact patterns, ASML XT 1700i at NA=1.2 must be used, together with optimized illumination source, to achieve the same or better process latitude (worst-case DOF at 6% EL), and for the Flash pattern used, further

  5. Design, synthesis, and characterization of fluorine-free PAGs for 193-nm lithography

    Science.gov (United States)

    Liu, Sen; Glodde, Martin; Varanasi, Pushkara R.

    2010-04-01

    Photoacid generators (PAGs) are a key component in chemically amplified resists used in photolithography. Perfluorooctanesulfonates (PFOS) and other perfluoroalkylsulfonates (PFAS) have been well adopted as PAGs in 193 nm photoresist. Recently, concerns have been raised about their environmental impact due to their chemical persistency, bioaccumulation and toxicity. It is a general interest to find environmentally benign PAGs that are free of fluorine atoms. Here we describe the design, synthesis and characterization of a series of novel fluorine-free onium salts as PAGs for 193 nm photoresists. These PAGs demonstrated desirable physical and lithography properties when compared with PFAS-based PAGs for both dry and immersion exposures.

  6. High performance Si immersion gratings patterned with electron beam lithography

    Science.gov (United States)

    Gully-Santiago, Michael A.; Jaffe, Daniel T.; Brooks, Cynthia B.; Wilson, Daniel W.; Muller, Richard E.

    2014-07-01

    Infrared spectrographs employing silicon immersion gratings can be significantly more compact than spectro- graphs using front-surface gratings. The Si gratings can also offer continuous wavelength coverage at high spectral resolution. The grooves in Si gratings are made with semiconductor lithography techniques, to date almost entirely using contact mask photolithography. Planned near-infrared astronomical spectrographs require either finer groove pitches or higher positional accuracy than standard UV contact mask photolithography can reach. A collaboration between the University of Texas at Austin Silicon Diffractive Optics Group and the Jet Propulsion Laboratory Microdevices Laboratory has experimented with direct writing silicon immersion grating grooves with electron beam lithography. The patterning process involves depositing positive e-beam resist on 1 to 30 mm thick, 100 mm diameter monolithic crystalline silicon substrates. We then use the facility JEOL 9300FS e-beam writer at JPL to produce the linear pattern that defines the gratings. There are three key challenges to produce high-performance e-beam written silicon immersion gratings. (1) E- beam field and subfield stitching boundaries cause periodic cross-hatch structures along the grating grooves. The structures manifest themselves as spectral and spatial dimension ghosts in the diffraction limited point spread function (PSF) of the diffraction grating. In this paper, we show that the effects of e-beam field boundaries must be mitigated. We have significantly reduced ghost power with only minor increases in write time by using four or more field sizes of less than 500 μm. (2) The finite e-beam stage drift and run-out error cause large-scale structure in the wavefront error. We deal with this problem by applying a mark detection loop to check for and correct out minuscule stage drifts. We measure the level and direction of stage drift and show that mark detection reduces peak-to-valley wavefront error

  7. High order field-to-field corrections for imaging and overlay to achieve sub 20-nm lithography requirements

    Science.gov (United States)

    Mulkens, Jan; Kubis, Michael; Hinnen, Paul; de Graaf, Roelof; van der Laan, Hans; Padiy, Alexander; Menchtchikov, Boris

    2013-04-01

    Immersion lithography is being extended to the 20-nm and 14-nm node and the lithography performance requirements need to be tightened further to enable this shrink. In this paper we present an integral method to enable high-order fieldto- field corrections for both imaging and overlay, and we show that this method improves the performance with 20% - 50%. The lithography architecture we build for these higher order corrections connects the dynamic scanner actuators with the angle resolved scatterometer via a separate application server. Improvements of CD uniformity are based on enabling the use of freeform intra-field dose actuator and field-to-field control of focus. The feedback control loop uses CD and focus targets placed on the production mask. For the overlay metrology we use small in-die diffraction based overlay targets. Improvements of overlay are based on using the high order intra-field correction actuators on a field-tofield basis. We use this to reduce the machine matching error, extending the heating control and extending the correction capability for process induced errors.

  8. Low leaching and low LWR photoresist development for 193 nm immersion lithography

    Science.gov (United States)

    Ando, Nobuo; Lee, Youngjoon; Miyagawa, Takayuki; Edamatsu, Kunishige; Takemoto, Ichiki; Yamamoto, Satoshi; Tsuchida, Yoshinobu; Yamamoto, Keiko; Konishi, Shinji; Nakano, Katsushi; Tomoharu, Fujiwara

    2006-03-01

    receding contact angle become very important issue for not only defectivity but also scanner throughput. Some of our experimental results along this line of study are also included in the report. The last topic covered is LWR (Line Width Roughness) as an essential leverage for performance improvement, especially for the smaller CD that immersion lithography is aiming to define. Our recent effort to find effect and working concept to reduce LWR with low leaching materials is also described.

  9. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    Science.gov (United States)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  10. Driving imaging and overlay performance to the limits with advanced lithography optimization

    Science.gov (United States)

    Mulkens, Jan; Finders, Jo; van der Laan, Hans; Hinnen, Paul; Kubis, Michael; Beems, Marcel

    2012-03-01

    Immersion lithography is being extended to 22-nm and even below. Next to generic scanner system improvements, application specific solutions are needed to follow the requirements for CD control and overlay. Starting from the performance budgets, this paper discusses how to improve (in volume manufacturing environment) CDU towards 1-nm and overlay towards 3-nm. The improvements are based on deploying the actuator capabilities of the immersion scanner. The latest generation immersion scanners have extended the correction capabilities for overlay and imaging, offering freeform adjustments of lens, illuminator and wafer grid. In order to determine the needed adjustments the recipe generation per user application is based on a combination wafer metrology data and computational lithography methods. For overlay, focus and CD metrology we use an angle resolved optical scatterometer.

  11. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  12. Electron beam mask writer EBM-9500 for logic 7nm node generation

    Science.gov (United States)

    Matsui, Hideki; Kamikubo, Takashi; Nakahashi, Satoshi; Nomura, Haruyuki; Nakayamada, Noriaki; Suganuma, Mizuna; Kato, Yasuo; Yashima, Jun; Katsap, Victor; Saito, Kenichi; Kobayashi, Ryoei; Miyamoto, Nobuo; Ogasawara, Munehiro

    2016-10-01

    Semiconductor scaling is slowing down because of difficulties of device manufacturing below logic 7nm node generation. Various lithography candidates which include ArF immersion with resolution enhancement technology (like Inversed Lithography technology), Extreme Ultra Violet lithography and Nano Imprint lithography are being developed to address the situation. In such advanced lithography, shot counts of mask patterns are estimated to increase explosively in critical layers, and then it is hoped that multi beam mask writer (MBMW) is released to handle them within realistic write time. However, ArF immersion technology with multiple patterning will continue to be a mainstream lithography solution for most of the layers. Then, the shot counts in less critical layers are estimated to be stable because of the limitation of resolution in ArF immersion technology. Therefore, single beam mask writer (SBMW) can play an important role for mask production still, relative to MBMW. Also the demand of SBMW seems actually strong for the logic 7nm node. To realize this, we have developed a new SBMW, EBM-9500 for mask fabrication in this generation. A newly introduced electron beam source enables higher current density of 1200A/cm2. Heating effect correction function has also been newly introduced to satisfy the requirements for both pattern accuracy and throughput. In this paper, we will report the configuration and performance of EBM-9500.

  13. Position Analysis of a Hybrid Serial-Parallel Manipulator in Immersion Lithography

    Directory of Open Access Journals (Sweden)

    Jie-jie Shao

    2015-01-01

    Full Text Available This paper proposes a novel hybrid serial-parallel mechanism with 6 degrees of freedom. The new mechanism combines two different parallel modules in a serial form. 3-P̲(PH parallel module is architecture of 3 degrees of freedom based on higher joints and specializes in describing two planes’ relative pose. 3-P̲SP parallel module is typical architecture which has been widely investigated in recent researches. In this paper, the direct-inverse position problems of the 3-P̲SP parallel module in the couple mixed-type mode are analyzed in detail, and the solutions are obtained in an analytical form. Furthermore, the solutions for the direct and inverse position problems of the novel hybrid serial-parallel mechanism are also derived and obtained in the analytical form. The proposed hybrid serial-parallel mechanism is applied to regulate the immersion hood’s pose in an immersion lithography system. Through measuring and regulating the pose of the immersion hood with respect to the wafer surface simultaneously, the immersion hood can track the wafer surface’s pose in real-time and the gap status is stabilized. This is another exploration to hybrid serial-parallel mechanism’s application.

  14. 32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithography

    Science.gov (United States)

    Greenway, Robert T.; Jeong, Kwangok; Kahng, Andrew B.; Park, Chul-Hong; Petersen, John S.

    2008-10-01

    As optical lithography advances into the 45nm technology node and beyond, new manufacturing-aware design requirements have emerged. We address layout design for interference-assisted lithography (IAL), a double exposure method that combines maskless interference lithography (IL) and projection lithography (PL); cf. hybrid optical maskless lithography (HOMA) in [2] and [3]. Since IL can generate dense but regular pitch patterns, a key challenge to deployment of IAL is the conversion of existing designs to regular-linewidth, regular-pitch layouts. In this paper, we propose new 1-D regular pitch SRAM bitcell layouts which are amenable to IAL. We evaluate the feasibility of our bitcell designs via lithography simulations and circuit simulations, and confirm that the proposed bitcells can be successfully printed by IAL and that their electrical characteristics are comparable to those of existing bitcells.

  15. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    Science.gov (United States)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  16. REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

    Science.gov (United States)

    McCord, Mark A.; Petric, Paul; Ummethala, Upendra; Carroll, Allen; Kojima, Shinichi; Grella, Luca; Shriyan, Sameet; Rettner, Charles T.; Bevis, Chris F.

    2012-03-01

    REBL (Reflective Electron Beam Lithography) is a novel concept for high speed maskless projection electron beam lithography. Originally targeting 45 nm HP (half pitch) under a DARPA funded contract, we are now working on optimizing the optics and architecture for the commercial silicon integrated circuit fabrication market at the equivalent of 16 nm HP. The shift to smaller features requires innovation in most major subsystems of the tool, including optics, stage, and metrology. We also require better simulation and understanding of the exposure process. In order to meet blur requirements for 16 nm lithography, we are both shrinking the pixel size and reducing the beam current. Throughput will be maintained by increasing the number of columns as well as other design optimizations. In consequence, the maximum stage speed required to meet wafer throughput targets at 16 nm will be much less than originally planned for at 45 nm. As a result, we are changing the stage architecture from a rotary design to a linear design that can still meet the throughput requirements but with more conventional technology that entails less technical risk. The linear concept also allows for simplifications in the datapath, primarily from being able to reuse pattern data across dies and columns. Finally, we are now able to demonstrate working dynamic pattern generator (DPG) chips, CMOS chips with microfabricated lenslets on top to prevent crosstalk between pixels.

  17. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  18. Ion projection lithography: November 2000 status and sub-70-nm prospects

    Science.gov (United States)

    Kaesmaier, Rainer; Wolter, Andreas; Loeschner, Hans; Schunck, Stefan

    2000-10-01

    Among all next generation lithography (NGL) options Ion Projection Lithography (IPL) offers the smallest (particle) wavelength of 5x10- 5nm (l00keV Helium ions). Thus, 4x reduction ion-optics has diffraction limits IOS) has been realized and assembled. In parallel to the PDT-IOS effort, at Leica Jena a test bench for a vertical vacuum 300mm-wafer stage has been realized. Operation of magnetic bearing supported stage movement has already been demonstrated. As ASML vacuum compatible optical wafer alignment system, with 3nm(3(sigma) ) precision demonstrated in air, has been integrated to this wafer test bench system recently. Parallel to the IPL tool development, Infineon Technologies Mask House and the Institute for Microelectronics Stuttgart are intensively working on the development of IPL stencil masks with success in producing 150mm and 200mm stencil masks as reported elsewhere. This paper is focused on information about the status of the PDT-IOS tool.

  19. The line roughness improvement with plasma coating and cure treatment for 193nm lithography and beyond

    Science.gov (United States)

    Zheng, Erhu; Huang, Yi; Zhang, Haiyang

    2017-03-01

    As CMOS technology reaches 14nm node and beyond, one of the key challenges of the extension of 193nm immersion lithography is how to control the line edge and width roughness (LER/LWR). For Self-aligned Multiple Patterning (SaMP), LER becomes larger while LWR becomes smaller as the process proceeds[1]. It means plasma etch process becomes more and more dominant for LER reduction. In this work, we mainly focus on the core etch solution including an extra plasma coating process introduced before the bottom anti reflective coating (BARC) open step, and an extra plasma cure process applied right after BARC-open step. Firstly, we leveraged the optimal design experiment (ODE) to investigate the impact of plasma coating step on LER and identified the optimal condition. ODE is an appropriate method for the screening experiments of non-linear parameters in dynamic process models, especially for high-cost-intensive industry [2]. Finally, we obtained the proper plasma coating treatment condition that has been proven to achieve 32% LER improvement compared with standard process. Furthermore, the plasma cure scheme has been also optimized with ODE method to cover the LWR degradation induced by plasma coating treatment.

  20. 100-nm gate lithography for double-gate transistors

    Science.gov (United States)

    Krasnoperova, Azalia A.; Zhang, Ying; Babich, Inna V.; Treichler, John; Yoon, Jung H.; Guarini, Kathryn; Solomon, Paul M.

    2001-09-01

    The double gate field effect transistor (FET) is an exploratory device that promises certain performance advantages compared to traditional CMOS FETs. It can be scaled down further than the traditional devices because of the greater electrostatic control by the gates on the channel (about twice as short a channel length for the same gate oxide thickness), has steeper sub-threshold slope and about double the current for the same width. This paper presents lithographic results for double gate FET's developed at IBM's T. J. Watson Research Center. The device is built on bonded wafers with top and bottom gates self-aligned to each other. The channel is sandwiched between the top and bottom polysilicon gates and the gate length is defined using DUV lithography. An alternating phase shift mask was used to pattern gates with critical dimensions of 75 nm, 100 nm and 125 nm in photoresist. 50 nm gates in photoresist have also been patterned by 20% over-exposure of nominal 100 nm lines. No trim mask was needed because of a specific way the device was laid out. UV110 photoresist from Shipley on AR-3 antireflective layer were used. Process windows, developed and etched patterns are presented.

  1. Immersion and dry lithography monitoring for flash memories (after develop inspection and photo cell monitor) using a darkfield imaging inspector with advanced binning technology

    Science.gov (United States)

    Parisi, P.; Mani, A.; Perry-Sullivan, C.; Kopp, J.; Simpson, G.; Renis, M.; Padovani, M.; Severgnini, C.; Piacentini, P.; Piazza, P.; Beccalli, A.

    2009-12-01

    After-develop inspection (ADI) and photo-cell monitoring (PM) are part of a comprehensive lithography process monitoring strategy. Capturing defects of interest (DOI) in the lithography cell rather than at later process steps shortens the cycle time and allows for wafer re-work, reducing overall cost and improving yield. Low contrast DOI and multiple noise sources make litho inspection challenging. Broadband brightfield inspectors provide the highest sensitivity to litho DOI and are traditionally used for ADI and PM. However, a darkfield imaging inspector has shown sufficient sensitivity to litho DOI, providing a high-throughput option for litho defect monitoring. On the darkfield imaging inspector, a very high sensitivity inspection is used in conjunction with advanced defect binning to detect pattern issues and other DOI and minimize nuisance defects. For ADI, this darkfield inspection methodology enables the separation and tracking of 'color variation' defects that correlate directly to CD variations allowing a high-sampling monitor for focus excursions, thereby reducing scanner re-qualification time. For PM, the darkfield imaging inspector provides sensitivity to critical immersion litho defects at a lower cost-of-ownership. This paper describes litho monitoring methodologies developed and implemented for flash devices for 65nm production and 45nm development using the darkfield imaging inspector.

  2. Combined mask and illumination scheme optimization for robust contact patterning on 45nm technology node flash memory devices

    Science.gov (United States)

    Vaglio Pret, Alessandro; Capetti, Gianfranco; Bollin, Maddalena; Cotti, Gina; De Simone, Danilo; Cantù, Pietro; Vaccaro, Alessandro; Soma, Laura

    2008-03-01

    Immersion Lithography is the most important technique for extending optical lithography's capabilities and meeting the requirements of Semiconductor Roadmap. The introduction of immersion tools has recently allowed the development of 45nm technology node in single exposure. Nevertheless the usage of hyper-high NA scanners (NA > 1), some levels still remain very critical to be imaged with sufficient process performances. For memory devices, contact mask is for sure the most challenging layer. Aim of this paper is to present the lithographic assessment of 193nm contact holes process, with k I value of ~0.30 using NA 1.20 immersion lithography (minimum pitch is 100nm). Different issues will be reported, related to mask choices (Binary or Attenuated Phase Shift) and illuminator configurations. First phase of the work will be dedicated to a preliminary experimental screening on a simple test case in order to reduce the variables in the following optimization sections. Based on this analysis we will discard X-Y symmetrical illuminators (Annular, C-Quad) due to poor contrast. Second phase will be dedicated to a full simulation assessment. Different illuminators will be compared, with both mask type and several mask biases. From this study, we will identify some general trends of lithography performances that can be used for the fine tuning of the RET settings. The last phase of the work will be dedicated to find the sensitivity trends for one of the analyzed illuminators. In particular we study the effect of Numerical Aperture, mask bias in both X and Y direction and poles sigma ring-width and centre.

  3. Sub-10 nm colloidal lithography for circuit-integrated spin-photo-electronic devices

    Directory of Open Access Journals (Sweden)

    Adrian Iovan

    2012-12-01

    Full Text Available Patterning of materials at sub-10 nm dimensions is at the forefront of nanotechnology and employs techniques of various complexity, efficiency, areal scale, and cost. Colloid-based patterning is known to be capable of producing individual sub-10 nm objects. However, ordered, large-area nano-arrays, fully integrated into photonic or electronic devices have remained a challenging task. In this work, we extend the practice of colloidal lithography to producing large-area sub-10 nm point-contact arrays and demonstrate their circuit integration into spin-photo-electronic devices. The reported nanofabrication method should have broad application areas in nanotechnology as it allows ballistic-injection devices, even for metallic materials with relatively short characteristic relaxation lengths.

  4. Resistless Fabrication of Nanoimprint Lithography (NIL Stamps Using Nano-Stencil Lithography

    Directory of Open Access Journals (Sweden)

    Juergen Brugger

    2013-10-01

    Full Text Available In order to keep up with the advances in nano-fabrication, alternative, cost-efficient lithography techniques need to be implemented. Two of the most promising are nanoimprint lithography (NIL and stencil lithography. We explore here the possibility of fabricating the stamp using stencil lithography, which has the potential for a cost reduction in some fabrication facilities. We show that the stamps reproduce the membrane aperture patterns within ±10 nm and we validate such stamps by using them to fabricate metallic nanowires down to 100 nm in size.

  5. Compact 13.5-nm free-electron laser for extreme ultraviolet lithography

    Directory of Open Access Journals (Sweden)

    Y. Socol

    2011-04-01

    Full Text Available Optical lithography has been actively used over the past decades to produce more and more dense integrated circuits. To keep with the pace of the miniaturization, light of shorter and shorter wavelength was used with time. The capabilities of the present 193-nm UV photolithography were expanded time after time, but it is now believed that further progress will require deployment of extreme ultraviolet (EUV lithography based on the use of 13.5-nm radiation. However, presently no light source exists with sufficient average power to enable high-volume manufacturing. We report here the results of a study that shows the feasibility of a free-electron laser EUV source driven by a multiturn superconducting energy-recovery linac (ERL. The proposed 40×20  m^{2} facility, using MW-scale consumption from the power grid, is estimated to provide about 5 kW of average EUV power. We elaborate the self-amplified spontaneous emission (SASE option, which is presently technically feasible. A regenerative-amplifier option is also discussed. The proposed design is based on a short-period (2–3 cm undulator. The corresponding electron beam energy is about 0.5–1.0 GeV. The proposed accelerator consists of a photoinjector, a booster, and a multiturn ERL.

  6. Aging effect of AlF3 coatings for 193 nm lithography

    Science.gov (United States)

    Zhao, Jia; Wang, Lin; Zhang, Weili; Yi, Kui; Shao, Jianda

    2018-02-01

    As important part of components for 193 nm lithography, AlF3 coatings deposited by resistive heating method acquire advantages like lower optical loss and higher laser damage threshold, but they also possess some disadvantages like worse stability, which is what aging effect focuses on. AlF3 single-layer coatings were deposited; optical property, surface morphology and roughness, and composition were characterized in different periods. Owing to aging effect, refractive index and extinction coefficient increased; larger and larger roughness caused more and more scattering loss, which was in the same order with absorption at 193.4 nm and part of optical loss; from composition analysis, proportional substitution of AlF3 by alumina may account for changes in refractive index as well as absorption.

  7. Scanner focus metrology and control system for advanced 10nm logic node

    Science.gov (United States)

    Oh, Junghun; Maeng, Kwang-Seok; Shin, Jae-Hyung; Choi, Won-Woong; Won, Sung-Keun; Grouwstra, Cedric; El Kodadi, Mohamed; Heil, Stephan; van der Meijden, Vidar; Hong, Jong Kyun; Kim, Sang-Jin; Kwon, Oh-Sung

    2018-03-01

    Immersion lithography is being extended beyond the 10-nm node and the lithography performance requirement needs to be tightened further to ensure good yield. Amongst others, good on-product focus control with accurate and dense metrology measurements is essential to enable this. In this paper, we will present new solutions that enable onproduct focus monitoring and control (mean and uniformity) suitable for high volume manufacturing environment. We will introduce the concept of pure focus and its role in focus control through the imaging optimizer scanner correction interface. The results will show that the focus uniformity can be improved by up to 25%.

  8. An integrated lithography concept with application on 45-nm ½ pitch flash memory devices

    Science.gov (United States)

    Dusa, Mircea; Engelen, Andre; Finders, Jo

    2006-03-01

    It is well accepted to judge imaging capability of an exposure tool primarily on printing equal line-spaces, at a minimum ½ pitch. Further on, combining line-space minimum ½ pitches with scanner maximum NA, defines the process k I. From a lithographer viewpoint, flash memory device is the perfect candidate to achieve lowest k I lithography for a given NA. This is justified by flash layout specific, with regular and relative simple 1-D topology of the critical layers that look like line-space gratings. In reality, flash layout presents a subtle topology and cannot be considered a simple 1-D line-space problem. Uniqueness to flash layout is the array-end zones, where pattern regularity is broken up by features with dimensions and separation of n x ½ pitch, where n is an integer number that we used in this work to manipulate litho process latitudes. Integrated lithography concept seeks to tweak flash pattern details and tune it with scanner control parameters. We introduce feature-center placement through focus and dose as the metric to characterize a cross-coupling phenomena occurring between adjacent features located at array-end of typical flash poly wordline layer. We comparedthe metric behavior with usual litho process window parameters and identified interactions with scanner CDU control parameters. We show how feature-center placement errors are direct functions of optical and physical characteristics of mask materials, attenuated PSM or binary, and of layout array-end topology. Imaging at extreme low-k I, effects from layout specifics and mask materials are best characterized by full vector, rigorous EM simulation, instead of scalar approach, typically used for OPC treatment. Predicted CDU performance of 1.2NA scanner, based on integrated lithography concept, matched very well the experimental results in printing 45nm ½ pitch flash wordline layer. Results show that 1.2NA scanner, operating at 0.28 k I could be an effective lithography solution for 45nm

  9. A novel double patterning approach for 30nm dense holes

    Science.gov (United States)

    Hsu, Dennis Shu-Hao; Wang, Walter; Hsieh, Wei-Hsien; Huang, Chun-Yen; Wu, Wen-Bin; Shih, Chiang-Lin; Shih, Steven

    2011-04-01

    Double Patterning Technology (DPT) was commonly accepted as the major workhorse beyond water immersion lithography for sub-38nm half-pitch line patterning before the EUV production. For dense hole patterning, classical DPT employs self-aligned spacer deposition and uses the intersection of horizontal and vertical lines to define the desired hole patterns. However, the increase in manufacturing cost and process complexity is tremendous. Several innovative approaches have been proposed and experimented to address the manufacturing and technical challenges. A novel process of double patterned pillars combined image reverse will be proposed for the realization of low cost dense holes in 30nm node DRAM. The nature of pillar formation lithography provides much better optical contrast compared to the counterpart hole patterning with similar CD requirements. By the utilization of a reliable freezing process, double patterned pillars can be readily implemented. A novel image reverse process at the last stage defines the hole patterns with high fidelity. In this paper, several freezing processes for the construction of the double patterned pillars were tested and compared, and 30nm double patterning pillars were demonstrated successfully. A variety of different image reverse processes will be investigated and discussed for their pros and cons. An economic approach with the optimized lithography performance will be proposed for the application of 30nm DRAM node.

  10. All-dry resist processes for 193-nm lithography

    Science.gov (United States)

    Horn, Mark W.; Maxwell, Brian E.; Kunz, Roderick R.; Hibbs, Michael S.; Eriksen, Lynn M.; Palmateer, Susan C.; Forte, Anthony R.

    1995-06-01

    We report on two different all-dry resist schemes for 193-nm lithography, one negative tone and one positive tone. Our negative tone resist is an extension of our initial work on all-dry photoresists. This scheme employs a bilayer in which the imaging layer is formed by plasma enhanced chemical vapor deposition (PECVD) from tetramethylsilane (TMS) and deposited onto PECVD carbon-based planarizing layers. Figure 1 shows SEMs of dark field and light field octagons patterned in projection on Lincoln Laboratory's 0.5-NA 193-nm Micrascan system. These 0.225-micrometers and 0.200-micrometers line and space features were obtained at a dose of approximately 58 mJ/cm2. Dry development of the exposed resist was accomplished using Cl2 chemistry in a helicon high-ion-density etching tool. Pattern transfer was performed in the helicon tool with oxygen-based chemistries. Recently, we have also developed an all-dry positive-tone silylation photoresist. This photoresist is a PECVD carbon-based polymer which is crosslinked by 193-nm exposure, enabling selective silylation similar to that initially reported by Hartney et al., with spin-applied polymers. In those polymers, for example polyvinylphenol, the silylation site concentration is fixed by the hydroxyl groups on the polymer precursors, thus limiting the silicon uptake per unit volume. With PECVD polymers, the total concentration of silylation sites and their depth can be tailored by varying plasma species as a function of time during the deposition. This affords the possibility of greater silicon uptake per unit volume and better depth control of the silylation profile. Figure 2 shows a SEM of 0.5-micrometers features patterned in plasma deposited silylation resist.

  11. Fundamental study of droplet spray characteristics in photomask cleaning for advanced lithography

    Science.gov (United States)

    Lu, C. L.; Yu, C. H.; Liu, W. H.; Hsu, Luke; Chin, Angus; Lee, S. C.; Yen, Anthony; Lee, Gaston; Dress, Peter; Singh, Sherjang; Dietze, Uwe

    2010-09-01

    The fundamentals of droplet-based cleaning of photomasks are investigated and performance regimes that enable the use of binary spray technologies in advanced mask cleaning are identified. Using phase Doppler anemometry techniques, the effect of key performance parameters such as liquid and gas flow rates and temperature, nozzle design, and surface distance on droplet size, velocity, and distributions were studied. The data are correlated to particle removal efficiency (PRE) and feature damage results obtained on advanced photomasks for 193-nm immersion lithography.

  12. Extreme ultraviolet resist materials for sub-7 nm patterning.

    Science.gov (United States)

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K; Giannelis, Emmanuel P

    2017-08-14

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  13. Extreme ultraviolet resist materials for sub-7 nm patterning

    KAUST Repository

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K.; Giannelis, Emmanuel P.

    2017-01-01

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  14. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  15. Extreme ultraviolet resist materials for sub-7 nm patterning

    KAUST Repository

    Li, Li

    2017-06-26

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore\\'s law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  16. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  17. Resolution Improvement and Pattern Generator Development for the Maskless Micro-Ion-Beam Reduction Lithography System

    International Nuclear Information System (INIS)

    Jiang, Ximan

    2006-01-01

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In order to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography strategies

  18. Mask compensation for process flare in 193nm very low k1 lithography

    Science.gov (United States)

    Lee, Jeonkyu; Lee, Taehyeong; Oh, Sangjin; Kang, Chunsoo; Kim, Jungchan; Choi, Jaeseung; Park, Chanha; Yang, Hyunjo; Yim, Donggyu; Do, Munhoe; Su, Irene; Song, Hua; Choi, Jung-Hoe; Fan, Yongfa; Wang, Anthony C.; Lee, Sung-Woo; Boone, Robert; Lucas, Kevin

    2013-04-01

    Traditional rule-based and model-based OPC methods only simulate in a very local area (generally less than 1um) to identify and correct for systematic optical or process problems. Despite this limitation, however, these methods have been very successful for many technology generations and have been a major reason for the industry being able to tremendously push down lithographic K1. This is also enabled by overall good across-exposure field lithographic process control which has been able to minimize longer range effects across the field. Now, however, the situation has now become more complex. The lithographic single exposure resolution limit with 1.35NA tools remains about 80nm pitch but the final wafer dimensions and final wafer pitches required in advanced technologies continue to scale down. This is putting severe strain on lithographic process and OPC CD control. Therefore, formerly less important 2nd order effects are now starting to have significant CD control impact if not corrected for. In this paper, we provide examples and discussion of how optical and chemical flare related effects are becoming more problematic, especially at the boundaries of large, dense memory arrays. We then introduce a practical correction method for these systematic effects which reuses some of the recent long range effect correcting OPC techniques developed for EUV pattern correction (such as EUV flare). We next provide analysis of the benefits of these OPC methods for chemical flare issues in 193nm lithography very low K1 lithography. Finally, we summarize our work and briefly mention possible future extensions.

  19. Atom lithography of Fe

    NARCIS (Netherlands)

    Sligte, te E.; Smeets, B.; van der Stam, K.M.R.; Herfst, R.W.; Straten, van der P.; Beijerinck, H.C.W.; Leeuwen, van K.A.H.

    2004-01-01

    Direct write atom lithography is a technique in which nearly resonant light is used to pattern an atom beam. Nanostructures are formed when the patterned beam falls onto a substrate. We have applied this lithography scheme to a ferromagnetic element, using a 372 nm laser light standing wave to

  20. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  1. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  2. EUV patterning using CAR or MOX photoresist at low dose exposure for sub 36nm pitch

    Science.gov (United States)

    Thibaut, Sophie; Raley, Angélique; Lazarrino, Frederic; Mao, Ming; De Simone, Danilo; Piumi, Daniele; Barla, Kathy; Ko, Akiteru; Metz, Andrew; Kumar, Kaushik; Biolsi, Peter

    2018-04-01

    The semiconductor industry has been pushing the limits of scalability by combining 193nm immersion lithography with multi-patterning techniques for several years. Those integrations have been declined in a wide variety of options to lower their cost but retain their inherent variability and process complexity. EUV lithography offers a much desired path that allows for direct print of line and space at 36nm pitch and below and effectively addresses issues like cycle time, intra-level overlay and mask count costs associated with multi-patterning. However it also brings its own sets of challenges. One of the major barrier to high volume manufacturing implementation has been hitting the 250W power exposure required for adequate throughput [1]. Enabling patterning using a lower dose resist could help move us closer to the HVM throughput targets assuming required performance for roughness and pattern transfer can be met. As plasma etching is known to reduce line edge roughness on 193nm lithography printed features [2], we investigate in this paper the level of roughness that can be achieved on EUV photoresist exposed at a lower dose through etch process optimization into a typical back end of line film stack. We will study 16nm lines printed at 32 and 34nm pitch. MOX and CAR photoresist performance will be compared. We will review step by step etch chemistry development to reach adequate selectivity and roughness reduction to successfully pattern the target layer.

  3. Combined dose and geometry correction (DMG) for low energy multi electron beam lithography (5kV): application to the 16nm node

    Science.gov (United States)

    Martin, Luc; Manakli, Serdar; Bayle, Sebastien; Belledent, Jérôme; Soulan, Sebastien; Wiedemann, Pablo; Farah, Abdi; Schiavone, Patrick

    2012-03-01

    Lithography faces today many challenges to meet the ITRS road-map. 193nm is still today the only existing industrial option to address high volume production for the 22nm node. Nevertheless to achieve such a resolution, double exposure is mandatory for critical level patterning. EUV lithography is still challenged by the availability of high power source and mask defectivity and suffers from a high cost of ownership perspective. Its introduction is now not foreseen before 2015. Parallel to these mask-based technologies, maskless lithography regularly makes significant progress in terms of potential and maturity. The massively parallel e-beam solution appears as a real candidate for high volume manufacturing. Several industrial projects are under development, one in the US, with the KLA REBL project and two in Europe driven by IMS Nanofabrication (Austria; MAPPER (The Netherlands). Among the developments to be performed to secure the takeoff of the multi-beam technology, the availability of a rapid and robust data treatment solution will be one of the major challenges. Within this data preparation flow, advanced proximity effect corrections must be implemented to address the 16nm node and below. This paper will detail this process and compare correction strategies in terms of robustness and accuracy. It will be based on results obtained using a MAPPER tool within the IMAGINE program driven by CEA-LETI, in Grenoble, France. All proximity effects corrections and the dithering step were performed using the software platform Inscale® from Aselta Nanographics. One important advantage of Inscale® is the ability to combine both model based dose and geometry adjustment to accurately pattern critical features. The paper will focus on the advantage of combining those two corrections at the 16nm node instead of using only geometry corrections. Thanks to the simulation capability of Inscale®, pattern fidelity and correction robustness will be evaluated and compared between

  4. Selective and lithography-independent fabrication of 20 nm nano-gap electrodes and nano-channels for nanoelectrofluidics applications

    International Nuclear Information System (INIS)

    Zhang, J Y; Wang, X F; Wang, X D; Fan, Z C; Li, Y; Ji, An; Yang, F H

    2010-01-01

    A new method has been developed to selectively fabricate nano-gap electrodes and nano-channels by conventional lithography. Based on a sacrificial spacer process, we have successfully obtained sub-100-nm nano-gap electrodes and nano-channels and further reduced the dimensions to 20 nm by shrinking the sacrificial spacer size. Our method shows good selectivity between nano-gap electrodes and nano-channels due to different sacrificial spacer etch conditions. There is no length limit for the nano-gap electrode and the nano-channel. The method reported in this paper also allows for wafer scale fabrication, high throughput, low cost, and good compatibility with modern semiconductor technology.

  5. The ArF laser for the next-generation multiple-patterning immersion lithography supporting green operations

    Science.gov (United States)

    Ishida, Keisuke; Ohta, Takeshi; Miyamoto, Hirotaka; Kumazaki, Takahito; Tsushima, Hiroaki; Kurosu, Akihiko; Matsunaga, Takashi; Mizoguchi, Hakaru

    2016-03-01

    Multiple patterning ArF immersion lithography has been expected as the promising technology to satisfy tighter leading edge device requirements. One of the most important features of the next generation lasers will be the ability to support green operations while further improving cost of ownership and performance. Especially, the dependence on rare gases, such as Neon and Helium, is becoming a critical issue for high volume manufacturing process. The new ArF excimer laser, GT64A has been developed to cope with the reduction of operational costs, the prevention against rare resource shortage and the improvement of device yield in multiple-patterning lithography. GT64A has advantages in efficiency and stability based on the field-proven injection-lock twin-chamber platform (GigaTwin platform). By the combination of GigaTwin platform and the advanced gas control algorithm, the consumption of rare gases such as Neon is reduced to a half. And newly designed Line Narrowing Module can realize completely Helium free operation. For the device yield improvement, spectral bandwidth stability is important to increase image contrast and contribute to the further reduction of CD variation. The new spectral bandwidth control algorithm and high response actuator has been developed to compensate the offset due to thermal change during the interval such as the period of wafer exchange operation. And REDeeM Cloud™, new monitoring system for managing light source performance and operations, is on-board and provides detailed light source information such as wavelength, energy, E95, etc.

  6. Plasmonic direct writing lithography with a macroscopical contact probe

    Science.gov (United States)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  7. Manipulation of heat-diffusion channel in laser thermal lithography.

    Science.gov (United States)

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  8. Optical characterisation of photonic wire and photonic crystal waveguides fabricated using nanoimprint lithography

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Frandsen, Lars Hagedorn; Lavrinenko, Andrei

    2006-01-01

    We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography.......We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography....

  9. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  10. Graphene nanoribbon superlattices fabricated via He ion lithography

    International Nuclear Information System (INIS)

    Archanjo, Braulio S.; Fragneaud, Benjamin; Gustavo Cançado, Luiz; Winston, Donald; Miao, Feng; Alberto Achete, Carlos; Medeiros-Ribeiro, Gilberto

    2014-01-01

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He + -beam lithography can texture graphene with less damage

  11. Graphene nanoribbon superlattices fabricated via He ion lithography

    Energy Technology Data Exchange (ETDEWEB)

    Archanjo, Braulio S., E-mail: bsarchanjo@inmetro.gov.br [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Fragneaud, Benjamin [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Juiz de Fora, Juiz de Fora, MG 36036-330 (Brazil); Gustavo Cançado, Luiz [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Winston, Donald [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); Miao, Feng [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); National Laboratory of Solid State Microstructures, School of Physics, National Center of Microstructures and Quantum Manipulation, Nanjing University, Nanjing 210093 (China); Alberto Achete, Carlos [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Engenharia Metalúrgica e de Materiais, Universidade Federal do Rio de janeiro, Rio de Janeiro RJ 21941-972 (Brazil); Medeiros-Ribeiro, Gilberto [Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States)

    2014-05-12

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He{sup +}-beam lithography can texture graphene with less damage.

  12. Stamp design effect on 100 nm feature size for 8 inch NanoImprint lithography

    International Nuclear Information System (INIS)

    Landis, S; Chaix, N; Gourgon, C; Perret, C; Leveder, T

    2006-01-01

    Sub-100 nm resolution on a 200 mm silicon stamp has been hot embossed into commercial Sumitomo NEB 22 resist. A single pattern, exposed with electron beam lithography, has been considered to define the stamp and thus make it possible to point out the impact of stamp design on the printing. These results may be considered as a first attempt to define rules to solve the proximity printing effects (PPEs). Moreover, a large range of initial resist thickness, from 56 to 506 nm, has been spin coated to assess the effect of polymer flow properties for the stamp cavity filling and the printed defects. A detailed analysis of the printed resist in dense hole patterns showed that the application volume conservation is enough to calculate the residual layer thickness as the height of the printed resist feature. Good accordance has been obtained between the theoretical approach and experimental results. Moreover, the impact of the pattern symmetry breakdown on mould deformation is clearly shown in this paper in the printed areas as well as in the unprinted areas

  13. Research development of thermal aberration in 193nm lithography exposure system

    Science.gov (United States)

    Wang, Yueqiang; Liu, Yong

    2014-08-01

    Lithographic exposure is the key process in the manufacture of the integrated circuit, and the performance of exposure system decides the level of microelectronic manufacture technology. Nowadays, the 193nm ArF immersion exposure tool is widely used by the IC manufacturer. With the uniformity of critical dimension (CDU) and overlay become tighter and the requirement for throughput become higher, the thermal aberration caused by lens material and structure absorbing the laser energy cannot be neglected. In this paper, we introduce the efforts and methods that researcher on thermal aberration and its control. Further, these methods were compared to show their own pros and cons. Finally we investigated the challenges of thermal aberration control for state of the art technologies.

  14. Si-nanowire-based multistage delayed Mach-Zehnder interferometer optical MUX/DeMUX fabricated by an ArF-immersion lithography process on a 300 mm SOI wafer.

    Science.gov (United States)

    Jeong, Seok-Hwan; Shimura, Daisuke; Simoyama, Takasi; Horikawa, Tsuyoshi; Tanaka, Yu; Morito, Ken

    2014-07-01

    We report good phase controllability and high production yield in Si-nanowire-based multistage delayed Mach-Zehnder interferometer-type optical multiplexers/demultiplexers (MUX/DeMUX) fabricated by an ArF-immersion lithography process on a 300 mm silicon-on-insulator (SOI) wafer. Three kinds of devices fabricated in this work exhibit clear 1×4 Ch wavelength filtering operations for various optical frequency spacing. These results are promising for their applications in high-density wavelength division multiplexing-based optical interconnects.

  15. Investigation of the AZ 5214E photoresist by the laser interference, EBDW and NSOM lithographies

    Energy Technology Data Exchange (ETDEWEB)

    Škriniarová, J., E-mail: jaroslava.skriniarova@stuba.sk [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia); Pudiš, D. [Department of Physics, University of Žilina, Žilina (Slovakia); Andok, R. [Department of E-Beam Lithography, Institute of Informatics, Slovak Academy of Sciences, Bratislava (Slovakia); Lettrichová, I. [Department of Physics, University of Žilina, Žilina (Slovakia); Uherek, F. [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia)

    2017-02-15

    Highlights: • Applicability of the AZ 5214E photoresist for three different lithographies. • Useful for the fabrication of 1D and 2D periodic and irregular structures. • 2D structures with 260 nm period achieved by the laser interference lithography. • Structures with period below 500 nm achieved with the e-beam direct-write lithography. • Holes of 270 nm diameter made by the near-field scanning optical microscopy lithography. - Abstract: In this paper we show a comparison of chosen lithographies used for the AZ 5214E photoresist, which is normally UV sensitive but has also been investigated for its sensitivity to e-beam exposure. Three lithographies, the E-Beam Direct Write lithography (EBDW), laser Interference Lithography (IL) and the non-contact Near-field Scanning Optical Microscopy (NSOM) lithography, are discussed here and the results on exposed arrays of simple patterns are shown. With the EBDW and IL we achieved periods of the structures around half-micron, and we demonstrate attainability of dimensions smaller or comparable than usually achieved by a standard optical photolithography with the investigated photoresist. With the non-contact NSOM lithography structures with periods slightly above a micron were achieved.

  16. Flexible power 90W to 120W ArF immersion light source for future semiconductor lithography

    Science.gov (United States)

    Burdt, R.; Thornes, J.; Duffey, T.; Bibby, T.; Rokitski, R.; Mason, E.; Melchior, J.; Aggarwal, T.; Haran, D.; Wang, J.; Rechtsteiner, G.; Haviland, M.; Brown, D.

    2014-03-01

    Semiconductor market demand for improved performance at lower cost continues to drive enhancements in excimer light source technologies. Increased output power, reduced variability in key light source parameters, and improved beam stability are required of the light source to support immersion lithography, multi-patterning, and 450mm wafer applications in high volume semiconductor manufacturing. To support future scanner needs, Cymer conducted a technology demonstration program to evaluate the design elements for a 120W ArFi light source. The program was based on the 90W XLR 600ix platform, and included rapid power switching between 90W and 120W modes to potentially support lot-to-lot changes in desired power. The 120W requirements also included improved beam stability in an exposure window conditionally reduced by 20%. The 120W output power is achieved by efficiency gains in system design, keeping system input power at the same level as the 90W XLR 600ix. To assess system to system variability, detailed system testing was conducted from 90W - 120W with reproducible results.

  17. Advanced coatings for next generation lithography

    Science.gov (United States)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  18. Wavelength selection for multilayer coatings for the lithography generation beyond extreme ultraviolet

    NARCIS (Netherlands)

    Makhotkin, Igor Alexandrovich; Zoethout, E.; Louis, Eric; Yakunin, A.M.; Muellender, S.; Bijkerk, Frederik

    2012-01-01

    Reducing the operating wavelength in advanced photolitho- graphy while maintaining the lithography machine’s produc- tivity has been a traditional way to enable improved imaging for the last 20 years. The transition from 13.5 nm to 6.5 to 6.9 nm optical lithography offers a possibility to combine

  19. Lithography for enabling advances in integrated circuits and devices.

    Science.gov (United States)

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  20. Regular cell design approach considering lithography-induced process variations

    OpenAIRE

    Gómez Fernández, Sergio

    2014-01-01

    The deployment delays for EUVL, forces IC design to continue using 193nm wavelength lithography with innovative and costly techniques in order to faithfully print sub-wavelength features and combat lithography induced process variations. The effect of the lithography gap in current and upcoming technologies is to cause severe distortions due to optical diffraction in the printed patterns and thus degrading manufacturing yield. Therefore, a paradigm shift in layout design is mandatory towards ...

  1. Fabrication of metallic nanostructures of sub-20 nm with an optimized process of E-beam lithography and lift-off

    KAUST Repository

    Yue, Weisheng; Wang, Zhihong; Wang, Xianbin; Chen, Longqing; Yang, Yang; Chew, Basil; Syed, Ahad A.; Wong, Ka Chun; Zhang, Xixiang

    2012-01-01

    A process consisting of e-beam lithography and lift-off was optimized to fabricate metallic nanostructures. This optimized process successfully produced gold and aluminum nanostructures with features size less than 20 nm. These structures range from simple parallel lines to complex photonic structures. Optical properties of gold split ring resonators (SRRs) were characterized with Raman spectroscopy. Surface-Enhanced Raman Scattering (SERS) on SRRs was observed with 4-mercaptopyridine (4-MPy) as molecular probe and greatly enhanced Raman scattering was observed. Copyright © 2012 American Scientific Publishers.

  2. Application of CPL with Interference Mapping Lithography to generate random contact reticle designs for the 65-nm node

    Science.gov (United States)

    Van Den Broeke, Douglas J.; Laidig, Thomas L.; Chen, J. Fung; Wampler, Kurt E.; Hsu, Stephen D.; Shi, Xuelong; Socha, Robert J.; Dusa, Mircea V.; Corcoran, Noel P.

    2004-08-01

    Imaging contact and via layers continues to be one of the major challenges to be overcome for 65nm node lithography. Initial results of using ASML MaskTools' CPL Technology to print contact arrays through pitch have demonstrated the potential to further extend contact imaging to a k1 near 0.30. While there are advantages and disadvantages for any potential RET, the benefits of not having to solve the phase assignment problem (which can lead to unresolvable phase conflicts), of it being a single reticle - single exposure technique, and its application to multiple layers within a device (clear field and dark field) make CPL an attractive, cost effective solution to low k1 imaging. However, real semiconductor circuit designs consist of much more than regular arrays of contact holes and a method to define the CPL reticle design for a full chip circuit pattern is required in order for this technique to be feasible in volume manufacturing. Interference Mapping Lithography (IML) is a novel approach for defining optimum reticle patterns based on the imaging conditions that will be used when the wafer is exposed. Figure 1 shows an interference map for an isolated contact simulated using ASML /1150 settings of 0.75NA and 0.92/0.72/30deg Quasar illumination. This technique provides a model-based approach for placing all types features (scattering bars, anti-scattering bars, non-printing assist features, phase shifted and non-phase shifted) for the purpose of enhancing the resolution of the target pattern and it can be applied to any reticle type including binary (COG), attenuated phase shifting mask (attPSM), alternating aperture phase shifting mask (altPSM), and CPL. In this work, we investigate the application of IML to generate CPL reticle designs for random contact patterns that are typical for 65nm node logic devices. We examine the critical issues related to using CPL with Interference Mapping Lithography including controlling side lobe printing, contact patterns with

  3. Synchrotron Radiation Lithography for Manufacturing Integrated Circuits Beyond 100 nm.

    Science.gov (United States)

    Kinoshita, H; Watanabe, T; Niibe, M

    1998-05-01

    Extreme ultraviolet lithography is a powerful tool for printing features of 0.1 micro m and below; in Japan and the USA there is a growing tendency to view it as the wave of the future. With Schwarzschild optics, replication of a 0.05 micro m pattern has been demonstrated in a 25 micro m square area. With a two-aspherical-mirror system, a 0.15 micro m pattern has been replicated in a ring slit area of 20 mm x 0.4 mm; a combination of this system with illumination optics and synchronized mask and wafer stages has enabled the replication of a 0.15 micro m pattern in an area of 10 mm x 12.5 mm. Furthermore, in the USA, the Sandia National Laboratory has succeeded in fabricating a fully operational NMOS transistor with a gate length of 0.1 micro m. The most challenging problem is the fabrication of mirrors with the required figure error of 0.28 nm. However, owing to advances in measurement technology, mirrors can now be made to a precision that almost satisfies this requirement. Therefore, it is time to move into a rapid development phase in order to obtain a system ready for practical use by the year 2004. In this paper the status of individual technologies is discussed in light of this situation, and future requirements for developing a practical system are considered.

  4. Massively-parallel FDTD simulations to address mask electromagnetic effects in hyper-NA immersion lithography

    Science.gov (United States)

    Tirapu Azpiroz, Jaione; Burr, Geoffrey W.; Rosenbluth, Alan E.; Hibbs, Michael

    2008-03-01

    In the Hyper-NA immersion lithography regime, the electromagnetic response of the reticle is known to deviate in a complicated manner from the idealized Thin-Mask-like behavior. Already, this is driving certain RET choices, such as the use of polarized illumination and the customization of reticle film stacks. Unfortunately, full 3-D electromagnetic mask simulations are computationally intensive. And while OPC-compatible mask electromagnetic field (EMF) models can offer a reasonable tradeoff between speed and accuracy for full-chip OPC applications, full understanding of these complex physical effects demands higher accuracy. Our paper describes recent advances in leveraging High Performance Computing as a critical step towards lithographic modeling of the full manufacturing process. In this paper, highly accurate full 3-D electromagnetic simulation of very large mask layouts are conducted in parallel with reasonable turnaround time, using a Blue- Gene/L supercomputer and a Finite-Difference Time-Domain (FDTD) code developed internally within IBM. A 3-D simulation of a large 2-D layout spanning 5μm×5μm at the wafer plane (and thus (20μm×20μm×0.5μm at the mask) results in a simulation with roughly 12.5GB of memory (grid size of 10nm at the mask, single-precision computation, about 30 bytes/grid point). FDTD is flexible and easily parallelizable to enable full simulations of such large layout in approximately an hour using one BlueGene/L "midplane" containing 512 dual-processor nodes with 256MB of memory per processor. Our scaling studies on BlueGene/L demonstrate that simulations up to 100μm × 100μm at the mask can be computed in a few hours. Finally, we will show that the use of a subcell technique permits accurate simulation of features smaller than the grid discretization, thus improving on the tradeoff between computational complexity and simulation accuracy. We demonstrate the correlation of the real and quadrature components that comprise the

  5. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  6. Broadband transmission masks, gratings and filters for extreme ultraviolet and soft X-ray lithography

    International Nuclear Information System (INIS)

    Brose, S.; Danylyuk, S.; Juschkin, L.; Dittberner, C.; Bergmann, K.; Moers, J.; Panaitov, G.; Trellenkamp, St.; Loosen, P.; Grützmacher, D.

    2012-01-01

    Lithography and patterning on a nanometre scale with extreme ultraviolet (EUV) and soft X-ray radiation allow creation of high resolution, high density patterns independent of a substrate type. To realize the full potential of this method, especially for EUV proximity printing and interference lithography, a reliable technology for manufacturing of the transmission masks and gratings should be available. In this paper we present a development of broadband amplitude transmission masks and gratings for extreme ultraviolet and soft X-ray lithography based on free-standing niobium membranes. In comparison with a standard silicon nitride based technology the transmission masks demonstrate high contrast not only for in-band EUV (13.5 nm) radiation but also for wavelengths below Si L-absorption edge (12.4 nm). The masks and filters with free standing areas up to 1000 × 1000 μm 2 and 100 nm to 300 nm membrane thicknesses are shown. Electron beam structuring of an absorber layer with dense line and dot patterns with sub-50 nm structures is demonstrated. Diffractive and filtering properties of obtained structures are examined with EUV radiation from a gas discharge plasma source. - Highlights: ► Broadband transmission masks for EUV proximity and interference lithography. ► Technology for free standing niobium membranes with areas up to 1 mm 2 . ► High density patterns with periods of 100 nm and structure sizes below 40 nm. ► Measured diffraction efficiency at 11 nm is in agreement with the theory. ► Produced masks can be effectively used with wavelengths between 6 nm and 17 nm.

  7. Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G. F.; Henderson, C. C.; Goldsmith, J. E. M.; Mangat, P. J. S.; Cobb, J.; Hector, S. D.

    1999-01-01

    In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50-90 nm thick) and was subsequently exposed to EUV radiation using a 10x reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO 2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85 degree sign ) sidewalls, employed a HBr/Cl chemistry with a large (>10:1) etch selectivity of polysilicon to silicon dioxide. In the second set of experiments, hard mask films of SiON (50 nm thick) and SiO 2 (87 nm thick) were used. A RIE was performed at Motorola using a halogen gas chemistry that resulted in a hard mask-to-photoresist etch selectivity >3:1 and sidewall profile angles ≥85 degree sign . Line edge roughness (LER) and linewidth critical dimension (CD) measurements were performed using Sandia's GORA(c) CD digital image analysis software. Low LER values (6-9 nm, 3σ, one side) and good CD linearity (better than 10%) were demonstrated for the final pattern-transferred dense polysilicon L/S features from 80 to 175 nm. In addition, pattern transfer (into polysilicon) of loose-pitch (1:2) L/S features with CDs≥60 nm was demonstrated. (c) 1999 American Vacuum Society

  8. XUV free-electron laser-based projection lithography systems

    Energy Technology Data Exchange (ETDEWEB)

    Newnam, B.E.

    1990-01-01

    Free-electron laser sources, driven by rf-linear accelerators, have the potential to operate in the extreme ultraviolet (XUV) spectral range with more than sufficient average power for high-volume projection lithography. For XUV wavelengths from 100 nm to 4 nm, such sources will enable the resolution limit of optical projection lithography to be extended from 0.25 {mu}m to 0.05{mu}m and with an adequate total depth of focus (1 to 2 {mu}m). Recent developments of a photoinjector of very bright electron beams, high-precision magnetic undulators, and ring-resonator cavities raise our confidence that FEL operation below 100 nm is ready for prototype demonstration. We address the motivation for an XUV FEL source for commercial microcircuit production and its integration into a lithographic system, include reflecting reduction masks, reflecting XUV projection optics and alignment systems, and surface-imaging photoresists. 52 refs., 7 figs.

  9. Maskless, parallel patterning with zone-plate array lithography

    International Nuclear Information System (INIS)

    Carter, D. J. D.; Gil, Dario; Menon, Rajesh; Mondol, Mark K.; Smith, Henry I.; Anderson, Erik H.

    1999-01-01

    Zone-plate array lithography (ZPAL) is a maskless lithography scheme that uses an array of shuttered zone plates to print arbitrary patterns on a substrate. An experimental ultraviolet ZPAL system has been constructed and used to simultaneously expose nine different patterns with a 3x3 array of zone plates in a quasidot-matrix fashion. We present exposed patterns, describe the system design and construction, and discuss issues essential to a functional ZPAL system. We also discuss another ZPAL system which operates with 4.5 nm x radiation from a point source. We present simulations which show that, with our existing x-ray zone plates and this system, we should be able to achieve 55 nm resolution. (c) 1999 American Vacuum Society

  10. Results from a new 193nm die-to-database reticle inspection platform

    Science.gov (United States)

    Broadbent, William H.; Alles, David S.; Giusti, Michael T.; Kvamme, Damon F.; Shi, Rui-fang; Sousa, Weston L.; Walsh, Robert; Xiong, Yalin

    2010-05-01

    A new 193nm wavelength high resolution reticle defect inspection platform has been developed for both die-to-database and die-to-die inspection modes. In its initial configuration, this innovative platform has been designed to meet the reticle qualification requirements of the IC industry for the 22nm logic and 3xhp memory generations (and shrinks) with planned extensions to the next generation. The 22nm/3xhp IC generation includes advanced 193nm optical lithography using conventional RET, advanced computational lithography, and double patterning. Further, EUV pilot line lithography is beginning. This advanced 193nm inspection platform has world-class performance and the capability to meet these diverse needs in optical and EUV lithography. The architecture of the new 193nm inspection platform is described. Die-to-database inspection results are shown on a variety of reticles from industry sources; these reticles include standard programmed defect test reticles, as well as advanced optical and EUV product and product-like reticles. Results show high sensitivity and low false and nuisance detections on complex optical reticle designs and small feature size EUV reticles. A direct comparison with the existing industry standard 257nm wavelength inspection system shows measurable sensitivity improvement for small feature sizes

  11. Interference Lithography for Vertical Photovoltaics

    Science.gov (United States)

    Balls, Amy; Pei, Lei; Kvavle, Joshua; Sieler, Andrew; Schultz, Stephen; Linford, Matthew; Vanfleet, Richard; Davis, Robert

    2009-10-01

    We are exploring low cost approaches for fabricating three dimensional nanoscale structures. These vertical structures could significantly improve the efficiency of devices made from low cost photovoltaic materials. The nanoscale vertical structure provides a way to increase optical absorption in thin photovoltaic films without increasing the electronic carrier separation distance. The target structure is a high temperature transparent template with a dense array of holes on a 400 - 600 nm pitch fabricated by a combination of interference lithography and nanoembossing. First a master was fabricated using ultraviolet light interference lithography and the pattern was transferred into a silicon wafer master by silicon reactive ion etching. Embossing studies were performed with the master on several high temperature polymers.

  12. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  13. Reverse pattern duplication utilizing a two-step metal lift-off process via nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Sun-Sik; Kim, Eun-Uk; Jung, Hee-Soo; Kim, Ki-Seok; Jung, Gun-Young

    2009-01-01

    A two-step metal lift-off process using a selective etching recipe was demonstrated as a new technique for the reverse pattern fabrication of the features of a master stamp via a UV-based nanoimprint lithography technique. A transparent master stamp with repeated pillars (150 nm diameter at 300 nm pitch) was fabricated by using laser interference lithography and the subsequent dry-etching process. After nanoimprint lithography and the following gold (Au) lift-off process, the corresponding gold dots (20 nm height) were generated. A thin chromium layer (Cr, 5 nm) was then deposited and subjected to the aqua regia solution, which dissolved only Au dots. By using a selective wet etching recipe between gold (Au) and chromium (Cr) materials, a Cr layer with holes was reliably generated, which was used as an etching mask to transfer holes into the silicon substrate in the subsequent dry-etching process. Hole patterns with a diameter of 146 nm were inversely replicated faithfully from the master stamp with the corresponding pillars without a notable feature size distortion

  14. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  15. High-quality global hydrogen silsequioxane contact planarization for nanoimprint lithography

    NARCIS (Netherlands)

    Büyükköse, S.; Vratzov, Boris; van der Wiel, Wilfred Gerard

    2011-01-01

    The authors present a novel global contact planarization technique based on the spin-on-glass material hydrogen silsequioxane (HSQ) and demonstrate its excellent performance on patterns of 70 nm up to several microns generated by UV-based nanoimprint lithography. The HSQ layer (∼165 nm) is spin

  16. Rigorous assessment of patterning solution of metal layer in 7 nm technology node

    Science.gov (United States)

    Gao, Weimin; Ciofi, Ivan; Saad, Yves; Matagne, Philippe; Bachmann, Michael; Gillijns, Werner; Lucas, Kevin; Demmerle, Wolfgang; Schmoeller, Thomas

    2016-01-01

    In a 7 nm node (N7), the logic design requires a critical poly pitch of 42 to 45 nm and a metal 1 (M1) pitch of 28 to 32 nm. Such high-pattern density pushes the 193 immersion lithography solution toward its limit and also brings extremely complex patterning scenarios. The N7 M1 layer may require a self-aligned quadruple patterning (SAQP) with a triple litho-etch (LE3) block process. Therefore, the whole patterning process flow requires multiple exposure+etch+deposition processes and each step introduces a particular impact on the pattern profiles and the topography. In this study, we have successfully integrated a simulation tool that enables emulation of the whole patterning flow with realistic process-dependent three-dimensional (3-D) profile and topology. We use this tool to study the patterning process variations of the N7 M1 layer including the overlay control, the critical dimension uniformity budget, and the lithographic process window (PW). The resulting 3-D pattern structure can be used to optimize the process flow, verify design rules, extract parasitics, and most importantly, simulate the electric field, and identify hot spots for dielectric reliability. As an example application, the maximum electric field at M1 tip-to-tip, which is one of the most critical patterning locations, has been simulated and extracted. The approach helps to investigate the impact of process variations on dielectric reliability. We have also assessed the alternative M1 patterning flow with a single exposure block using extreme ultraviolet lithography (EUVL) and analyzed its advantages compared to the LE3 block approach.

  17. SOR Lithography in West Germany

    Science.gov (United States)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  18. CD and defect improvement challenges for immersion processes

    Science.gov (United States)

    Ehara, Keisuke; Ema, Tatsuhiko; Yamasaki, Toshinari; Nakagawa, Seiji; Ishitani, Seiji; Morita, Akihiko; Kim, Jeonghun; Kanaoka, Masashi; Yasuda, Shuichi; Asai, Masaya

    2009-03-01

    The intention of this study is to develop an immersion lithography process using advanced track solutions to achieve world class critical dimension (CD) and defectivity performance in a state of the art manufacturing facility. This study looks at three important topics for immersion lithography: defectivity, CD control, and wafer backside contamination. The topic of defectivity is addressed through optimization of coat, develop, and rinse processes as well as implementation of soak steps and bevel cleaning as part of a comprehensive defect solution. Develop and rinse processing techniques are especially important in the effort to achieve a zero defect solution. Improved CD control is achieved using a biased hot plate (BHP) equipped with an electrostatic chuck. This electrostatic chuck BHP (eBHP) is not only able to operate at a very uniform temperature, but it also allows the user to bias the post exposure bake (PEB) temperature profile to compensate for systematic within-wafer (WiW) CD non-uniformities. Optimized CD results, pre and post etch, are presented for production wafers. Wafer backside particles can cause focus spots on an individual wafer or migrate to the exposure tool's wafer stage and cause problems for a multitude of wafers. A basic evaluation of the cleaning efficiency of a backside scrubber unit located on the track was performed as a precursor to a future study examining the impact of wafer backside condition on scanner focus errors as well as defectivity in an immersion scanner.

  19. Impact of MSD and mask manufacture errors on 45nm-node lithography

    Science.gov (United States)

    Han, Chunying; Li, Yanqiu; Liu, Lihui; Guo, Xuejia; Wang, Xuxia; Yang, Jianhong

    2012-10-01

    Critical Dimension Uniformity (CDU) is quite sensitive in 45nm node lithography and beyond, thus, more attentions should be paid on the controlling of CDU. Moving Standard Deviation (MSD) and Mask Manufacture Errors (MMEs) including the Mask Critical Dimension Error (MCDE), Mask Transmittance Error (MTE) and Mask Phase Error (MPE) are the two important factors influencing CDU. The study on the impact of MSD and MMEs is a helpful way to improve the lithographic quality. Previous researches often emphasize on the single impact of MSD or MMEs, however the impact of both of them usually exists simultaneously. The studies on the co-impact of MSD and MMEs are more significant. In this paper, the impact and the cross-talk between MSD and MMEs on Critical Dimension (CD) and Exposure Latitude verse Depth of Focus (EL-DOF) for different pattern under various illumination conditions have been evaluated by simulation, which is carried on PROLITHTM X3 and in-house software IntLitho. And then, the MSD's tolerance with the existence of MMEs is discussed. The simulation results show that CD error caused by the co-existence of MSD and MMEs is not the simple algebraic sum of the individual CD error caused by MSD or MMEs. The CD error becomes more pronounced when the MSD and MMEs interact with each other. The studies on the tolerance reveal that the tolerance of MSD decreases due to MMEs' existence and mainly depends on the mask pattern's pitch.

  20. Continuous improvements of defectivity rates in immersion photolithography via functionalized membranes in point-of-use photochemical filtration

    Science.gov (United States)

    D'Urzo, Lucia; Bayana, Hareen; Vandereyken, Jelle; Foubert, Philippe; Wu, Aiwen; Jaber, Jad; Hamzik, James

    2017-03-01

    Specific "killer-defects", such as micro-line-bridges are one of the key challenges in photolithography's advanced applications, such as multi-pattern. These defects generate from several sources and are very difficult to eliminate. Pointof-use filtration (POU) plays a crucial role on the mitigation, or elimination, of such defects. Previous studies have demonstrated how the contribution of POU filtration could not be studied independently from photoresists design and track hardware settings. Specifically, we investigated how an effective combination of optimized photoresist, filtration rate, filtration pressure, membrane and device cleaning, and single and multilayer filter membranes at optimized pore size could modulate the occurrence of such defects [1, 2, 3 and 4]. However, the ultimate desired behavior for POU filtration is the selective retention of defect precursor molecules contained in commercially available photoresist. This optimal behavior can be achieved via customized membrane functionalization. Membrane functionalization provides additional non-sieving interactions which combined with efficient size exclusion can selectively capture certain defect precursors. The goal of this study is to provide a comprehensive assessment of membrane functionalization applied on an asymmetric ultra-high molecular weight polyethylene (UPE) membrane at different pore size. Defectivity transferred in a 45 nm line 55 nm space (45L/55S) pattern, created through 193 nm immersion (193i) lithography with a positive tone chemically amplified resist (PT-CAR), has been evaluated on organic under-layer coated wafers. Lithography performance, such as critical dimensions (CD), line width roughness (LWR) and focus energy matrix (FEM) is also assessed.

  1. Laser-produced plasma-extreme ultraviolet light source for next generation lithography

    International Nuclear Information System (INIS)

    Nishihara, Katsunobu; Nishimura, Hiroaki; Gamada, Kouhei; Murakami, Masakatsu; Mochizuki, Takayasu; Sasaki, Akira; Sunahara, Atsushi

    2005-01-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the next generation lithography for the 45 nm technology node and below. EUV light sources under consideration use 13.5 nm radiations from multicharged xenon, tin and lithium ions, because Mo/Si multiplayer mirrors have high reflectivity at this wavelength. A review of laser-produced plasma (LPP) EUV light sources is presented with a focus on theoretical and experimental studies under the auspices of the Leading Project promoted by MEXT. We discuss three theoretical topics: atomic processes in the LPP-EUV light source, conversion efficiency from laser light to EUV light at 13.5 nm wave-length with 2% bound width, and fast ion spectra. The properties of EUV emission from tin and xenon plasmas are also shown based on experimental results. (author)

  2. Scanning probe lithography for nanoimprinting mould fabrication

    International Nuclear Information System (INIS)

    Luo Gang; Xie Guoyong; Zhang Yongyi; Zhang Guoming; Zhang Yingying; Carlberg, Patrick; Zhu Tao; Liu Zhongfan

    2006-01-01

    We propose a rational fabrication method for nanoimprinting moulds by scanning probe lithography. By wet chemical etching, different kinds of moulds are realized on Si(110) and Si(100) surfaces according to the Si crystalline orientation. The structures have line widths of about 200 nm with a high aspect ratio. By reactive ion etching, moulds with patterns free from the limitation of Si crystalline orientation are also obtained. With closed-loop scan control of a scanning probe microscope, the length of patterned lines is more than 100 μm by integrating several steps of patterning. The fabrication process is optimized in order to produce a mould pattern with a line width about 10 nm. The structures on the mould are further duplicated into PMMA resists through the nanoimprinting process. The method of combining scanning probe lithography with wet chemical etching or reactive ion etching (RIE) provides a resistless route for the fabrication of nanoimprinting moulds

  3. Lithography for VLSI

    CERN Document Server

    Einspruch, Norman G

    1987-01-01

    VLSI Electronics Microstructure Science, Volume 16: Lithography for VLSI treats special topics from each branch of lithography, and also contains general discussion of some lithographic methods.This volume contains 8 chapters that discuss the various aspects of lithography. Chapters 1 and 2 are devoted to optical lithography. Chapter 3 covers electron lithography in general, and Chapter 4 discusses electron resist exposure modeling. Chapter 5 presents the fundamentals of ion-beam lithography. Mask/wafer alignment for x-ray proximity printing and for optical lithography is tackled in Chapter 6.

  4. Organic antireflective coatings for 193-nm lithography

    Science.gov (United States)

    Trefonas, Peter, III; Blacksmith, Robert F.; Szmanda, Charles R.; Kavanagh, Robert J.; Adams, Timothy G.; Taylor, Gary N.; Coley, Suzanne; Pohlers, Gerd

    1999-06-01

    Organic anti-reflective coatings (ARCs) continue to play an important role in semiconductor manufacturing. These materials provide a convenient means of greatly reducing the resist photospeed swing and reflective notching. In this paper, we describe a novel class of ARC materials optimized for lithographic applications using 193 nm exposure tools. These ARCs are based upon polymers containing hydroxyl-alkyl methacrylate monomers for crosslinkable sites, styrene for a chromophore at 193 nm, and additional alkyl-methacrylate monomers as property modifiers. A glycouril crosslinker and a thermally-activated acidic catalyst provide a route to forming an impervious crosslinked film activate data high bake temperatures. ARC compositions can be adjusted to optimize the film's real and imaginary refractive indices. Selection of optimal target indices for 193 nm lithographic processing through simulations is described. Potential chromophores for 193 nm were explored using ZNDO modeling. We show how these theoretical studies were combined with material selection criteria to yield a versatile organic anti-reflectant film, Shipley 193 G0 ARC. Lithographic process data indicates the materials is capable of supporting high resolution patterning, with the line features displaying a sharp resist/ARC interface with low line edge roughness. The resist Eo swing is successfully reduced from 43 percent to 6 percent.

  5. Submicron hollow spot generation by solid immersion lens and structured illumination

    International Nuclear Information System (INIS)

    Kim, M-S; Scharf, T; Herzig, H P; Assafrao, A C; Wachters, A J H; Pereira, S F; Urbach, H P; Brun, M; Olivier, S; Nicoletti, S

    2012-01-01

    We report on the experimental and numerical demonstration of immersed submicron-size hollow focused spots, generated by structuring the polarization state of an incident light beam impinging on a micro-size solid immersion lens (μ-SIL) made of SiO 2 . Such structured focal spots are characterized by a doughnut-shaped intensity distribution, whose central dark region is of great interest for optical trapping of nano-size particles, super-resolution microscopy and lithography. In this work, we have used a high-resolution interference microscopy technique to measure the structured immersed focal spots, whose dimensions were found to be significantly reduced due to the immersion effect of the μ-SIL. In particular, a reduction of 37% of the dark central region was verified. The measurements were compared with a rigorous finite element method model for the μ-SIL, revealing excellent agreement between them. (paper)

  6. Extreme Ultraviolet Process Optimization for Contact Layer of 14 nm Node Logic and 16 nm Half Pitch Memory Devices

    Science.gov (United States)

    Tseng, Shih-En; Chen, Alek

    2012-06-01

    Extreme ultraviolet (EUV) lithography is considered the most promising single exposure technology at the 27 nm half-pitch node and beyond. The imaging performance of ASML TWINSCAN NXE:3100 has been demonstrated to be able to resolve 26 nm Flash gate layer and 16 nm static random access memory (SRAM) metal layer with a 0.25 numerical aperture (NA) and conventional illumination. Targeting for high volume manufacturing, ASML TWINSCAN NXE:3300B, featuring a 0.33 NA lens with off-axis illumination, will generate a higher contrast aerial image due to improved diffraction order collection efficiency and is expected to reduce target dose via mask biasing. This work performed a simulation to determine how EUV high NA imaging benefits the mask rule check trade-offs required to achieve viable lithography solutions in two device application scenarios: a 14 nm node 6T-SRAM contact layer and a 16 nm half-pitch NAND Flash staggered contact layer. In each application, the three-dimensional mask effects versus Kirchhoff mask were also investigated.

  7. Lithography alternatives meet design style reality: How do they "line" up?

    Science.gov (United States)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  8. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    Science.gov (United States)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  9. Interference lithography for optical devices and coatings

    Science.gov (United States)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  10. The impact of 14-nm photomask uncertainties on computational lithography solutions

    Science.gov (United States)

    Sturtevant, John; Tejnil, Edita; Lin, Tim; Schultze, Steffen; Buck, Peter; Kalk, Franklin; Nakagawa, Kent; Ning, Guoxiang; Ackmann, Paul; Gans, Fritz; Buergel, Christian

    2013-04-01

    Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models, which must balance accuracy demands with simulation runtime boundary conditions, rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. While certain system input variables, such as scanner numerical aperture, can be empirically tuned to wafer CD data over a small range around the presumed set point, it can be dangerous to do so since CD errors can alias across multiple input variables. Therefore, many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total CD control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine with a simulation sensitivity study, the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD Bias values are based on state of the art mask manufacturing data and other variables changes are speculated, highlighting the need for improved metrology and awareness.

  11. Roughness and uniformity improvements on self-aligned quadruple patterning technique for 10nm node and beyond by wafer stress engineering

    Science.gov (United States)

    Liu, Eric; Ko, Akiteru; O'Meara, David; Mohanty, Nihar; Franke, Elliott; Pillai, Karthik; Biolsi, Peter

    2017-05-01

    Dimension shrinkage has been a major driving force in the development of integrated circuit processing over a number of decades. The Self-Aligned Quadruple Patterning (SAQP) technique is widely adapted for sub-10nm node in order to achieve the desired feature dimensions. This technique provides theoretical feasibility of multiple pitch-halving from 193nm immersion lithography by using various pattern transferring steps. The major concept of this approach is to a create spacer defined self-aligned pattern by using single lithography print. By repeating the process steps, double, quadruple, or octuple are possible to be achieved theoretically. In these small architectures, line roughness control becomes extremely important since it may contribute to a significant portion of process and device performance variations. In addition, the complexity of SAQP in terms of processing flow makes the roughness improvement indirective and ineffective. It is necessary to discover a new approach in order to improve the roughness in the current SAQP technique. In this presentation, we demonstrate a novel method to improve line roughness performances on 30nm pitch SAQP flow. We discover that the line roughness performance is strongly related to stress management. By selecting different stress level of film to be deposited onto the substrate, we can manipulate the roughness performance in line and space patterns. In addition, the impact of curvature change by applied film stress to SAQP line roughness performance is also studied. No significant correlation is found between wafer curvature and line roughness performance. We will discuss in details the step-by-step physical performances for each processing step in terms of critical dimension (CD)/ critical dimension uniformity (CDU)/line width roughness (LWR)/line edge roughness (LER). Finally, we summarize the process needed to reach the full wafer performance targets of LWR/LER in 1.07nm/1.13nm on 30nm pitch line and space pattern.

  12. Development of Blue Laser Direct-Write Lithography System

    Directory of Open Access Journals (Sweden)

    Hao-Wen Chang

    2012-01-01

    Full Text Available The optical lithography system researched in this study adopted the laser direct-write lithography technology with nano-positioning stage by using retailing blue ray optical pickup head contained 405nm wavelength and 0.85 numerical aperture of focus lens as the system lighting source. The system employed a photodiode received the focusing error signal reflected by the glass substrate to identify specimen position and automatic focused control with voice coil motor. The pattern substrate was loaded on a nano-positioning stage; input pattern path automatically and collocate with inner program at the same time. This research has successfully developed a blue laser lithography process system. The single spot size can be narrowed down to 3.07 μm and the linewidth is 3.3μm, time of laser control can reach to 450 ns and the exposure pattern can be controlled by program as well.

  13. Displacement Talbot lithography: an alternative technique to fabricate nanostructured metamaterials

    Science.gov (United States)

    Le Boulbar, E. D.; Chausse, P. J. P.; Lis, S.; Shields, P. A.

    2017-06-01

    Nanostructured materials are essential for many recent electronic, magnetic and optical devices. Lithography is the most common step used to fabricate organized and well calibrated nanostructures. However, feature sizes less than 200 nm usually require access to deep ultraviolet photolithography, e-beam lithography or soft lithography (nanoimprinting), which are either expensive, have low-throughput or are sensitive to defects. Low-cost, high-throughput and low-defect-density techniques are therefore of interest for the fabrication of nanostructures. In this study, we investigate the potential of displacement Talbot lithography for the fabrication of specific structures of interest within plasmonic and metamaterial research fields. We demonstrate that nanodash arrays and `fishnet'-like structures can be fabricated by using a double exposure of two different linear grating phase masks. Feature sizes can be tuned by varying the exposure doses. Such lithography has been used to fabricate metallic `fishnet'-like structures using a lift-off technique. This proof of principle paves the way to a low-cost, high-throughput, defect-free and large-scale technique for the fabrication of structures that could be useful for metamaterial and plasmonic metasurfaces. With the development of deep ultraviolet displacement Talbot lithography, the feature dimensions could be pushed lower and used for the fabrication of optical metamaterials in the visible range.

  14. Microfabricated rubber microscope using soft solid immersion lenses

    OpenAIRE

    Gambin, Yann; Legrand, Olivier; Quake, Stephen R.

    2006-01-01

    We show here a technique of soft lithography to microfabricate efficient solid immersion lenses (SIL) out of rubber elastomers. The light collection efficiency of a lens system is described by its numerical aperture (NA), and is critical for applications as epifluorescence microscopy [B. Herman, Fluorescence Microscopy (BIOS Scientific, Oxford/Springer, United Kingdom, 1998). While most simple lens systems have numerical apertures less than 1, the lenses described here have NA=1.25. Better pe...

  15. Boron nitride stamp for ultra-violet nanoimprinting lithography fabricated by focused ion beam lithography

    International Nuclear Information System (INIS)

    Altun, Ali Ozhan; Jeong, Jun-Ho; Rha, Jong-Joo; Kim, Ki-Don; Lee, Eung-Sug

    2007-01-01

    Cubic boron nitride (c-BN) is one of the hardest known materials (second after diamond). It has a high level of chemical resistance and high UV transmittance. In this study, a stamp for ultra-violet nanoimprint lithography (UV-NIL) was fabricated using a bi-layered BN film deposited on a quartz substrate. Deposition of the BN was done using RF magnetron sputtering. A hexagonal boron nitride (h-BN) layer was deposited for 30 min before c-BN was deposited for 30 min. The thickness of the film was measured as 160 nm. The phase of the c-BN layer was investigated using Fourier transform infrared (FTIR) spectrometry, and it was found that the c-BN layer has a 40% cubic phase. The deposited film was patterned using focused ion beam (FIB) lithography for use as a UV-NIL stamp. Line patterns were fabricated with the line width and line distance set at 150 and 150 nm, respectively. The patterning process was performed by applying different currents to observe the effect of the current value on the pattern profile. The fabricated patterns were investigated using AFM, and it was found that the pattern fabricated by applying a current value of 50 picoamperes (pA) has a better profile with a 65 nm line depth. The UV transmittance of the 160 nm thick film was measured to be 70-86%. The hardness and modulus of the BN was measured to be 12 and 150 GPa, respectively. The water contact angle of the stamp surface was measured at 75 0 . The stamp was applied to UV-NIL without coating with an anti-adhesion layer. Successful imprinting was proved via scanning electron microscope (SEM) images of the imprinted resin

  16. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    Science.gov (United States)

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  17. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    International Nuclear Information System (INIS)

    Tian, Yaolan; Isotalo, Tero J; Konttinen, Mikko P; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J

    2017-01-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned. (paper)

  18. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    Science.gov (United States)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  19. Multichannel silicon WDM ring filters fabricated with DUV lithography

    Science.gov (United States)

    Lee, Jong-Moo; Park, Sahnggi; Kim, Gyungock

    2008-09-01

    We have fabricated 9-channel silicon wavelength-division-multiplexing (WDM) ring filters using 193 nm deep-ultraviolet (DUV) lithography and investigated the spectral properties of the ring filters by comparing the transmission spectra with and without an upper cladding. The average channel-spacing of the 9-channel WDM ring filter with a polymeric upper cladding is measured about 1.86 nm with the standard deviation of the channel-spacing about 0.34 nm. The channel crosstalk is about -30 dB, and the minimal drop loss is about 2 dB.

  20. Plasmonic nanoparticle lithography: Fast resist-free laser technique for large-scale sub-50 nm hole array fabrication

    Science.gov (United States)

    Pan, Zhenying; Yu, Ye Feng; Valuckas, Vytautas; Yap, Sherry L. K.; Vienne, Guillaume G.; Kuznetsov, Arseniy I.

    2018-05-01

    Cheap large-scale fabrication of ordered nanostructures is important for multiple applications in photonics and biomedicine including optical filters, solar cells, plasmonic biosensors, and DNA sequencing. Existing methods are either expensive or have strict limitations on the feature size and fabrication complexity. Here, we present a laser-based technique, plasmonic nanoparticle lithography, which is capable of rapid fabrication of large-scale arrays of sub-50 nm holes on various substrates. It is based on near-field enhancement and melting induced under ordered arrays of plasmonic nanoparticles, which are brought into contact or in close proximity to a desired material and acting as optical near-field lenses. The nanoparticles are arranged in ordered patterns on a flexible substrate and can be attached and removed from the patterned sample surface. At optimized laser fluence, the nanohole patterning process does not create any observable changes to the nanoparticles and they have been applied multiple times as reusable near-field masks. This resist-free nanolithography technique provides a simple and cheap solution for large-scale nanofabrication.

  1. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    Science.gov (United States)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  2. Sub-30 nm patterning of molecular resists based on crosslinking through tip based oxidation

    Science.gov (United States)

    Lorenzoni, Matteo; Wagner, Daniel; Neuber, Christian; Schmidt, Hans-Werner; Perez-Murano, Francesc

    2018-06-01

    Oxidation Scanning Probe Lithography (o-SPL) is an established method employed for device patterning at the nanometer scale. It represents a feasible and inexpensive alternative to standard lithographic techniques such as electron beam lithography (EBL) and nanoimprint lithography (NIL). In this work we applied non-contact o-SPL to an engineered class of molecular resists in order to obtain crosslinking by electrochemical driven oxidation. By patterning and developing various resist formulas we were able to obtain a reliable negative tone resist behavior based on local oxidation. Under optimal conditions, directly written patterns can routinely reach sub-30 nm lateral resolution, while the final developed features result wider, approaching 50 nm width.

  3. Top-coatless 193nm positive-tone development immersion resist for logic application

    Science.gov (United States)

    Liu, Lian Cong; Yeh, Tsung Ju; Lin, Yeh-Sheng; Huang, Yu Chin; Kuo, Chien Wen; Huang, Wen Liang; Lin, Chia Hung; Yu, Chun Chi; Hsu, Ray; Wan, I.-Yuan; Lin, Jeff; Im, Kwang-Hwyi; Lim, Hae Jin; Jeon, Hyun K.; Suzuki, Yasuhiro; Xu, Cheng Bai

    2015-03-01

    In this paper, we summarize our development efforts for a top-coatless 193nm immersion positive tone development (PTD) contact hole (C/H) resist with improved litho and defect performances for logic application specifically with an advance node. The ultimate performance goal was to improve the depth of focus (DoF) margin, mask error enhancement factor (MEEF), critical dimension uniformity (CDU), contact edge roughness (CER), and defect performance. Also, the through pitch CD difference was supposed to be comparable to the previous control resist. Effects of polymer and PAG properties have been evaluated for this purpose. The material properties focused in the evaluation study were polymer activation energy (Ea), polymer solubility differentiated by polymerization process types, and diffusion length (DL) and acidity (pKa) of photoacid generator (PAG). Additionally, the impact of post exposure bake (PEB) temperature was investigated for process condition optimization. As a result of this study, a new resist formulation to satisfy all litho and defect performance was developed and production yield was further improved.

  4. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  5. Large-scale lithography for sub-500nm features

    International Nuclear Information System (INIS)

    Pelzer, R L; Steininger, T; Belier, Benoit; Julie, Gwenaelle

    2006-01-01

    The interest in micro- and nanotechnologies has grown rapidly in the last years. The applications are versatile and different techniques found its way into several research domains as optics, electronics, magnetism, fluidics, etc. In all of these fields integration of more and more functions on steadily decreasing device dimensions lead to an increase in structural density and feature size. Expensive and slow processes utilizing projection steppers or e-beam direct writer equipment are used to fabricate nm features today. A high throughput and cost effective method adapted on a standard mask aligner will be demonstrated, making features of below 300nm available on wafer-level. We will demonstrate results of 4 different resists exposed on a DUV proximity aligner and plasma etched for optical and biological applications in the sub-300nm range

  6. Large-scale lithography for sub-500nm features

    Energy Technology Data Exchange (ETDEWEB)

    Pelzer, R L [Technology group, EV Group, DI Erich Thallner Str. 1, A-4780 Schaerding (Austria); Steininger, T [Technology group, EV Group, DI Erich Thallner Str. 1, A-4780 Schaerding (Austria); Belier, Benoit [CNRS, Institut d' Electronique Fondamentale, Universite Paris-Sud Bat 220, F- 91405 Orsay Cedex (France); Julie, Gwenaelle [CNRS, Institut d' Electronique Fondamentale, Universite Paris-Sud Bat 220, F- 91405 Orsay Cedex (France)

    2006-04-01

    The interest in micro- and nanotechnologies has grown rapidly in the last years. The applications are versatile and different techniques found its way into several research domains as optics, electronics, magnetism, fluidics, etc. In all of these fields integration of more and more functions on steadily decreasing device dimensions lead to an increase in structural density and feature size. Expensive and slow processes utilizing projection steppers or e-beam direct writer equipment are used to fabricate nm features today. A high throughput and cost effective method adapted on a standard mask aligner will be demonstrated, making features of below 300nm available on wafer-level. We will demonstrate results of 4 different resists exposed on a DUV proximity aligner and plasma etched for optical and biological applications in the sub-300nm range.

  7. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  8. Exploration of BEOL line-space patterning options at 12 nm half-pitch and below

    Science.gov (United States)

    Decoster, S.; Lazzarino, F.; Petersen Barbosa Lima, L.; Li, W.; Versluijs, J.; Halder, S.; Mallik, A.; Murdoch, G.

    2018-03-01

    While the semiconductor industry is almost ready for high-volume manufacturing of the 7 nm technology node, research centers are defining and troubleshooting the patterning options for the 5 nm technology node (N5) and below. The target dimension for imec's N5 BEOL applications is 20-24 nm Metal Pitch (MP), which requires Self-Aligned multiple (Double/Quadruple/Octuple) Patterning approaches (SAxP) in combination with EUV or immersion lithography at 193 nm. There are numerous technical challenges to enable gratings at the hard mask level such as good uniformity across wafer, low line edge/width roughness (LER/LWR), large process window, and all of this at low cost. An even greater challenge is to transfer these gratings into the dielectric material at such critical dimensions, where increased line edge roughness, line wiggling and even pattern collapse can be expected for materials with small mechanical stability such as highly porous low-k dielectrics. In this work we first compare three different patterning options for 12 nm half-pitch gratings at the hard mask level: EUV-based SADP and 193i-based SAQP and SAOP. This comparison will be based on process window, line edge/width roughness and cost. Next, the transfer of 12 nm line/space gratings in the dielectric material is discussed and presented. The LER of the dielectric lines is investigated as a function of the dielectric material, the trench depth, and the stress in the sacrificial hard mask. Finally, we elaborate on the different options to enable scaling down from 24 nm MP to 16 nm MP, and demonstrate 8 nm line/space gratings with 193i-based SAOP.

  9. New non-chemically amplified molecular resist design with switchable sensitivity for multi-lithography applications and nanopatterning

    Science.gov (United States)

    Thakur, Neha; Guruprasad Reddy, Pulikanti; Nandi, Santu; Yogesh, Midathala; Sharma, Satinder K.; Pradeep, Chullikkattil P.; Ghosh, Subrata; Gonsalves, Kenneth E.

    2017-12-01

    The development of new photoresist materials for multi-lithography applications is crucial but a challenging task for semiconductor industries. During the last few decades, given the need for new resists to meet the requirements of semiconductor industries, several research groups have developed different resist materials for specific lithography applications. In this context, we have successfully synthesized a new molecular non-chemically amplified resist (n-CAR) (C3) based on the functionalization of aromatic hydroxyl core (4,4‧-(9H-fluorene-9,9-diyl)diphenol) with radiation sensitive sulfonium triflates for various lithography applications. While, micron scale features have been developed using i-line (365 nm) and DUVL (254 nm) exposure tools, electron beam studies on C3 thin films enabled us to pattern 20 nm line features with L/3S (line/space) characteristics on the silicon substrate. The sensitivity and contrast were calculated from the contrast curve analysis as 280 µC cm-2 and 0.025 respectively. Being an important parameter for any newly developed resists, the line edge roughness (LER) of 30 nm (L/5S) features were calculated, using SUMMIT metrology package, to be 3.66  ±  0.3 nm and found to be within the acceptable range. AFM analysis further confirmed 20 nm line width with smooth pattern wall. No deformation of patterned features was observed during AFM analysis which indicated good adhesion property between patterned resists and silicon substrates.

  10. Développement de procédés de gravure plasma innovants pour les technologies sub-14 nm par couplage de la lithographie conventionnelle avec l'approche auto-alignée par copolymère à blocs

    OpenAIRE

    Bézard , Philippe

    2016-01-01

    Shrinking transistor’s dimensions below 14 nm is so expensive that lower-cost complementary techniquessuch as Directed Self-Assembly (DSA) combined with 193 nm-lithography are currently beingdeveloped. Either organized as trenches for the FinFET’s fin or vertical cylinders for contact holes(which is our case study), Polystyrene-b-polymethylmetacrylate (PS-b-PMMA) is a well-studied blockcopolymer but introduces challenging etching issues due to the chemical similarities between the PS andPMMA ...

  11. A new lithography of functional plasma polymerized thin films

    International Nuclear Information System (INIS)

    Kim, Sung-O

    2001-01-01

    The preparation of the resist for the vacuum lithography was carried out by plasma polymerization. The resist manufactured by plasma polymerization is a monomer produced by MMA (Methyl methacrylate). The functional groups of MMA appeared in the PPMMA (Plasma Polymerized Methyl methacrylate) as well, and this was confirmed through an analysis using FT-IR. The polymerization rate increased as a function of the plasma power and decreased as a function of the system pressure. The sensitivity and contrast of the plasma polymerized thin films were 15 μC/cm2 and 4.3 respectively. The size of the pattern manufactured by Vacuum Lithography using the plasma polymerized thin films was 100 nm

  12. Hard-tip, soft-spring lithography.

    Science.gov (United States)

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  13. Design considerations of 10 kW-scale, extreme ultraviolet SASE FEL for lithography

    CERN Document Server

    Pagani, C; Schneidmiller, E A; Yurkov, M V

    2001-01-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry road map, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not clear. The problem of construction of extreme ultraviolet (EUV) quantum lasers for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant breakthrough in the near future. Nevertheless, there is clear path for optical lithography to take us to sub-100 nm dimensions. Theoretical and experimental work in Self-Amplified Spontaneous Emission (SASE) Free Electron Lasers (FEL) physics and the physics of superconducting linear accelerators over the last 10 years has pointed to the possibility of the generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain SASE FEL at 100 nm wavelength (J. Andr...

  14. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  15. Molecular Switch for Sub-Diffraction Laser Lithography by Photoenol Intermediate-State Cis-Trans Isomerization.

    Science.gov (United States)

    Mueller, Patrick; Zieger, Markus M; Richter, Benjamin; Quick, Alexander S; Fischer, Joachim; Mueller, Jonathan B; Zhou, Lu; Nienhaus, Gerd Ulrich; Bastmeyer, Martin; Barner-Kowollik, Christopher; Wegener, Martin

    2017-06-27

    Recent developments in stimulated-emission depletion (STED) microscopy have led to a step change in the achievable resolution and allowed breaking the diffraction limit by large factors. The core principle is based on a reversible molecular switch, allowing for light-triggered activation and deactivation in combination with a laser focus that incorporates a point or line of zero intensity. In the past years, the concept has been transferred from microscopy to maskless laser lithography, namely direct laser writing (DLW), in order to overcome the diffraction limit for optical lithography. Herein, we propose and experimentally introduce a system that realizes such a molecular switch for lithography. Specifically, the population of intermediate-state photoenol isomers of α-methyl benzaldehydes generated by two-photon absorption at 700 nm fundamental wavelength can be reversibly depleted by simultaneous irradiation at 440 nm, suppressing the subsequent Diels-Alder cycloaddition reaction which constitutes the chemical core of the writing process. We demonstrate the potential of the proposed mechanism for STED-inspired DLW by covalently functionalizing the surface of glass substrates via the photoenol-driven STED-inspired process exploiting reversible photoenol activation with a polymerization initiator. Subsequently, macromolecules are grown from the functionalized areas and the spatially coded glass slides are characterized by atomic-force microscopy. Our approach allows lines with a full-width-at-half-maximum of down to 60 nm and line gratings with a lateral resolution of 100 nm to be written, both surpassing the diffraction limit.

  16. Understanding dissolution behavior of 193nm photoresists in organic solvent developers

    Science.gov (United States)

    Lee, Seung-Hyun; Park, Jong Keun; Cardolaccia, Thomas; Sun, Jibin; Andes, Cecily; O'Connell, Kathleen; Barclay, George G.

    2012-03-01

    Herein, we investigate the dissolution behavior of 193-nm chemically amplified resist in different organic solvents at a mechanistic level. We previously reported the effect of solvent developers on the negative tone development (NTD) process in both dry and immersion lithography, and demonstrated various resist performance parameters such as photospeed, critical dimension uniformity, and dissolution rate contrast are strongly affected by chemical nature of the organic developer. We further pursued the investigation by examining the dependence of resist dissolution behavior on their solubility properties using Hansen Solubility Parameter (HSP). The effects of monomer structure, and resist composition, and the effects of different developer chemistry on dissolution behaviors were evaluated by using laser interferometry and quartz crystal microbalance. We have found that dissolution behaviors of methacrylate based resists are significantly different in different organic solvent developers such as OSDTM-1000 Developer* and n-butyl acetate (nBA), affecting their resist performance. This study reveals that understanding the resist dissolution behavior helps to design robust NTD materials for higher resolution imaging.

  17. Optical near-field lithography on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Madsen, Steen; Müllenborn, Matthias; Birkelund, Karen

    1996-01-01

    by the optical near field, were observed after etching in potassium hydroxide. The uncoated fibers can also induce oxidation without light exposure, in a manner similar to an atomic force microscope, and linewidths of 50 nm have been achieved this way. (C) 1996 American Institute of Physics.......We report on a novel lithography technique for patterning of hydrogen-passivated amorphous silicon surfaces. A reflection mode scanning near-field optical microscope with uncoated fiber probes has been used to locally oxidize a thin amorphous silicon layer. Lines of 110 nm in width, induced...

  18. Imprint lithography: lab curiosity or the real NGL

    Science.gov (United States)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  19. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  20. UV curing imprint lithography for micro-structure in MEMS manufacturing

    International Nuclear Information System (INIS)

    Ding Yucheng; Liu Hongzhong; Lu Bingheng; Qiu Zhihui

    2006-01-01

    Imprint lithography has been gaining popularity as a new method to fabricate microelectro mechanical systems. The main advantages of the IL are its extremely low set-up cost, high replicating accuracy and extended fabricating critical dimension. Compare to traditional optical lithography, IL has the advantages of being able to fabricate complex pattern structure with high-aspect ratio. However, the thermal and loading errors can reduce pattern transferring fidelity. In this paper, UV curing method is used in IL process which can avoid the heat distortion of tools. Additionally, a six-step loading process for template pressing into resist film is developed. The performance of this process include: the loading locus is continuous with very high accuracy (10nm), the press releasing control (accuracy up to 1 psi) can reduce and avoid the distortion of template structure and stage supports. This process can achieve a residual layer with thickness of 20nm and avoid the elastic stamp distorted (under 20nm) at the same time. The press force can reach up to 300 psi for 6 cm 2 pattern size but the friction force during demould process can be reduced to 30 psi. Experimental results reveal that it is a novel and robust process with high fidelity in micro/nano structures manufacturing

  1. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  2. The impact of 14nm photomask variability and uncertainty on computational lithography solutions

    Science.gov (United States)

    Sturtevant, John; Tejnil, Edita; Buck, Peter D.; Schulze, Steffen; Kalk, Franklin; Nakagawa, Kent; Ning, Guoxiang; Ackmann, Paul; Gans, Fritz; Buergel, Christian

    2013-09-01

    Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. Many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total CD control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine via simulation, the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD bias values are based on state of the art mask manufacturing data and other variables changes are speculated, highlighting the need for improved metrology and communication between mask and OPC model experts. The simulations are done by ignoring the wafer photoresist model, and show the sensitivity of predictions to various model inputs associated with the mask. It is shown that the wafer simulations are very dependent upon the 1D/2D representation of the mask and for 3D, that the mask sidewall angle is a very sensitive factor influencing simulated wafer CD results.

  3. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  4. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  5. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography.

    Science.gov (United States)

    Cummins, Cian; Bell, Alan P; Morris, Michael A

    2017-09-30

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO₃) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)- block -poly(4-vinylpyridine) (PS- b -P4VP) BCP soft template. We outline WO₃ nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO₃ nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  6. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography

    Directory of Open Access Journals (Sweden)

    Cian Cummins

    2017-09-01

    Full Text Available The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP feature patterning. An elegant route is demonstrated using directed self-assembly (DSA of BCPs for the fabrication of aligned tungsten trioxide (WO3 nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL silsesquioxane (SSQ-based trenches were utilized in order to align a cylinder forming poly(styrene-block-poly(4-vinylpyridine (PS-b-P4VP BCP soft template. We outline WO3 nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm contacted WO3 nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  7. Inspection of imprint lithography patterns for semiconductor and patterned media

    Science.gov (United States)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  8. High-Density Near-Field Readout Using Diamond Solid Immersion Lens

    Science.gov (United States)

    Shinoda, Masataka; Saito, Kimihiro; Kondo, Takao; Nakaoki, Ariyoshi; Furuki, Motohiro; Takeda, Minoru; Yamamoto, Masanobu; Schaich, Thomas J.; van Oerle, Bart M.; Godfried, Herman P.; Kriele, Paul A. C.; Houwman, Evert P.; Nelissen, Wim H. M.; Pels, Gert J.; Spaaij, Paul G. M.

    2006-02-01

    We investigated high-density near-field readout using a diamond solid immersion lens (SIL). A synthetic single-crystal chemical vapor deposition diamond provides a high refractive index and a high transmission for a wide wavelength range. Since the refractive index at a wavelength of 405 nm is 2.458, we could design a solid immersion lens with an effective numerical aperture of 2.34. Using the diamond SIL, we observed the eye pattern of a 150-GB-capacity (104.3 Gbit/in.2) disk with a track pitch of 130 nm and a bit length of 47.6 nm.

  9. Demonstration of electronic design automation flow for massively parallel e-beam lithography

    Science.gov (United States)

    Brandt, Pieter; Belledent, Jérôme; Tranquillin, Céline; Figueiro, Thiago; Meunier, Stéfanie; Bayle, Sébastien; Fay, Aurélien; Milléquant, Matthieu; Icard, Beatrice; Wieland, Marco

    2014-07-01

    For proximity effect correction in 5 keV e-beam lithography, three elementary building blocks exist: dose modulation, geometry (size) modulation, and background dose addition. Combinations of these three methods are quantitatively compared in terms of throughput impact and process window (PW). In addition, overexposure in combination with negative bias results in PW enhancement at the cost of throughput. In proximity effect correction by over exposure (PEC-OE), the entire layout is set to fixed dose and geometry sizes are adjusted. In PEC-dose to size (DTS) both dose and geometry sizes are locally optimized. In PEC-background (BG), a background is added to correct the long-range part of the point spread function. In single e-beam tools (Gaussian or Shaped-beam), throughput heavily depends on the number of shots. In raster scan tools such as MAPPER Lithography's FLX 1200 (MATRIX platform) this is not the case and instead of pattern density, the maximum local dose on the wafer is limiting throughput. The smallest considered half-pitch is 28 nm, which may be considered the 14-nm node for Metal-1 and the 10-nm node for the Via-1 layer, achieved in a single exposure with e-beam lithography. For typical 28-nm-hp Metal-1 layouts, it was shown that dose latitudes (size of process window) of around 10% are realizable with available PEC methods. For 28-nm-hp Via-1 layouts this is even higher at 14% and up. When the layouts do not reach the highest densities (up to 10∶1 in this study), PEC-BG and PEC-OE provide the capability to trade throughput for dose latitude. At the highest densities, PEC-DTS is required for proximity correction, as this method adjusts both geometry edges and doses and will reduce the dose at the densest areas. For 28-nm-hp lines critical dimension (CD), hole&dot (CD) and line ends (edge placement error), the data path errors are typically 0.9, 1.0 and 0.7 nm (3σ) and below, respectively. There is not a clear data path performance difference between

  10. Application status and prospect of X-ray lithography technology

    International Nuclear Information System (INIS)

    Xie Changqing; Chen Dapeng; Liu Ming; Ye Tianchun; Yi Futing

    2004-01-01

    Because of its many merits, such as high resolution, large depth of focus, large field size, high throughput, large process latitude, easy extendibility to 50 nm and below ground rule, and so on, the Proximity X-ray Lithography (PXL) is very attractive for the 100 nm and smaller ground rule integrated circuit manufacturing. In this paper, the international research and development status of PXL is briefly introduced firstly, and both its application status and prospect in nanoelectronics research, Monolithic Microwave Integrated Circuits (MMIC) production and silicon-based Ultra Large Scale Integrated Circuits (ULSIC) production are described, and the recent research progress in home PXL is also presented briefly. (authors)

  11. Magnetic Reversal and Thermal Stability of CoFeB Perpendicular Magnetic Tunnel Junction Arrays Patterned by Block Copolymer Lithography

    KAUST Repository

    Tu, Kun-Hua; Fernandez Martin, Eduardo; almasi, hamid; Wang, Weigang; Navas Otero, David; Ntetsikas, Konstantinos; Moschovas, Dimitrios; Avgeropoulos, Apostolos; Ross, Caroline A

    2018-01-01

    Dense arrays of pillars, with diameters of 64 and 25 nm, were made from a perpendicular CoFeB magnetic tunnel junction thin film stack using block copolymer lithography. While the soft layer and hard layer in the 64 nm pillars reverse at different

  12. Pattern Definition with DUV-Lithography at DTU Danchip

    DEFF Research Database (Denmark)

    Keil, Matthias; Khomtchenko, Elena; Nyholt, Henrik

    2014-01-01

    Deep ultra violet (DUV) illumination generated with the help of a KrF laser can be utilized to produce components having sizes of some hundreds of nanometers. This light source with its 248nm wavelength is exploited in the DUV-lithography equipment at DTU Danchip in order to fill the resolution gap...... - as shown in fig. 2 - utilizing the possibility of beam shape variations that enables to adapt the resolution and the depth of focus of the stepper to the requirements of the fabricated device. However, generally the highest achievable resolution is dependent on the pattern type - as e.g. pillar, line...... or hole comprising patterns -, its symmetry and the separations between the different structures. The projection lithography tool FPA-3000EX4 from Canon (max. NA=0,6; 1:5 reduction) produces patterns on the wafer within a maximum chip area of 22x22mm2 that can be stitched together with an accuracy of 3σ...

  13. Laser Interference Lithography

    NARCIS (Netherlands)

    van Wolferen, Hendricus A.G.M.; Abelmann, Leon; Hennessy, Theodore C.

    In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the

  14. Fabrication of biopolymer cantilevers using nanoimprint lithography

    DEFF Research Database (Denmark)

    Keller, Stephan Sylvest; Feidenhans'l, Nikolaj Agentoft; Fisker-Bødker, Nis

    2011-01-01

    The biodegradable polymer poly(l-lactide) (PLLA) was introduced for the fabrication of micromechanical devices. For this purpose, thin biopolymer films with thickness around 10 μm were spin-coated on silicon substrates. Patterning of microcantilevers is achieved by nanoimprint lithography. A major...... challenge was the high adhesion between PLLA and silicon stamp. Optimized stamp fabrication and the deposition of a 125 nm thick fluorocarbon anti-stiction coating on the PLLA allowed the fabrication of biopolymer cantilevers. Resonance frequency measurements were used to estimate the Young’s modulus...

  15. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  16. Nanostructured Polymer Brushes by UV-Assisted Imprint Lithography and Surface-Initiated Polymerization for Biological Functions

    NARCIS (Netherlands)

    Benetti, Edmondo Maria; Acikgoz, C.; Sui, Xiaofeng; Vratzov, Boris; Hempenius, Mark A.; Huskens, Jurriaan; Vancso, Gyula J.

    2011-01-01

    Functional polymer brush nanostructures are obtained by combining step-and-flash imprint lithography (SFIL) with controlled, surface-initiated polymerization (CSIP). Patterning is achieved at length scales such that the smallest elements have dimensions in the sub-100 nm range. The patterns exhibit

  17. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    International Nuclear Information System (INIS)

    Li, Li; Zhang, Ziang; Yu, Miao; Song, Zhengxun; Weng, Zhankun; Wang, Zuobin; Li, Wenjun; Wang, Dapeng; Zhao, Le; Peng, Kuiqing

    2015-01-01

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arrays with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ 0  = 1064 nm. The minimal feature size is only several nanometers (sub λ 0 /100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser

  18. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  19. Accelerated yield learning in agressive lithography

    Science.gov (United States)

    Monahan, Kevin M.; Ashkenaz, Scott M.; Chen, Xing; Lord, Patrick J.; Merrill, Mark A.; Quattrini, Rich; Wiley, James N.

    2000-06-01

    As exposure wavelengths decrease from 248 nm to 193, 157, and even 13 nm (EUV), small process defects can cause collapse of the lithographic process window near the limits of resolution, particularly for the gate and contact structures in high- performance devices. Such sensitivity poses a challenge for lithography process module control. In this work, we show that yield loss can be caused by a combination of macro, micro, CD, and overlay defects. A defect is defined as any yield- affecting process variation. Each defect, regardless of cause, is assumed to have a specific 'kill potential.' The accuracy of the lithographic yield model can be improved by identifying those defects with the highest kill potential or, more importantly, those that pose the highest economic risk. Such economic considerations have led us to develop a simple heuristic model for understanding sampling strategies in defect metrology and for linking metrology capability to yield and profitability.

  20. Software-based data path for raster-scanned multi-beam mask lithography

    Science.gov (United States)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  1. RapidNano: towards 20nm Particle Detection on EUV Mask Blanks

    NARCIS (Netherlands)

    Donck, J.C.J. van der; Bussink, P.G.W.; Fritz, E.C.; Walle, P. van der

    2016-01-01

    Cleanliness is a prerequisite for obtaining economically feasible yield levels in the semiconductor industry. For the next generation of lithographic equipment, EUV lithography, the size of yield-loss inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling

  2. Low-energy electron beam proximity projection lithography (LEEPL): the world's first e-beam production tool, LEEPL 3000

    Science.gov (United States)

    Behringer, Uwe F. W.

    2004-06-01

    In June 2000 ago the company Accretech and LEEPL corporation decided to develop an E-beam lithography tool for high throughput wafer exposure, called LEEPL. In an amazing short time the alpha tool was built. In 2002 the beta tool was installed at Accretech. Today the first production tool the LEEPL 3000 is ready to be shipped. The 2keV E-beam tool will be used in the first lithography strategy to expose (in mix and match mode with optical exposure tools) critical levels like gate structures, contact holes (CH), and via pattern of the 90 nm and 65 nm node. At the SEMATECH EPL workshop on September 22nd in Cambridge, England it was mentioned that the amount of these levels will increase very rapidly (8 in 2007; 13 in 2010 and 17 in 2013). The schedule of the production tool for 45 nm node is mid 2005 and for the 32 nm node 2008. The Figure 1 shows from left to right α-tool, the β-tool and the production tool LEEPL 3000. Figure 1 also shows the timetable of the 4 LEEPL forum all held in Japan.

  3. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    Science.gov (United States)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  4. Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-07-01

    Extreme ultraviolet lithography (EUVL, λ=13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a "Photosensitized Chemically Amplified Resist™" (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated

  5. Electron beam and mechanical lithographies as enabling factors for organic-based device fabrication

    International Nuclear Information System (INIS)

    Visconti, P.; Pisignano, D.; Della Torre, A.; Persano, L.; Maruccio, G.; Biasco, A.; Cingolani, R.; Rinaldi, R.

    2005-01-01

    Organic-based photonics and molecular electronics are attracting an increasing interest in modern science. The realization of high-resolution master structures by electron beam lithography (EBL) and their transfer to different organic functional materials by mechanical lithographies allow to fully exploit the wide flexibility of molecular systems for opto- and nanoelectronic devices. Planar nanojunctions, consisting of two metallic electrodes separated by an insulating medium, permit to test the molecular conduction properties. Since the typical size of a biomolecule is of the order of a few nanometer, hybrid molecular electronic (HME) devices need metallic electrodes separated by a nanometer-scale channel. Conversely, photonic applications often require 100 nm to 1 μm features on large areas. In this work, we report on the fabrication of both large-area periodic master structures with resolution down to 200 nm, and planar metallic electrodes with sub-10 nm separation obtained by EBL followed by metal electroplating deposition. The fabricated 3-terminal bio-nanodevices show a transistor-like behaviour with a maximum voltage gain of 0.76. Moreover, we developed a number of mechanical patterning methods, including soft hot embossing, rapid prototyping, sub-micrometer fluidics, high- and room-temperature nanoimprinting, to fabricate planar nanostructures on both biomolecular and organic materials. These allowed us a high-fidelity pattern transfer up to 100-nm scale resolution, without reducing the emission yields of light-emitting organics, thus opening the way to the one-step realization of organic-based confined optoelectronic devices

  6. Integrating nanosphere lithography in device fabrication

    Science.gov (United States)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  7. Design for manufacturability with advanced lithography

    CERN Document Server

    Yu, Bei

    2016-01-01

    This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL).  The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography.  Unlike books that discuss DFM from the product level, or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms. Enables readers to tackle the challenge of layout decompositions for different patterning techniques; Presents a coherent framework, including standard cell compliance and detailed placement, to enable Triple Patterning Lithography (TPL) friendly design; Includes coverage of the design for manufacturability with E-Beam lithography.

  8. Lithography-based fabrication of nanopore arrays in freestanding SiN and graphene membranes

    Science.gov (United States)

    Verschueren, Daniel V.; Yang, Wayne; Dekker, Cees

    2018-04-01

    We report a simple and scalable technique for the fabrication of nanopore arrays on freestanding SiN and graphene membranes based on electron-beam lithography and reactive ion etching. By controlling the dose of the single-shot electron-beam exposure, circular nanopores of any size down to 16 nm in diameter can be fabricated in both materials at high accuracy and precision. We demonstrate the sensing capabilities of these nanopores by translocating dsDNA through pores fabricated using this method, and find signal-to-noise characteristics on par with transmission-electron-microscope-drilled nanopores. This versatile lithography-based approach allows for the high-throughput manufacturing of nanopores and can in principle be used on any substrate, in particular membranes made out of transferable two-dimensional materials.

  9. Sub-15-nm patterning of asymmetric metal electrodes and devices by adhesion lithography

    KAUST Repository

    Beesley, David J.

    2014-05-27

    Coplanar electrodes formed from asymmetric metals separated on the nanometre length scale are essential elements of nanoscale photonic and electronic devices. Existing fabrication methods typically involve electron-beam lithography - a technique that enables high fidelity patterning but suffers from significant limitations in terms of low throughput, poor scalability to large areas and restrictive choice of substrate and electrode materials. Here, we describe a versatile method for the rapid fabrication of asymmetric nanogap electrodes that exploits the ability of selected self-assembled monolayers to attach conformally to a prepatterned metal layer and thereby weaken adhesion to a subsequently deposited metal film. The method may be carried out under ambient conditions using simple equipment and a minimum of processing steps, enabling the rapid fabrication of nanogap electrodes and optoelectronic devices with aspect ratios in excess of 100,000.2014 Macmillan Publishers Limited. All rights reserved.

  10. Sub-15-nm patterning of asymmetric metal electrodes and devices by adhesion lithography

    KAUST Repository

    Beesley, David J.; Semple, James; Jagadamma, Lethy Krishnan; Amassian, Aram; McLachlan, Martyn A.; Anthopoulos, Thomas D.; deMello, John C.

    2014-01-01

    Coplanar electrodes formed from asymmetric metals separated on the nanometre length scale are essential elements of nanoscale photonic and electronic devices. Existing fabrication methods typically involve electron-beam lithography - a technique that enables high fidelity patterning but suffers from significant limitations in terms of low throughput, poor scalability to large areas and restrictive choice of substrate and electrode materials. Here, we describe a versatile method for the rapid fabrication of asymmetric nanogap electrodes that exploits the ability of selected self-assembled monolayers to attach conformally to a prepatterned metal layer and thereby weaken adhesion to a subsequently deposited metal film. The method may be carried out under ambient conditions using simple equipment and a minimum of processing steps, enabling the rapid fabrication of nanogap electrodes and optoelectronic devices with aspect ratios in excess of 100,000.2014 Macmillan Publishers Limited. All rights reserved.

  11. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    Science.gov (United States)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  12. Nm-scale diamond-like-carbon (DLC) templates for use in soft lithography

    International Nuclear Information System (INIS)

    Watson, G.S.; Myhra, S.; Brown, C.L.; Watson, J.A.

    2005-01-01

    An emerging set of methods known collectively as soft lithography is now being utilised for a large variety of applications including micromolding, microfluidic networks and microcontact printing. In particular stamps and elastomeric elements can be formed by exposure of a polymer to a template. Established lithographic techniques used in the microelectronic industry, such as photolithography, are generally used to fabricate such master templates at the micron scale. In this study we demonstrate the use of diamond-like-carbon (DLC) as a template for producing polymer micro/nano stamps and 3D polymer structures. Intricate surface relief patterns can be formed on the DLC surface from lithographic techniques by atomic force microscopy (AFM) operated in the electrical conductivity mode. A number of polymers can be used to transfer patterns. One of the most widely used polymers for pattern transfer has been polydimethylsiloxane (PDMS). The elastomer is chemically resistant, has a low surface energy and readily conforms to different surface topographies. Obtaining a master is the limiting factor in the production of PDMS replicas. (author). 2 refs., 4 figs

  13. High-Density Near-Field Readout Using Solid Immersion Lens Made of KTaO3 Monocrystal

    Science.gov (United States)

    Shinoda, Masataka; Saito, Kimihiro; Kondo, Takao; Furuki, Motohiro; Takeda, Minoru; Nakaoki, Ariyoshi; Sasaura, Masahiro; Fujiura, Kazuo

    2006-02-01

    We developed solid immersion lenses made of a KTaO3 monocrystal. The refractive index of KTaO3 is 2.382 at a wavelength of 405 nm. Using KTaO3 as the raw material of a solid immersion lens, we could design an effective numerical aperture of 2.20. We observed an eye pattern of a 150 GB capacity with a 130 nm track pitch and a 47.6 nm bit length. The areal density is 104.3 Gbit/in.2.

  14. Low-temperature plasma etching of high aspect-ratio densely packed 15 to sub-10 nm silicon features derived from PS-PDMS block copolymer patterns

    International Nuclear Information System (INIS)

    Liu, Zuwei; Sassolini, Simone; Olynick, Deirdre L; Gu, Xiaodan; Hwu, Justin

    2014-01-01

    The combination of block copolymer (BCP) lithography and plasma etching offers a gateway to densely packed sub-10 nm features for advanced nanotechnology. Despite the advances in BCP lithography, plasma pattern transfer remains a major challenge. We use controlled and low substrate temperatures during plasma etching of a chromium hard mask and then the underlying substrate as a route to high aspect ratio sub-10 nm silicon features derived from BCP lithography. Siloxane masks were fabricated using poly(styrene-b-siloxane) (PS-PDMS) BCP to create either line-type masks or, with the addition of low molecular weight PS-OH homopolymer, dot-type masks. Temperature control was essential for preventing mask migration and controlling the etched feature’s shape. Vertical silicon wire features (15 nm with feature-to-feature spacing of 26 nm) were etched with aspect ratios up to 17 : 1; higher aspect ratios were limited by the collapse of nanoscale silicon structures. Sub-10 nm fin structures were etched with aspect ratios greater than 10 : 1. Transmission electron microscopy images of the wires reveal a crystalline silicon core with an amorphous surface layer, just slightly thicker than a native oxide. (paper)

  15. Compact electron storage ring JESCOS with normalconducting or superconducting magnets for X-ray lithography

    International Nuclear Information System (INIS)

    Anton, F.; Klein, U.; Krischel, D.; Anderberg, B.

    1992-01-01

    The layouts of a normal conducting electron storage ring and a storage ring with superconducting bending magnets are presented. The storage rings have a critical wavelength of 1 nm and are designed as compact sources for X-ray lithography. Each ring fits into a shielded room with a diameter of 14 m. (author) 3 refs.; 5 figs.; 1 tab

  16. Aberration characteristics of immersion lenses for LVSEM

    International Nuclear Information System (INIS)

    Khursheed, Anjam

    2002-01-01

    This paper investigates the on-axis aberration characteristics of various immersion objective lenses for low voltage scanning electron microscopy (LVSEM). A simple aperture lens model is used to generate smooth axial field distributions. The simulation results show that mixed field electric-magnetic immersion lenses are predicted to have between 1.5 and 2 times smaller aberration limited probe diameters than their pure-field counterparts. At a landing energy of 1 keV, mixed field immersion lenses operating at the vacuum electrical field breakdown limit are predicted to have on-axis aberration coefficients between 50 and 60 μm, yielding an ultimate image resolution of below 1 nm. These aberrations lie in the same range as those for LVSEM systems that employ aberration correctors

  17. Measurement of absorption spectrum of deuterium oxide (D2O) and its application to signal enhancement in multiphoton microscopy at the 1700-nm window

    International Nuclear Information System (INIS)

    Wang, Yuxin; Wen, Wenhui; Wang, Kai; Wang, Ke; Zhai, Peng; Qiu, Ping

    2016-01-01

    1700-nm window has been demonstrated to be a promising excitation window for deep-tissue multiphoton microscopy (MPM). Long working-distance water immersion objective lenses are typically used for deep-tissue imaging. However, absorption due to immersion water at 1700 nm is still high and leads to dramatic decrease in signals. In this paper, we demonstrate measurement of absorption spectrum of deuterium oxide (D 2 O) from 1200 nm to 2600 nm, covering the three low water-absorption windows potentially applicable for deep-tissue imaging (1300 nm, 1700 nm, and 2200 nm). We apply this measured result to signal enhancement in MPM at the 1700-nm window. Compared with water immersion, D 2 O immersion enhances signal levels in second-harmonic generation imaging, 3-photon fluorescence imaging, and third-harmonic generation imaging by 8.1, 24.8, and 24.7 times with 1662-nm excitation, in good agreement with theoretical calculation based on our absorption measurement. This suggests D 2 O a promising immersion medium for deep-tissue imaging

  18. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Jingfeng; Lu, Haidong; Gruverman, Alexei; Ducharme, Stephen; Li, Shumin; Tan, Li

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics. (paper)

  19. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    Science.gov (United States)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  20. Uniformity across 200 mm silicon wafers printed by nanoimprint lithography

    International Nuclear Information System (INIS)

    Gourgon, C; Perret, C; Tallal, J; Lazzarino, F; Landis, S; Joubert, O; Pelzer, R

    2005-01-01

    Uniformity of the printing process is one of the key parameters of nanoimprint lithography. This technique has to be extended to large size wafers to be useful for several industrial applications, and the uniformity of micro and nanostructures has to be guaranteed on large surfaces. This paper presents results of printing on 200 mm diameter wafers. The residual thickness uniformity after printing is demonstrated at the wafer scale in large patterns (100 μm), in smaller lines of 250 nm and in sub-100 nm features. We show that a mould deformation occurs during the printing process, and that this deformation is needed to guarantee printing uniformity. However, the mould deformation is also responsible for the potential degradation of the patterns

  1. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    Science.gov (United States)

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  2. Ultralow-Power Electronic Trapping of Nanoparticles with Sub-10 nm Gold Nanogap Electrodes.

    Science.gov (United States)

    Barik, Avijit; Chen, Xiaoshu; Oh, Sang-Hyun

    2016-10-12

    We demonstrate nanogap electrodes for rapid, parallel, and ultralow-power trapping of nanoparticles. Our device pushes the limit of dielectrophoresis by shrinking the separation between gold electrodes to sub-10 nm, thereby creating strong trapping forces at biases as low as the 100 mV ranges. Using high-throughput atomic layer lithography, we manufacture sub-10 nm gaps between 0.8 mm long gold electrodes and pattern them into individually addressable parallel electronic traps. Unlike pointlike junctions made by electron-beam lithography or larger micron-gap electrodes that are used for conventional dielectrophoresis, our sub-10 nm gold nanogap electrodes provide strong trapping forces over a mm-scale trapping zone. Importantly, our technology solves the key challenges associated with traditional dielectrophoresis experiments, such as high voltages that cause heat generation, bubble formation, and unwanted electrochemical reactions. The strongly enhanced fields around the nanogap induce particle-transport speed exceeding 10 μm/s and enable the trapping of 30 nm polystyrene nanoparticles using an ultralow bias of 200 mV. We also demonstrate rapid electronic trapping of quantum dots and nanodiamond particles on arrays of parallel traps. Our sub-10 nm gold nanogap electrodes can be combined with plasmonic sensors or nanophotonic circuitry, and their low-power electronic operation can potentially enable high-density integration on a chip as well as portable biosensing.

  3. Polystyrene negative resist for high-resolution electron beam lithography

    Directory of Open Access Journals (Sweden)

    Ma Siqi

    2011-01-01

    Full Text Available Abstract We studied the exposure behavior of low molecular weight polystyrene as a negative tone electron beam lithography (EBL resist, with the goal of finding the ultimate achievable resolution. It demonstrated fairly well-defined patterning of a 20-nm period line array and a 15-nm period dot array, which are the densest patterns ever achieved using organic EBL resists. Such dense patterns can be achieved both at 20 and 5 keV beam energies using different developers. In addition to its ultra-high resolution capability, polystyrene is a simple and low-cost resist with easy process control and practically unlimited shelf life. It is also considerably more resistant to dry etching than PMMA. With a low sensitivity, it would find applications where negative resist is desired and throughput is not a major concern.

  4. The development of 8 inch roll-to-plate nanoimprint lithography (8-R2P-NIL) system

    Science.gov (United States)

    Lee, Lai Seng; Mohamed, Khairudin; Ooi, Su Guan

    2017-07-01

    Growth in semiconductor and integrated circuit industry was observed in the past decennium of years for industrial technology which followed Moore's law. The line width of nanostructure to be exposed was influenced by the essential technology of photolithography. Thus, it is crucial to have a low cost and high throughput manufacturing process for nanostructures. Nanoimprint Lithography technique invented by Stephen Y. Chou was considered as major nanolithography process to be used in future integrated circuit and integrated optics. The drawbacks of high imprint pressure, high imprint temperature, air bubbles formation, resist sticking to mold and low throughput of thermal nanoimprint lithography on silicon wafer have yet to be solved. Thus, the objectives of this work is to develop a high throughput, low imprint force, room temperature UV assisted 8 inch roll to plate nanoimprint lithography system capable of imprinting nanostructures on 200 mm silicon wafer using roller imprint with flexible mold. A piece of resist spin coated silicon wafer was placed onto vacuum chuck drives forward by a stepper motor. A quartz roller wrapped with a piece of transparent flexible mold was used as imprint roller. The imprinted nanostructures were cured by 10 W, 365 nm UV LED which situated inside the quartz roller. Heat generated by UV LED was dissipated by micro heat pipe. The flexible mold detaches from imprinted nanostructures in a 'line peeling' pattern and imprint pressure was measured by ultra-thin force sensors. This system has imprinting speed capability ranging from 0.19 mm/s to 5.65 mm/s, equivalent to imprinting capability of 3 to 20 pieces of 8 inch wafers per hour. Speed synchronization between imprint roller and vacuum chuck was achieved by controlling pulse rate supplied to stepper motor which drive the vacuum chuck. The speed different ranging from 2 nm/s to 98 nm/s is achievable. Vacuum chuck height was controlled by stepper motor with displacement of 5 nm/step.

  5. Durable diamond-like carbon templates for UV nanoimprint lithography

    International Nuclear Information System (INIS)

    Tao, L; Ramachandran, S; Nelson, C T; Overzet, L J; Goeckner, M; Lee, G; Hu, W; Lin, M; Willson, C G; Wu, W

    2008-01-01

    The interaction between resist and template during the separation process after nanoimprint lithography (NIL) can cause the formation of defects and damage to the templates and resist patterns. To alleviate these problems, fluorinated self-assembled monolayers (F-SAMs, i.e. tridecafluoro-1,1,2,2,tetrahydrooctyl trichlorosilane or FDTS) have been employed as template release coatings. However, we find that the FDTS coating undergoes irreversible degradation after only 10 cycles of UV nanoimprint processes with SU-8 resist. The degradation includes a 28% reduction in surface F atoms and significant increases in the surface roughness. In this paper, diamond-like carbon (DLC) films were investigated as an alternative material not only for coating but also for direct fabrication of nanoimprint templates. DLC films deposited on quartz templates in a plasma enhanced chemical vapor deposition system are shown to have better chemical and physical stability than FDTS. After the same 10 cycles of UV nanoimprints, the surface composition as well as the roughness of DLC films were found to be unchanged. The adhesion energy between the DLC surface and SU-8 is found to be smaller than that of FDTS despite the slightly higher total surface energy of DLC. DLC templates with 40 nm features were fabricated using e-beam lithography followed by Cr lift-off and reactive ion etching. UV nanoimprinting using the directly patterned DLC templates in SU-8 resist demonstrates good pattern transfer fidelity and easy template-resist separation. These results indicate that DLC is a promising material for fabricating durable templates for UV nanoimprint lithography

  6. Resist image quality control via acid diffusion constant and/or photodecomposable quencher concentration in the fabrication of 11 nm half-pitch line-and-space patterns using extreme-ultraviolet lithography

    Science.gov (United States)

    Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro

    2018-05-01

    Extreme-ultraviolet (EUV) lithography will be applied to the high-volume production of semiconductor devices with 16 nm half-pitch resolution and is expected to be extended to that of devices with 11 nm half-pitch resolution. With the reduction in the feature sizes, the control of acid diffusion becomes a significant concern. In this study, the dependence of resist image quality on T PEB D acid and photodecomposable quencher concentration was investigated by the Monte Carlo method on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists. Here, T PEB and D acid are the postexposure baking (PEB) time and the acid diffusion constant, respectively. The resist image quality of 11 nm line-and-space patterns is discussed in terms of line edge roughness (LER) and stochastic defect generation. For the minimization of LER, it is necessary to design and control not only the photodecomposable quencher concentration but also T PEB D acid. In this case, D acid should be adjusted to be 0.3–1.5 nm2 s‑1 for a PEB time of 60 s with optimization of the balance among LER and stochastic pinching and bridging. Even if it is difficult to decrease D acid to the range of 0.3–1.5 nm2 s‑1, the image quality can still be controlled via only the photodecomposable quencher concentration, although LER and stochastic pinching and bridging are slightly increased. In this case, accurate control of the photodecomposable quencher concentration and the reduction in the initial standard deviation of the number of protected units are required.

  7. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  8. Plasmonic Nanostructures Prepared by Soft UV Nanoimprint Lithography and Their Application in Biological Sensing

    Directory of Open Access Journals (Sweden)

    Grégory Barbillon

    2012-01-01

    Full Text Available We prepared high-density plasmonic nanostructures on a glass substrate. By using soft UV nanoimprint lithography, gold nanodisks with a diameter of 65 nm were obtained on an area of 1 mm2. We tested these gold nanosensors in the biotin/streptavidin system to study their selectivity and sensitivity of detection. The prepared gold nanodisks could detect streptavidin at 10 pM.

  9. Lithography requirements in complex VLSI device fabrication

    International Nuclear Information System (INIS)

    Wilson, A.D.

    1985-01-01

    Fabrication of complex very large scale integration (VLSI) circuits requires continual advances in lithography to satisfy: decreasing minimum linewidths, larger chip sizes, tighter linewidth and overlay control, increasing topography to linewidth ratios, higher yield demands, increased throughput, harsher device processing, lower lithography cost, and a larger part number set with quick turn-around time. Where optical, electron beam, x-ray, and ion beam lithography can be applied to judiciously satisfy the complex VLSI circuit fabrication requirements is discussed and those areas that are in need of major further advances are addressed. Emphasis will be placed on advanced electron beam and storage ring x-ray lithography

  10. Innovative SU-8 Lithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Jeong Bong Lee

    2014-12-01

    Full Text Available SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters high-aspect-ratio (up to 100:1 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

  11. Solid state microcavity dye lasers fabricated by nanoimprint lithography

    DEFF Research Database (Denmark)

    Nilsson, Daniel; Nielsen, Theodor; Kristensen, Anders

    2004-01-01

    propagating TE–TM modes. The laser cavity has the lateral shape of a trapezoid, supporting lasing modes by reflection on the vertical cavity walls. The solid polymer dye lasers emit laterally through one of the vertical cavity walls, when pumped optically through the top surface by means of a frequency...... doubled, pulsed Nd:YAG laser. Lasing in the wavelength region from 560 to 570 nm is observed from a laser with a side-length of 50 µm. In this proof of concept, the lasers are multimode with a mode wavelength separation of approximately 1.6 nm, as determined by the waveguide propagation constant......We present a solid state polymer microcavity dye laser, fabricated by thermal nanoimprint lithography (NIL) in a dye-doped thermoplast. The thermoplast poly-methylmethacrylate (PMMA) is used due to its high transparency in the visible range and its robustness to laser radiation. The laser dye...

  12. Fabrication of ultrahigh density metal-cell-metal crossbar memory devices with only two cycles of lithography and dry-etch procedures

    KAUST Repository

    Zong, Baoyu; Goh, J. Y.; Guo, Zaibing; Luo, Ping; Wang, Chenchen; Qiu, Jinjun; Ho, Pin; Chen, Yunjie; Zhang, Mingsheng; Han, Guchang

    2013-01-01

    A novel approach to the fabrication of metal-cell-metal trilayer memory devices was demonstrated by using only two cycles of lithography and dry-etch procedures. The fabricated ultrahigh density crossbar devices can be scaled down to ≤70 nm in half

  13. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  14. Implementation and benefits of advanced process control for lithography CD and overlay

    Science.gov (United States)

    Zavyalova, Lena; Fu, Chong-Cheng; Seligman, Gary S.; Tapp, Perry A.; Pol, Victor

    2003-05-01

    Due to the rapidly reduced imaging process windows and increasingly stingent device overlay requirements, sub-130 nm lithography processes are more severely impacted than ever by systamic fault. Limits on critical dimensions (CD) and overlay capability further challenge the operational effectiveness of a mix-and-match environment using multiple lithography tools, as such mode additionally consumes the available error budgets. Therefore, a focus on advanced process control (APC) methodologies is key to gaining control in the lithographic modules for critical device levels, which in turn translates to accelerated yield learning, achieving time-to-market lead, and ultimately a higher return on investment. This paper describes the implementation and unique challenges of a closed-loop CD and overlay control solution in high voume manufacturing of leading edge devices. A particular emphasis has been placed on developing a flexible APC application capable of managing a wide range of control aspects such as process and tool drifts, single and multiple lot excursions, referential overlay control, 'special lot' handling, advanced model hierarchy, and automatic model seeding. Specific integration cases, including the multiple-reticle complementary phase shift lithography process, are discussed. A continuous improvement in the overlay and CD Cpk performance as well as the rework rate has been observed through the implementation of this system, and the results are studied.

  15. Solid-immersion fluorescence microscopy with increased emission and super resolution

    Energy Technology Data Exchange (ETDEWEB)

    Liau, Z. L.; Porter, J. M. [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States); Liau, A. A.; Chen, J. J. [Institute for Medical Engineering and Science, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Salmon, W. C. [Whitehead Institute, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Sheu, S. S. [Department of Medicine, Jefferson Medical College, Philadelphia, Pennsylvania 19107 (United States)

    2015-01-07

    We investigate solid-immersion fluorescence microscopy suitable for super-resolution nanotechnology and biological imaging, and have observed limit of resolution as small as 15 nm with microspheres, mitochondria, and chromatin fibers. We have further observed that fluorescence efficiency increases with excitation power density, implicating appreciable stimulated emission and increased resolution. We discuss potential advantages of the solid-immersion microscopy, including combined use with previously established super-resolution techniques for reaching deeper beyond the conventional diffraction limit.

  16. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    Science.gov (United States)

    Calahorra, Yonatan; Kerlich, Alexander; Amram, Dor; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan

    2016-04-01

    Catalyst assisted vapour-liquid-solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120-450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2-3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology.

  17. Data sharing system for lithography APC

    Science.gov (United States)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  18. Room-temperature deposition of crystalline patterned ZnO films by confined dewetting lithography

    International Nuclear Information System (INIS)

    Sepulveda-Guzman, S.; Reeja-Jayan, B.; De la Rosa, E.; Ortiz-Mendez, U.; Reyes-Betanzo, C.; Cruz-Silva, R.; Jose-Yacaman, M.

    2010-01-01

    In this work patterned ZnO films were prepared at room-temperature by deposition of ∼5 nm size ZnO nanoparticles using confined dewetting lithography, a process which induces their assembly, by drying a drop of ZnO colloidal dispersion between a floating template and the substrate. Crystalline ZnO nanoparticles exhibit a strong visible (525 nm) light emission upon UV excitation (λ = 350 nm). The resulting films were characterized by scanning electron microscopy (SEM) and atomic force microscope (AFM). The method described herein presents a simple and low cost method to prepare crystalline ZnO films with geometric patterns without additional annealing. Such transparent conducting films are attractive for applications like light emitting diodes (LEDs). As the process is carried out at room temperature, the patterned crystalline ZnO films can even be deposited on flexible substrates.

  19. Room-temperature deposition of crystalline patterned ZnO films by confined dewetting lithography

    Energy Technology Data Exchange (ETDEWEB)

    Sepulveda-Guzman, S., E-mail: selene.sepulvedagz@uanl.edu.mx [Centro de Innovacion, Investigacion y Desarrollo en Ingenieria y Tecnologia. UANL, PIIT Monterrey, CP 66629, Apodaca NL (Mexico); Reeja-Jayan, B. [Texas Materials Institute, University of Texas at Austin, Austin, TX 78712 (United States); De la Rosa, E. [Centro de Investigacion en Optica, Loma del Bosque 115 Col. Lomas del Campestre C.P. 37150 Leon, Gto. Mexico (Mexico); Ortiz-Mendez, U. [Centro de Innovacion, Investigacion y Desarrollo en Ingenieria y Tecnologia. UANL, PIIT Monterrey, CP 66629, Apodaca NL (Mexico); Reyes-Betanzo, C. [Instituto Nacional de Astrofisica Optica y Electronica, Calle Luis Enrique Erro No. 1, Santa Maria Tonanzintla, Puebla. Apdo. Postal 51 y 216, C.P. 72000 Puebla (Mexico); Cruz-Silva, R. [Centro de Investigacion en Ingenieria y Ciencias Aplicadas, UAEM. Av. Universidad 1001, Col. Chamilpa, CP 62210 Cuernavaca, Mor. (Mexico); Jose-Yacaman, M. [Physics and Astronomy Department University of Texas at San Antonio 1604 campus San Antonio, TX 78249 (United States)

    2010-03-15

    In this work patterned ZnO films were prepared at room-temperature by deposition of {approx}5 nm size ZnO nanoparticles using confined dewetting lithography, a process which induces their assembly, by drying a drop of ZnO colloidal dispersion between a floating template and the substrate. Crystalline ZnO nanoparticles exhibit a strong visible (525 nm) light emission upon UV excitation ({lambda} = 350 nm). The resulting films were characterized by scanning electron microscopy (SEM) and atomic force microscope (AFM). The method described herein presents a simple and low cost method to prepare crystalline ZnO films with geometric patterns without additional annealing. Such transparent conducting films are attractive for applications like light emitting diodes (LEDs). As the process is carried out at room temperature, the patterned crystalline ZnO films can even be deposited on flexible substrates.

  20. Performance Characterization of an xy-Stage Applied to Micrometric Laser Direct Writing Lithography

    Directory of Open Access Journals (Sweden)

    Juan Jaramillo

    2017-01-01

    Full Text Available This article concerns the characterization of the stability and performance of a motorized stage used in laser direct writing lithography. The system was built from commercial components and commanded by G-code. Measurements use a pseudo-periodic-pattern (PPP observed by a camera and image processing is based on Fourier transform and phase measurement methods. The results report that the built system has a stability against vibrations determined by peak-valley deviations of 65 nm and 26 nm in the x and y directions, respectively, with a standard deviation of 10 nm in both directions. When the xy-stage is in movement, it works with a resolution of 0.36 μm, which is an acceptable value for most of research and development (R and D microtechnology developments in which the typical feature size used is in the micrometer range.

  1. Performance Characterization of an xy-Stage Applied to Micrometric Laser Direct Writing Lithography.

    Science.gov (United States)

    Jaramillo, Juan; Zarzycki, Artur; Galeano, July; Sandoz, Patrick

    2017-01-31

    This article concerns the characterization of the stability and performance of a motorized stage used in laser direct writing lithography. The system was built from commercial components and commanded by G-code. Measurements use a pseudo-periodic-pattern (PPP) observed by a camera and image processing is based on Fourier transform and phase measurement methods. The results report that the built system has a stability against vibrations determined by peak-valley deviations of 65 nm and 26 nm in the x and y directions, respectively, with a standard deviation of 10 nm in both directions. When the xy-stage is in movement, it works with a resolution of 0.36 μm, which is an acceptable value for most of research and development (R and D) microtechnology developments in which the typical feature size used is in the micrometer range.

  2. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  3. Magnetic Reversal and Thermal Stability of CoFeB Perpendicular Magnetic Tunnel Junction Arrays Patterned by Block Copolymer Lithography

    KAUST Repository

    Tu, Kun-Hua

    2018-04-10

    Dense arrays of pillars, with diameters of 64 and 25 nm, were made from a perpendicular CoFeB magnetic tunnel junction thin film stack using block copolymer lithography. While the soft layer and hard layer in the 64 nm pillars reverse at different fields, the reversal of the two layers in the 25 nm pillars could not be distinguished, attributed to the strong interlayer magnetostatic coupling. First order reversal curves were used to identify the steps that occur during switching, and the thermal stability and effective switching volume were determined from scan rate dependent hysteresis measurements.

  4. Advanced light source technologies that enable high-volume manufacturing of DUV lithography extensions

    Science.gov (United States)

    Cacouris, Theodore; Rao, Rajasekhar; Rokitski, Rostislav; Jiang, Rui; Melchior, John; Burfeindt, Bernd; O'Brien, Kevin

    2012-03-01

    Deep UV (DUV) lithography is being applied to pattern increasingly finer geometries, leading to solutions like double- and multiple-patterning. Such process complexities lead to higher costs due to the increasing number of steps required to produce the desired results. One of the consequences is that the lithography equipment needs to provide higher operating efficiencies to minimize the cost increases, especially for producers of memory devices that experience a rapid decline in sales prices of these products over time. In addition to having introduced higher power 193nm light sources to enable higher throughput, we previously described technologies that also enable: higher tool availability via advanced discharge chamber gas management algorithms; improved process monitoring via enhanced on-board beam metrology; and increased depth of focus (DOF) via light source bandwidth modulation. In this paper we will report on the field performance of these technologies with data that supports the desired improvements in on-wafer performance and operational efficiencies.

  5. Alternative stitching method for massively parallel e-beam lithography

    Science.gov (United States)

    Brandt, Pieter; Tranquillin, Céline; Wieland, Marco; Bayle, Sébastien; Milléquant, Matthieu; Renault, Guillaume

    2015-07-01

    In this study, a stitching method other than soft edge (SE) and smart boundary (SB) is introduced and benchmarked against SE. The method is based on locally enhanced exposure latitude without throughput cost, making use of the fact that the two beams that pass through the stitching region can deposit up to 2× the nominal dose. The method requires a complex proximity effect correction that takes a preset stitching dose profile into account. Although the principle of the presented stitching method can be multibeam (lithography) systems in general, in this study, the MAPPER FLX 1200 tool is specifically considered. For the latter tool at a metal clip at minimum half-pitch of 32 nm, the stitching method effectively mitigates beam-to-beam (B2B) position errors such that they do not induce an increase in critical dimension uniformity (CDU). In other words, the same CDU can be realized inside the stitching region as outside the stitching region. For the SE method, the CDU inside is 0.3 nm higher than outside the stitching region. A 5-nm direct overlay impact from the B2B position errors cannot be reduced by a stitching strategy.

  6. Multi-Repeated Projection Lithography for High-Precision Linear Scale Based on Average Homogenization Effect

    Directory of Open Access Journals (Sweden)

    Dongxu Ren

    2016-04-01

    Full Text Available A multi-repeated photolithography method for manufacturing an incremental linear scale using projection lithography is presented. The method is based on the average homogenization effect that periodically superposes the light intensity of different locations of pitches in the mask to make a consistent energy distribution at a specific wavelength, from which the accuracy of a linear scale can be improved precisely using the average pitch with different step distances. The method’s theoretical error is within 0.01 µm for a periodic mask with a 2-µm sine-wave error. The intensity error models in the focal plane include the rectangular grating error on the mask, static positioning error, and lithography lens focal plane alignment error, which affect pitch uniformity less than in the common linear scale projection lithography splicing process. It was analyzed and confirmed that increasing the repeat exposure number of a single stripe could improve accuracy, as could adjusting the exposure spacing to achieve a set proportion of black and white stripes. According to the experimental results, the effectiveness of the multi-repeated photolithography method is confirmed to easily realize a pitch accuracy of 43 nm in any 10 locations of 1 m, and the whole length accuracy of the linear scale is less than 1 µm/m.

  7. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    Science.gov (United States)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  8. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  9. Sub-micron silicon nitride waveguide fabrication using conventional optical lithography.

    Science.gov (United States)

    Huang, Yuewang; Zhao, Qiancheng; Kamyab, Lobna; Rostami, Ali; Capolino, Filippo; Boyraz, Ozdal

    2015-03-09

    We demonstrate a novel technique to fabricate sub-micron silicon nitride waveguides using conventional contact lithography with MEMS-grade photomasks. Potassium hydroxide anisotropic etching of silicon facilitates line reduction and roughness smoothing and is key to the technique. The fabricated waveguides is measured to have a propagation loss of 0.8dB/cm and nonlinear coefficient of γ = 0.3/W/m. A low anomalous dispersion of <100ps/nm/km is also predicted. This type of waveguide is highly suitable for nonlinear optics. The channels naturally formed on top of the waveguide also make it promising for plasmonics and quantum efficiency enhancement in sensing applications.

  10. High throughput, high resolution enzymatic lithography process: effect of crystallite size, moisture, and enzyme concentration.

    Science.gov (United States)

    Mao, Zhantong; Ganesh, Manoj; Bucaro, Michael; Smolianski, Igor; Gross, Richard A; Lyons, Alan M

    2014-12-08

    By bringing enzymes into contact with predefined regions of a surface, a polymer film can be selectively degraded to form desired patterns that find a variety of applications in biotechnology and electronics. This so-called "enzymatic lithography" is an environmentally friendly process as it does not require actinic radiation or synthetic chemicals to develop the patterns. A significant challenge to using enzymatic lithography has been the need to restrict the mobility of the enzyme in order to maintain control of feature sizes. Previous approaches have resulted in low throughput and were limited to polymer films only a few nanometers thick. In this paper, we demonstrate an enzymatic lithography system based on Candida antartica lipase B (CALB) and poly(ε-caprolactone) (PCL) that can resolve fine-scale features, (<1 μm across) in thick (0.1-2.0 μm) polymer films. A Polymer Pen Lithography (PPL) tool was developed to deposit an aqueous solution of CALB onto a spin-cast PCL film. Immobilization of the enzyme on the polymer surface was monitored using fluorescence microscopy by labeling CALB with FITC. The crystallite size in the PCL films was systematically varied; small crystallites resulted in significantly faster etch rates (20 nm/min) and the ability to resolve smaller features (as fine as 1 μm). The effect of printing conditions and relative humidity during incubation is also presented. Patterns formed in the PCL film were transferred to an underlying copper foil demonstrating a "Green" approach to the fabrication of printed circuit boards.

  11. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  12. Photoelectron Emission Studies in CsBr at 257 nm

    International Nuclear Information System (INIS)

    Maldonado, Juan R.; Liu, Zhi; Sun, Yun; Pianetta, Piero A.; Pease, Fabian W.

    2006-01-01

    CsBr/Cr photocathodes were found [1,2] to meet the requirements of a multi-electron beam lithography system operating with a light energy of 4.8 eV (257nm). The fact that photoemission was observed with a light energy below the reported 7.3 eV band gap for CsBr was not understood. This paper presents experimental results on the presence of intra-band gap absorption sites (IBAS) in CsBr thin film photo electron emitters, and presents a model based on IBAS to explain the observed photoelectron emission behavior at energies below band gap. A fluorescence band centered at 330 nm with a FWHM of about 0.34 eV was observed in CsBr/Cr samples under 257 nm laser illumination which can be attributed to IBAS and agrees well with previously obtained synchrotron photoelectron spectra[1] from the valence band of CsBr films

  13. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    Science.gov (United States)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-06-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  14. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    Science.gov (United States)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-04-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  15. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    International Nuclear Information System (INIS)

    Calahorra, Yonatan; Kerlich, Alexander; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan; Amram, Dor

    2016-01-01

    Catalyst assisted vapour-liquid–solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120–450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2–3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology. (paper)

  16. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  17. Nanosphere Lithography on Fiber: Towards Engineered Lab-On-Fiber SERS Optrodes

    Directory of Open Access Journals (Sweden)

    Giuseppe Quero

    2018-02-01

    Full Text Available In this paper we report on the engineering of repeatable surface enhanced Raman scattering (SERS optical fiber sensor devices (optrodes, as realized through nanosphere lithography. The Lab-on-Fiber SERS optrode consists of polystyrene nanospheres in a close-packed arrays configuration covered by a thin film of gold on the optical fiber tip. The SERS surfaces were fabricated by using a nanosphere lithography approach that is already demonstrated as able to produce highly repeatable patterns on the fiber tip. In order to engineer and optimize the SERS probes, we first evaluated and compared the SERS performances in terms of Enhancement Factor (EF pertaining to different patterns with different nanosphere diameters and gold thicknesses. To this aim, the EF of SERS surfaces with a pitch of 500, 750 and 1000 nm, and gold films of 20, 30 and 40 nm have been retrieved, adopting the SERS signal of a monolayer of biphenyl-4-thiol (BPT as a reliable benchmark. The analysis allowed us to identify of the most promising SERS platform: for the samples with nanospheres diameter of 500 nm and gold thickness of 30 nm, we measured values of EF of 4 × 105, which is comparable with state-of-the-art SERS EF achievable with highly performing colloidal gold nanoparticles. The reproducibility of the SERS enhancement was thoroughly evaluated. In particular, the SERS intensity revealed intra-sample (i.e., between different spatial regions of a selected substrate and inter-sample (i.e., between regions of different substrates repeatability, with a relative standard deviation lower than 9 and 15%, respectively. Finally, in order to determine the most suitable optical fiber probe, in terms of excitation/collection efficiency and Raman background, we selected several commercially available optical fibers and tested them with a BPT solution used as benchmark. A fiber probe with a pure silica core of 200 µm diameter and high numerical aperture (i.e., 0.5 was found to be the

  18. Scanning probe lithography for fabrication of Ti metal nanodot arrays

    International Nuclear Information System (INIS)

    Jung, B.; Jo, W.; Gwon, M.J.; Lee, E.; Kim, D.-W.

    2010-01-01

    We report fabrication of Ti metal nanodot arrays by scanning probe microscopic indentation. A thin poly-methylmethacrylate (PMMA) layer was spin-coated on Si substrates with thickness of 70 nm. Nanometer-size pore arrays were formed by indenting the PMMA layer using a cantilever of a scanning probe microscope. Protuberances with irregular boundaries appeared during the indentation process. Control of approach and pulling-out speed during indentation was able to dispose of the protrusions. Ti metal films were deposited on the patterned PMMA layers by a radio-frequency sputtering method and subsequently lifted off to obtain metal nanodot arrays. The fabricated metal nanodot arrays have 200 nm of diameter and 500 nm of interdistance, which corresponds to a density of 4x10 8 /cm 2 . Scanning probe-based measurement of current-voltage (I-V) behaviors for a single Ti metal nanodot showed asymmetric characteristics. Applying external bias is likely to induce oxidation of Ti metal, since the conductance decreased and volume change of the dots was observed. I-V behaviors of Ti metal nanodots by conventional e-beam lithography were also characterized for comparison.

  19. Study of nanoimprint lithography (NIL) for HVM of memory devices

    Science.gov (United States)

    Kono, Takuya; Hatano, Masayuki; Tokue, Hiroshi; Kobayashi, Kei; Suzuki, Masato; Fukuhara, Kazuya; Asano, Masafumi; Nakasugi, Tetsuro; Choi, Eun Hyuk; Jung, Wooyung

    2017-03-01

    A low cost alternative lithographic technology is desired to meet the decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the candidates for alternative lithographic technologies.[1][2][3] NIL has such advantages as good resolution, critical dimension (CD) uniformity and low line edge roughness (LER). On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the HVM, it is necessary to overcome these three challenges simultaneously.[4]-[12] In our previous study, we have reported a dramatic improvement in NIL process defectivity on a pilot line tool, FPA-1100 NZ2. We have described that the NIL process for 2x nm half pitch is getting closer to the target of HVM.[12] In this study, we report the recent evaluation of the NIL process performance to judge the applicability of NIL to memory device fabrications. In detail, the CD uniformity and LER are found to be less than 2nm. The overlay accuracy of the test device is less than 7nm. A defectivity level of below 1pcs./cm2 has been achieved at a throughput of 15 wafers per hour.

  20. Magnetic Nature of Light Transmission through a 5-nm Gap.

    Science.gov (United States)

    Yang, Hyosim; Kim, Dai-Sik; Kim, Richard H Joon-Yeon; Ahn, Jae Sung; Kang, Taehee; Jeong, Jeeyoon; Lee, Dukhyung

    2018-02-09

    Slot antennas have been exploited as important building blocks of optical magnetism because their radiations are invoked by the magnetic fields along the axes, as vectorial Babinet principle predicts. However, optical magnetism of a few-nanometer-width slit, for which fascinating applications are found due to the colossal field enhancement but Babinet principle fails due to the nonnegligible thickness, has not been investigated. In this paper, we demonstrated that the magnetic field plays a dominant role in light transmission through a 5-nm slit on a 150-nm-thick gold film. The 5-nm slit was fabricated by atomic layer lithography, and the transmission was investigated for various incident angles by experiment and simulation at 785-nm wavelength. We found that, due to the deep subwavelength gap width, the transmission has the same incident angle dependence as the tangential magnetic field on the metal surface and this magnetic nature of a nanogap holds up to ~100-nm width. Our analysis establishes conditions for nanogap optical magnetism and suggests new possibilities in realizing magnetic-field-driven optical nonlinearities.

  1. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  2. Layout compliance for triple patterning lithography: an iterative approach

    Science.gov (United States)

    Yu, Bei; Garreton, Gilda; Pan, David Z.

    2014-10-01

    As the semiconductor process further scales down, the industry encounters many lithography-related issues. In the 14nm logic node and beyond, triple patterning lithography (TPL) is one of the most promising techniques for Metal1 layer and possibly Via0 layer. As one of the most challenging problems in TPL, recently layout decomposition efforts have received more attention from both industry and academia. Ideally the decomposer should point out locations in the layout that are not triple patterning decomposable and therefore manual intervention by designers is required. A traditional decomposition flow would be an iterative process, where each iteration consists of an automatic layout decomposition step and manual layout modification task. However, due to the NP-hardness of triple patterning layout decomposition, automatic full chip level layout decomposition requires long computational time and therefore design closure issues continue to linger around in the traditional flow. Challenged by this issue, we present a novel incremental layout decomposition framework to facilitate accelerated iterative decomposition. In the first iteration, our decomposer not only points out all conflicts, but also provides the suggestions to fix them. After the layout modification, instead of solving the full chip problem from scratch, our decomposer can provide a quick solution for a selected portion of layout. We believe this framework is efficient, in terms of performance and designer friendly.

  3. Using nanosphere lithography for fabrication of a multilayered system of ordered gold nanoparticles

    Directory of Open Access Journals (Sweden)

    V.I. Styopkin

    2017-07-01

    Full Text Available New modification of nanosphere lithography has been realized to obtain multilayered systems of ordered gold nanopartciles (NP. NP have been formed using vacuum deposition of 5…60-nm layer of gold on ionic etched multilayered regular coating consisted of several layers of 200-nm polystyrene spheres. Optical study shows that spectra of NP depend on their thickness and may be changed by heat treatment. Increasing the NP thickness within the 5…20-nm range leads to a shortwave displacement of the plasmon resonance peak position, while the longwave shift is observed in 20…60-nm range. Heat treatment of NP brings narrowing and displacement of spectral bands, rising the extinction. It has been supposed that variation of the NP shape is the most substantial factor for changes of optical properties in the 5…20 nm thickness region, while electromagnetic coupling between NP in different layers becomes more important for thicknesses larger than 40 nm. Optical properties inherent to the obtained system of NP can be tuned by changing the polystyrene spheres diameter, extent of etching, thickness of gold layer and with the heat treatment. It may be used in design of nanophotonic devices.

  4. High-resolution imprint and soft lithography for patterning self-assembling systems

    NARCIS (Netherlands)

    Duan, X.

    2010-01-01

    This thesis contributes to the continuous development of patterning strategies in several different areas of unconventional nanofabrication. A series of soft lithography approaches (microcontact printing, nanomolding in capillaries), nanoimprint lithography (NIL), and capillary force lithography

  5. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  6. Fabrication of hexagonal star-shaped and ring-shaped patterns arrays by Mie resonance sphere-lens-lithography

    Science.gov (United States)

    Liu, Xianchao; Wang, Jun; Li, Ling; Gou, Jun; Zheng, Jie; Huang, Zehua; Pan, Rui

    2018-05-01

    Mie resonance sphere-lens-lithography has proved to be a good candidate for fabrication of large-area tunable surface nanopattern arrays. Different patterns on photoresist surface are obtained theoretically by adjusting optical coupling among neighboring spheres with different gap sizes. The effect of light reflection from the substrate on the pattern produced on the photoresist with a thin thickness is also discussed. Sub-micron hexagonal star-shaped and ring-shaped patterns arrays are achieved with close-packed spheres arrays and spheres arrays with big gaps, respectively. Changing of star-shaped vertices is induced by different polarization of illumination. Experimental results agree well with the simulation. By using smaller resonance spheres, sub-400 nm star-shaped and ring-shaped patterns can be realized. These tunable patterns are different from results of previous reports and have enriched pattern morphology fabricated by sphere-lens-lithography, which can find application in biosensor and optic devices.

  7. X-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.

    1989-01-01

    Any type of lithography is a means of printing a pattern. The suitable lithographic tool is defined according to what kind of application the replication technique is aimed at, that is to say, what size of pattern, on what type of substrate and how many substrates are desired. The trend in all the fields of science and fabrication is to go towards smaller dimensions. Especially in the case of advanced device fabrication in the semiconductor industry, the reduction of dimensions results in a higher density of integrated circuits that will result in lower cost per function and improved performance. Lithography is used to define areas that are usually protected by a resist pattern in relief on a substrate and is followed by a process which transfers the aerial pattern from the resist to the bulk substrate as, for example, in microelectronics, in between two steps of the process or levels that are used for selective diffusion of impurities to produce the desired electrical characteristics, etching, metallization

  8. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  9. Tunnel barrier design in donor nanostructures defined by hydrogen-resist lithography

    Science.gov (United States)

    Pascher, Nikola; Hennel, Szymon; Mueller, Susanne; Fuhrer, Andreas

    2016-08-01

    A four-terminal donor quantum dot (QD) is used to characterize potential barriers between degenerately doped nanoscale contacts. The QD is fabricated by hydrogen-resist lithography on Si(001) in combination with n-type doping by phosphine. The four contacts have different separations (d = 9, 12, 16 and 29 nm) to the central 6 nm × 6 nm QD island, leading to different tunnel and capacitive coupling. Cryogenic transport measurements in the Coulomb-blockade (CB) regime are used to characterize these tunnel barriers. We find that field enhancement near the apex of narrow dopant leads is an important effect that influences both barrier breakdown and the magnitude of the tunnel current in the CB transport regime. From CB-spectroscopy measurements, we extract the mutual capacitances between the QD and the four contacts, which scale inversely with the contact separation d. The capacitances are in excellent agreement with numerical values calculated from the pattern geometry in the hydrogen resist. Furthermore, we show that by engineering the source-drain tunnel barriers to be asymmetric, we obtain a much simpler excited-state spectrum of the QD, which can be directly linked to the orbital single-particle spectrum.

  10. Lithography hotspot discovery at 70nm DRAM 300mm fab: process window qualification using design base binning

    Science.gov (United States)

    Chen, Daniel; Chen, Damian; Yen, Ray; Cheng, Mingjen; Lan, Andy; Ghaskadvi, Rajesh

    2008-11-01

    Identifying hotspots--structures that limit the lithography process window--become increasingly important as the industry relies heavily on RET to print sub-wavelength designs. KLA-Tencor's patented Process Window Qualification (PWQ) methodology has been used for this purpose in various fabs. PWQ methodology has three key advantages (a) PWQ Layout--to obtain the best sensitivity (b) Design Based Binning--for pattern repeater analysis (c) Intelligent sampling--for the best DOI sampling rate. This paper evaluates two different analysis strategies for SEM review sampling successfully deployed at Inotera Memories, Inc. We propose a new approach combining the location repeater and pattern repeaters. Based on a recent case study the new sampling flow reduces the data analysis and sampling time from 6 hours to 1.5 hour maintaining maximum DOI sample rate.

  11. PREVAIL: IBM's e-beam technology for next generation lithography

    Science.gov (United States)

    Pfeiffer, Hans C.

    2000-07-01

    PREVAIL - Projection Reduction Exposure with Variable Axis Immersion Lenses represents the high throughput e-beam projection approach to NGL which IBM is pursuing in cooperation with Nikon Corporation as alliance partner. This paper discusses the challenges and accomplishments of the PREVAIL project. The supreme challenge facing all e-beam lithography approaches has been and still is throughput. Since the throughput of e-beam projection systems is severely limited by the available optical field size, the key to success is the ability to overcome this limitation. The PREVAIL technique overcomes field-limiting off-axis aberrations through the use of variable axis lenses, which electronically shift the optical axis simultaneously with the deflected beam so that the beam effectively remains on axis. The resist images obtained with the Proof-of-Concept (POC) system demonstrate that PREVAIL effectively eliminates off- axis aberrations affecting both resolution and placement accuracy of pixels. As part of the POC system a high emittance gun has been developed to provide uniform illumination of the patterned subfield and to fill the large numerical aperture projection optics designed to significantly reduce beam blur caused by Coulomb interaction.

  12. Immersion revisited

    DEFF Research Database (Denmark)

    Nilsson, Niels Chr.; Nordahl, Rolf; Serafin, Stefania

    2016-01-01

    of existing definitions of immersion originating within the study of video games, virtual environments, and literary works of fiction. Based on this review, a three-dimensional taxonomy of the various conceptualizations of immersion is proposed. That is, the existing definitions of immersion may be broadly...... divided into three categories, each representing a dimension of the taxonomy: immersion as a property of a system, a subjective response to narrative contents, or a subjective response to challenges within the virtual environment. Finally, four distinct theories of presence are introduced and, based...... on the established taxonomy, we discuss how the individual theories relate to existing definitions of immersion....

  13. Effects of menthol application on the skin during prolonged immersion in swimmers and controls.

    Science.gov (United States)

    Botonis, P G; Geladas, N D; Kounalakis, S N; Cherouveim, E D; Koskolou, M D

    2017-12-01

    We hypothesized that menthol application on the skin would enhance vasoconstriction of subjects immersed in cool water, which would reduce heat loss and rectal temperature (Tre) cooling rate. Furthermore, it was hypothesized that this effect would be greater in individuals acclimatized to immersion in 24 °C water, such as swimmers. Seven swimmers (SW) and seven physical education students (CON) cycled at 60% VO 2 max until Tre attained 38 °C, and were then immediately immersed in stirred water maintained at 24 °C on two occasions: without (NM) and with (M; 4.6 g per 100 mL of water) whole-body skin application of menthol cream. Heart rate, Tre, proximal-distal skin temperature gradient, oxygen uptake (VO 2 ), electromyographic activity (EMG), and thermal sensation were measured. Tre reduction was similar among SW and CON in NM and CON in M (-0.71±0.31 °C in average), while it was smaller for SW in M (-0.37±0.18 °C, P immersion reduces heat loss, but defends Tre decline more effectively in swimmers than in non-swimmers. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  14. Characterization of metal-coated fiber tip for NSOM lithography by tip-to-tip scan

    International Nuclear Information System (INIS)

    Kubicova, I.; Pudis, D.; Suslik, L.; Skriniarova, J.

    2011-01-01

    For the optical field characterization, a tip-to-tip scan of two metal-coated fiber tips with circular aperture at the apex was performed. The optical field irradiated from the fiber probe in illumination mode was analyzed by NSOM represented by fiber probe in collection mode. The near-field intensity profile of the source fiber tip in the plane perpendicular to the axis of the tip was taken. Experimental stage requires high resolution 3D motion system controlled by computer (Fig. 1). The source and the detector fiber tip were placed on the moving and static part of the 3D nanoposition system, respectively. As a light source, a modulated 473 nm DPSS laser was used. After the source fiber tip characterization, the NSOM lithography was performed. In the experimental setup from Fig. 1, the detector fiber tip was replaced by a sample fixed in a vacuum holder. As a sample, a 600 nm positive photoresist AZ 5214E was spin-coated on a GaAs substrate. Exposure was carried out by irradiation of the sample at desired positions through the fiber tip aperture. The sample was developed in AZ 400K developer for 30 s and rinsed in DI water. A promising tip-to-tip scanning technique for characterization of metal-coated fiber tips with aperture at the apex was presented. Nearly-circular aperture shapes were documented from NSOM measurements with diameter estimated to be less than 460 nm. By knowing the source-detector distance and the FWHM of the near-field intensity profile, the tip-to-tip scan proves an easy and fast method to analyze the fiber tip aperture properties. The fiber tip resolution was confirmed by preparation of 2D planar structures in thin photoresist layer, where the NSOM lithography uses the metal-coated fiber tip characterized in previous section. (authors)

  15. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  16. Comparing contact and immersion freezing from continuous flow diffusion chambers

    Directory of Open Access Journals (Sweden)

    B. Nagare

    2016-07-01

    Full Text Available Ice nucleating particles (INPs in the atmosphere are responsible for glaciating cloud droplets between 237 and 273 K. Different mechanisms of heterogeneous ice nucleation can compete under mixed-phase cloud conditions. Contact freezing is considered relevant because higher ice nucleation temperatures than for immersion freezing for the same INPs were observed. It has limitations because its efficiency depends on the number of collisions between cloud droplets and INPs. To date, direct comparisons of contact and immersion freezing with the same INP, for similar residence times and concentrations, are lacking. This study compares immersion and contact freezing efficiencies of three different INPs. The contact freezing data were obtained with the ETH CoLlision Ice Nucleation CHamber (CLINCH using 80 µm diameter droplets, which can interact with INPs for residence times of 2 and 4 s in the chamber. The contact freezing efficiency was calculated by estimating the number of collisions between droplets and particles. Theoretical formulations of collision efficiencies gave too high freezing efficiencies for all investigated INPs, namely AgI particles with 200 nm electrical mobility diameter, 400 and 800 nm diameter Arizona Test Dust (ATD and kaolinite particles. Comparison of freezing efficiencies by contact and immersion freezing is therefore limited by the accuracy of collision efficiencies. The concentration of particles was 1000 cm−3 for ATD and kaolinite and 500, 1000, 2000 and 5000 cm−3 for AgI. For concentrations  <  5000 cm−3, the droplets collect only one particle on average during their time in the chamber. For ATD and kaolinite particles, contact freezing efficiencies at 2 s residence time were smaller than at 4 s, which is in disagreement with a collisional contact freezing process but in accordance with immersion freezing or adhesion freezing. With “adhesion freezing”, we refer to a contact nucleation

  17. Surface-enhanced Raman scattering active gold nanoparticle/nanohole arrays fabricated through electron beam lithography

    Science.gov (United States)

    Wu, Tsunghsueh; Lin, Yang-Wei

    2018-03-01

    Effective surface-enhanced Raman scattering (SERS)-active substrates from gold nanoparticle and gold nanohole arrays were successfully fabricated through electron beam lithography with precise computer-aided control of the unit size and intergap distance. Their SERS performance was evaluated using 4-mercaptobenzoic acid (4-MBA). These gold arrays yielded strong SERS signals under 785 nm laser excitation. The enhancement factors for 4-MBA molecules on the prepared gold nanoparticle and nanohole arrays maxed at 1.08 × 107 and 8.61 × 106, respectively. The observed increase in SERS enhancement was attributed to the localized surface plasmon resonance (LSPR) wavelength shifting toward the near-infrared regime when the gold nanohole diameter increased, in agreement with the theoretical prediction in this study. The contribution of LSPR to the Raman enhancement from nanohole arrays deposited on fluorine-doped tin oxide glass was elucidated by comparing SERS and transmission spectra. This simple fabrication procedure, which entails employing electron beam lithography and the controllability of the intergap distance, suggests highly promising uses of nanohole arrays as functional components in sensing and photonic devices.

  18. Closed-looped in situ nano processing on a culturing cell using an inverted electron beam lithography system

    International Nuclear Information System (INIS)

    Hoshino, Takayuki; Mabuchi, Kunihiko

    2013-01-01

    Highlights: ► An electron beam lithography (EBL) was used as an in situ nano processing for a living cell. ► A synchronized optics was containing an inverted EBL and an optical microscope. ► This system visualized real-time images of the EB-induced nano processing. ► We demonstrated the nano processing for a culturing cell with 200–300 nm resolution. ► Our system would be able to provide high resolution display of virtual environments. -- Abstract: The beam profile of an electron beam (EB) can be focused onto less than a nanometer spot and scanned over a wide field with extremely high speed sweeping. Thus, EB is employed for nano scale lithography in applied physics research studies and in fabrication of semiconductors. We applied a scanning EB as a control system for a living cell membrane which is representative of large scale complex systems containing nanometer size components. First, we designed the opposed co-axial dual optics containing inverted electron beam lithography (I-EBL) system and a fluorescent optical microscope. This system could provide in situ nano processing for a culturing living cell on a 100-nm-thick SiN nanomembrane, which was placed between the I-EBL and the fluorescent optical microscope. Then we demonstrated the EB-induced chemical direct nano processing for a culturing cell with hundreds of nanometer resolution and visualized real-time images of the scanning spot of the EB-induced luminescent emission and chemical processing using a high sensitive camera mounted on the optical microscope. We concluded that our closed-loop in situ nano processing would be able to provide a nanometer resolution display of virtual molecule environments to study functional changes of bio-molecule systems

  19. Low-defect reflective mask blanks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Burkhart, S C; Cerjarn, C; Kearney, P; Mirkarimi, P; Ray-Chaudhuri, A; Walton, C.

    1999-01-01

    Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA road map well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm ampersand sup2; at sign 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm ampersand sup2; for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm ampersand sup2; in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10 -2 /cm ampersand sup2; level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling

  20. Latest evolution in a 300mm graphoepitaxy pilot line flow for L/S applications

    Science.gov (United States)

    Claveau, G.; Argoud, M.; Pimenta-Barros, P.; Chamiot-Maitral, G.; Tiron, R.; Chevalier, X.; Navarro, C.

    2017-03-01

    Directed Self Assembly (DSA) of block-copolymers (BCPs) used as a complementary technique to the 193nm immersion lithography has demonstrated sub-10nm node applications in both via and line/space patterning. We propose however to study the performance of graphoepitaxy which allows DSA with thicker initial BCP layer, higher multiplication factors and stronger orientation control of lamellae. The aim of this work is to use the 300mm pilot line available at LETI and Arkema's advanced materials to evaluate the performances of a novel graphoepitaxy process based on the work on a 38nm period lamellar PS-b-PMMA (L38) reported before.

  1. Solid immersion lenses for enhancing the optical resolution of thermal and electroluminescence mapping of GaN-on-SiC transistors

    International Nuclear Information System (INIS)

    Pomeroy, J. W.; Kuball, M.

    2015-01-01

    Solid immersion lenses (SILs) are shown to greatly enhance optical spatial resolution when measuring AlGaN/GaN High Electron Mobility Transistors (HEMTs), taking advantage of the high refractive index of the SiC substrates commonly used for these devices. Solid immersion lenses can be applied to techniques such as electroluminescence emission microscopy and Raman thermography, aiding the development device physics models. Focused ion beam milling is used to fabricate solid immersion lenses in SiC substrates with a numerical aperture of 1.3. A lateral spatial resolution of 300 nm is demonstrated at an emission wavelength of 700 nm, and an axial spatial resolution of 1.7 ± 0.3 μm at a laser wavelength of 532 nm is demonstrated; this is an improvement of 2.5× and 5×, respectively, when compared with a conventional 0.5 numerical aperture objective lens without a SIL. These results highlight the benefit of applying the solid immersion lenses technique to the optical characterization of GaN HEMTs. Further improvements may be gained through aberration compensation and increasing the SIL numerical aperture

  2. Sub-10-nm suspended nano-web formation by direct laser writing

    Science.gov (United States)

    Wang, Sihao; Yu, Ye; Liu, Hailong; Lim, Kevin T. P.; Madurai Srinivasan, Bharathi; Zhang, Yong Wei; Yang, Joel K. W.

    2018-06-01

    A diffraction-limited three-dimensional (3D) direct laser writing (DLW) system based on two-photon polymerization can routinely pattern structures at the 100 nm length scale. Several schemes have been developed to improve the patterning resolution of 3D DLW but often require customized resist formulations or multi-wavelength exposures. Here, we introduce a scheme to produce suspended nano-webs with feature sizes below 10 nm in IP-Dip resist using sub-threshold exposure conditions in a commercial DLW system. The narrowest suspended lines (nano-webs) measured 7 nm in width. Larger ∼20 nm nano-webs were patterned with ∼80% yield at increased laser powers. In addition, closely spaced nano-gaps with a center-to-center distance of 33 nm were produced by patterning vertically displaced suspended lines followed by metal deposition and liftoff. We provide hypotheses and present preliminary results for a mechanism involving the initiation of a percolative path and a strain-induced narrowing in the nano-web formation. Our approach allows selective features to be patterned with dimensions comparable to the sub-10 nm patterning capability of electron-beam lithography (EBL).

  3. Selective etching characteristics of the AgInSbTe phase-change film in laser thermal lithography

    International Nuclear Information System (INIS)

    Li, Hao; Geng, Yongyou; Wu, Yiqun

    2012-01-01

    In the current work, the etching selectivity of the AgInSbTe phase-change film in laser thermal lithography is reported for the first time. Film phase change induced by laser irradiation and etching selectivity to crystalline and amorphous states in different etchants, including hydrochloric acid, sulfuric acid, phosphoric acid, nitric acid, sodium hydroxide, sodium sulfide, ammonium sulfide and ammonium hydroxide, are investigated. The results indicated that ammonium sulfide solvent (2.5 mol/L) had excellent etching selectivity to crystalline and amorphous states of the AgInSbTe film, and the etching characteristics were strongly influenced by the laser power density and laser irradiation time. The etching rate of the crystalline state of the AgInSbTe film was 40.4 nm/min, 20 times higher than that of the amorphous state under optimized irradiation conditions (power density: 6.63 mW/μm 2 and irradiation time: 330 ns), with ammonium sulfide solvent (2.5 mol/L) as etchant. The step profile produced in the selective etching was clear, and smooth surfaces remained both on the step-up and step-down with a roughness of less than 4 nm (10 x 10 μm). The excellent performance of the AgInSbTe phase-change film in selective etching is significant for fabrication of nanostructures with super-resolution in laser thermal lithography. (orig.)

  4. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    Science.gov (United States)

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  5. Fabrication of the similar porous alumina silicon template for soft UV nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Tangyou [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Xu, Zhimou, E-mail: xuzhimou@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Zhao, Wenning; Wu, Xinghui; Liu, Sisi; Zhang, Zheng; Wang, Shuangbao; Liu, Wen [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Liu, Shiyuan [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074 (China); Peng, Jing [College of Sciences, Wuhan University of Science and Technology, Wuhan 430081 (China)

    2013-07-01

    High density honeycombed nanostructures of porous alumina template (PAT) have been widely used to the fabrication of various electronic, optoelectronic, magnetic, and energy storage devices. However, patterning structures at sub-100 nm feature size with large area and low cost is of great importance and hardness on which semiconductor manufacture technology depends. In this paper, soft UV nanoimprint lithography (SUNIL) by using PAT as the initial mold is studied in detail. The results reveal a significant incompatibility between these two candidates. The native nonflatness of the PAT surface is about 100 nm in the range of 2–5 μm. Resist detaches from the substrate because of the mold deformation in the nonflat SUNIL. A two-inch similar porous alumina silicon (Si) template with nanopore size of 50–100 nm is fabricated. I–t curve conducted anodization and subsequent inductive coupled plasma (ICP) dry etching are applied to ensure the uniformity of the fabricated template. The surface flatness of the similar porous alumina Si template is the same as the polished Si wafer, which perfectly matches NIL.

  6. Fabrication of quartz microcylinders by laser interference lithography for angular optical tweezers

    Science.gov (United States)

    Santybayeva, Zhanna; Meghit, Afaf; Desgarceaux, Rudy; Teissier, Roland; Pichot, Frederic; de Marin, Charles; Charlot, Benoit; Pedaci, Francesco

    2016-07-01

    The use of optical tweezers (OTs) and spin angular momentum transfer to birefringent particles allows new mechanical measurements in systems where torque and rotation are relevant parameters at the single-molecule level. There is a growing interest in developing simple, fast, and inexpensive protocols to produce a large number of submicron scale cylinders of quartz, a positive uniaxial birefringent crystal, to be employed for such angular measurements in OTs. Here, we show that laser interference lithography, a method well known for its simplicity, fulfills these requirements and produces quartz cylindrical particles that we successfully use to apply and measure optical torque in the piconewton nm range in an optical torque wrench.

  7. Large-scale freestanding nanometer-thick graphite pellicles for mass production of nanodevices beyond 10 nm.

    Science.gov (United States)

    Kim, Seul-Gi; Shin, Dong-Wook; Kim, Taesung; Kim, Sooyoung; Lee, Jung Hun; Lee, Chang Gu; Yang, Cheol-Woong; Lee, Sungjoo; Cho, Sang Jin; Jeon, Hwan Chul; Kim, Mun Ja; Kim, Byung-Gook; Yoo, Ji-Beom

    2015-09-21

    Extreme ultraviolet lithography (EUVL) has received much attention in the semiconductor industry as a promising candidate to extend dimensional scaling beyond 10 nm. We present a new pellicle material, nanometer-thick graphite film (NGF), which shows an extreme ultraviolet (EUV) transmission of 92% at a thickness of 18 nm. The maximum temperature induced by laser irradiation (λ = 800 nm) of 9.9 W cm(-2) was 267 °C, due to the high thermal conductivity of the NGF. The freestanding NGF was found to be chemically stable during annealing at 500 °C in a hydrogen environment. A 50 × 50 mm large area freestanding NGF was fabricated using the wet and dry transfer (WaDT) method. The NGF can be used as an EUVL pellicle for the mass production of nanodevices beyond 10 nm.

  8. Directed Self-Assembly of Triblock Copolymer on Chemical Patterns for Sub-10-nm Nanofabrication via Solvent Annealing.

    Science.gov (United States)

    Xiong, Shisheng; Wan, Lei; Ishida, Yoshihito; Chapuis, Yves-Andre; Craig, Gordon S W; Ruiz, Ricardo; Nealey, Paul F

    2016-08-23

    Directed self-assembly (DSA) of block copolymers (BCPs) is a leading strategy to pattern at sublithographic resolution in the technology roadmap for semiconductors and is the only known solution to fabricate nanoimprint templates for the production of bit pattern media. While great progress has been made to implement block copolymer lithography with features in the range of 10-20 nm, patterning solutions below 10 nm are still not mature. Many BCP systems self-assemble at this length scale, but challenges remain in simultaneously tuning the interfacial energy atop the film to control the orientation of BCP domains, designing materials, templates, and processes for ultra-high-density DSA, and establishing a robust pattern transfer strategy. Among the various solutions to achieve domains that are perpendicular to the substrate, solvent annealing is advantageous because it is a versatile method that can be applied to a diversity of materials. Here we report a DSA process based on chemical contrast templates and solvent annealing to fabricate 8 nm features on a 16 nm pitch. To make this possible, a number of innovations were brought in concert with a common platform: (1) assembling the BCP in the phase-separated, solvated state, (2) identifying a larger process window for solvated triblock vs diblock BCPs as a function of solvent volume fraction, (3) employing templates for sub-10-nm BCP systems accessible by lithography, and (4) integrating a robust pattern transfer strategy by vapor infiltration of organometallic precursors for selective metal oxide synthesis to prepare an inorganic hard mask.

  9. Low cost ESR based X-ray beamline for lithography experimentation

    Energy Technology Data Exchange (ETDEWEB)

    Kovacs, S.; Doumas, A.; Truncale, M. (Grumman Corp., Bethpage, NY (United States). Space and Electronics Div.)

    1992-08-01

    Any application of the electron storage ring (ESR) based X-ray lithography technology requires an X-ray radiation transport system to transfer the synchrotron radiation into a spectrum defined by the lithography process requirements. Structure of this transport system (i.e. the beamline) depends on the nature of the application. In this paper a beamline conceptual design will be discussed. The beamline is intended for the developmment of X-ray lithography technology. (orig.).

  10. Micro-bridge defects: characterization and root cause analysis

    Science.gov (United States)

    Santoro, Gaetano; Van den Heuvel, Dieter; Braggin, Jennifer; Rosslee, Craig; Leray, Philippe J.; Cheng, Shaunee; Jehoul, Christiane; Schreutelkamp, Robert; Hillel, Noam

    2010-03-01

    Defect review of advanced lithography processes is becoming more and more challenging as feature sizes decrease. Previous studies using a defect review SEM on immersion lithography generated wafers have resulted in a defect classification scheme which, among others, includes a category for micro-bridges. Micro-bridges are small connections between two adjacent lines in photo-resist and are considered device killing defects. Micro-bridge rates also tend to increase as feature sizes decrease, making them even more important for the next technology nodes. Especially because micro-bridge defects can originate from different root causes, the need to further refine and split up the classification of this type of defect into sub groups may become a necessity. This paper focuses on finding the correlation of the different types of micro-bridge defects to a particular root cause based on a full characterization and root cause analysis of this class of defects, by using advanced SEM review capabilities like high quality imaging in very low FOV, Multi Perspective SEM Imaging (MPSI), tilted column and rotated stage (Tilt&Rotation) imaging and Focused Ion Beam (FIB) cross sectioning. Immersion lithography material has been mainly used to generate the set of data presented in this work even though, in the last part of the results, some EUV lithography data will be presented as part of the continuing effort to extend the micro-bridge defect characterization to the EUV technology on 40 nm technology node and beyond.

  11. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication

    Science.gov (United States)

    Zhao, Yiping; Jansen, Henri; de Boer, Meint; Berenschot, Erwin; Bouwes, Dominique; Gironès, Miriam; Huskens, Jurriaan; Tas, Niels

    2010-09-01

    Edge lithography in combination with fluorine-based plasma etching is employed to avoid the dependence on crystal orientation in single crystal silicon to create monolithic nanoridges with arbitrary contours. This is demonstrated by using a mask with circular structures and Si etching at cryogenic temperature with SF6+O2 plasma mixtures. Initially, the explored etch recipe was used with Cr as the masking material. Although nanoridges with perfect vertical sidewalls have been achieved, Cr causes severe sidewall roughness due to line edge roughness. Therefore, an SU-8 polymer is used instead. Although the SU-8 pattern definition needs further improvement, we demonstrate the possibility of fabricating Si nanoridges of arbitrary contours providing a width below 50 nm and a height between 25 and 500 nm with smooth surface finish. Artifacts in the ridge profile are observed and are mainly caused by the bird's beak phenomenon which is characteristic for the used LOCOS process.

  12. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  13. Compact synchrotron radiation depth lithography facility

    Science.gov (United States)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  14. TiO2/ CNT hetero-structure with variable electron beam diameter suitable for nano lithography

    International Nuclear Information System (INIS)

    Barati, F.; Abdi, Y.; Arzi, E.

    2012-01-01

    We report fabrication of a novel TiO 2 /carbon nano tube based field emission device suitable for nano lithography and fabrication of transistor. The growth of carbon nano tubes is performed on silicon substrates using plasma-enhanced chemical vapor deposition method. The vertically grown carbon nano tubes are encapsulated by TiO 2 using an atmospheric pressure chemical vapor deposition system. Field emission from the carbon nano tubes is realized by mechanical polishing of the prepared nano structure. The possibility of the application of such nano structures as a lithography tool with variable electron beam diameter was investigated. The obtained results show that spot size of less than 30 nm can be obtained by applying a proper voltage on TiO 2 surrounding gate. Electrical measurements of the fabricated device confirm the capability of this nano structure for the fabrication of field emission based field effect transistor. By applying a voltage between the gate and the cathode electrode, the emission current from carbon nano tubes shows a significant drop, indicating proper control of gate on the emission current.

  15. Workshop on compact storage ring technology: applications to lithography

    International Nuclear Information System (INIS)

    1986-01-01

    Project planning in the area of x-ray lithography is discussed. Three technologies that are emphasized are the light source, the lithographic technology, and masking technology. The needs of the semiconductor industry in the lithography area during the next decade are discussed, particularly as regards large scale production of high density dynamic random access memory devices. Storage ring parameters and an overall exposure tool for x-ray lithography are addressed. Competition in this area of technology from Germany and Japan is discussed briefly. The design of a storage ring is considered, including lattice design, magnets, and beam injection systems

  16. Fabrication of sub-micrometric metallic hollow-core structures by laser interference lithography

    International Nuclear Information System (INIS)

    Pérez, Noemí; Tavera, Txaber; Rodríguez, Ainara; Ellman, Miguel; Ayerdi, Isabel; Olaizola, Santiago M.

    2012-01-01

    Highlights: ► Arrays of hollow-core sub-micrometric structures are fabricated. ► Laser interference lithography is used for the pattering of the resist sacrificial layer. ► The removal of the sacrificial layer gives rise to metallic channels with a maximum crosssectional area of 0.1 μm 2 . ► These structures can be used in nanofluidics. - Abstract: This work presents the fabrication of hollow-core metallic structures with a complete laser interference lithography (LIL) process. A negative photoresist is used as sacrificial layer. It is exposed to the pattern resulting from the interference of two laser beams, which produces a structure of photoresist lines with a period of 600 nm. After development of the resist, platinum is deposited on the samples by DC sputtering and the resist is removed with acetone. The resulting metallic structures consist in a continuous platinum film that replicates the photoresist relief with a hollow core. The cross section of the channels is up to 0.1 μm 2 . The fabricated samples are characterized by FESEM and FIB. This last tool helps to provide a clear picture of the shape and size of the channels. Conveniently dimensioned, this array of metallic submicrometric channels can be used in microfluidic or IC cooling applications.

  17. Laser-plasma source parameters for Kr, Gd, and Tb ions at 6.6 nm

    Energy Technology Data Exchange (ETDEWEB)

    Masnavi, Majid; Szilagyi, John; Parchamy, Homaira; Richardson, Martin C. [The Townes Laser Institute, College of Optics and Photonics, University of Central Florida, 4000 Central Florida Blvd., Orlando, Florida 32816 (United States)

    2013-04-22

    There is increasing interest in extreme-ultraviolet (EUV) laser-based lamps for sub-10-nm lithography operating in the region of 6.6 nm. A collisional-radiative model is developed as a post-processor of a hydrodynamic code to investigate emission from resonance lines in Kr, Gd, and Tb ions under conditions typical for mass-limited EUV sources. The analysis reveals that maximum conversion efficiencies of Kr occur at 5 Multiplication-Sign 10{sup 10}W/cm{sup 2}, while for Gd and Tb it was Asymptotically-Equal-To 0.9%/2{pi}sr for laser intensities of (2-5) Multiplication-Sign 10{sup 12}W/cm{sup 2}.

  18. Applications of Cold Cathode PIG Ion Source in Lithography

    International Nuclear Information System (INIS)

    Bassal, N.I.

    2012-01-01

    The cold cathode Penning ion source (PIG) of axial type could be modified to produce ion and electron beam with a considerable amount to use it in the lithography process. Lithography is a new applications of ion/electron beam at which one can use the ion/ or electron beam as a pencil to write and draw on a metal surface. The electron beam takes 1/3 the time needed for ion beam to make good picture. So that with the help of ion/or electron beam lithography one can mark tools, parts, instruments, and equipment with names, numbers, designs, trademark or brand name in few seconds. It is an easy process, quick and an inexpensive method. Firstly, operating characteristics of this ion source is studied. Lithography application of ion source with optimum conditions is done. Later, the hardness and the tensile strength is measured and each of them increases with increasing time

  19. A poly(dimethylsiloxane)-coated flexible mold for nanoimprint lithography

    International Nuclear Information System (INIS)

    Lee, Nae Yoon; Kim, Youn Sang

    2007-01-01

    In this paper, we introduce an anti-adhesion poly(dimethylsiloxane) (PDMS)-coated flexible mold and its applications for room-temperature imprint lithography. The flexible mold is fabricated using an ultraviolet-curable prepolymer on a flexible substrate, and its surface is passivated with a thin layer of PDMS to impart an anti-adhesion property. The highly flexible mold enables conformal contact with a substrate on which a low-viscosity polymer resist is spin-cast in a thin layer. Large-area imprinting is then realized at room temperature under significantly reduced pressure. The mold was durable even after repetitive imprinting of over 200 times. Also, we show a double imprinting on the substrate with a PDMS-coated replica polymeric mold having 500 nm line patterns. This enables the formation of matrix patterns with varying feature heights in less than 7 min

  20. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  1. Protein assay structured on paper by using lithography

    Science.gov (United States)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  2. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  3. Immersed radioactive wastes

    International Nuclear Information System (INIS)

    2017-03-01

    This document presents a brief overview of immersed radioactive wastes worldwide: historical aspects, geographical localization, type of wastes (liquid, solid), radiological activity of immersed radioactive wastes in the NE Atlantic Ocean, immersion sites and monitoring

  4. Nanoimprint lithography for microfluidics manufacturing

    Science.gov (United States)

    Kreindl, Gerald; Matthias, Thorsten

    2013-12-01

    The history of imprint technology as lithography method for pattern replication can be traced back to 1970's but the most significant progress has been made by the research group of S. Chou in the 1990's. Since then, it has become a popular technique with a rapidly growing interest from both research and industrial sides and a variety of new approaches have been proposed along the mainstream scientific advances. Nanoimprint lithography (NIL) is a novel method for the fabrication of micro/nanometer scale patterns with low cost, high throughput and high resolution. Unlike traditional optical lithographic approaches, which create pattern through the use of photons or electrons to modify the chemical and physical properties of the resist, NIL relies on direct mechanical deformation of the resist and can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional lithographic techniques. The ability to fabricate structures from the micro- to the nanoscale with high precision in a wide variety of materials is of crucial importance to the advancement of micro- and nanotechnology and the biotech- sciences as a whole and will be discussed in this paper. Nanoimprinting can not only create resist patterns, as in lithography, but can also imprint functional device structures in various polymers, which can lead to a wide range of applications in electronics, photonics, data storage, and biotechnology.

  5. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  6. Roll-to-roll UV imprint lithography for flexible electronics

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Barbu, I.; Meinders, E.R.; Bremen, A. van; Iosad, N.; Werf, R. van der; Onvlee, H.

    2011-01-01

    We propose a roll-to-roll UV imprint lithography tool as a way to pattern flexible PET foil with µm-resolution. As a way to overcome dimensional instability of the foil and its effect on overlay, a self-align approach was investigated, that permits to make several layers in a single lithography

  7. Immersive Learning Technologies

    Science.gov (United States)

    2009-08-20

    Immersive Learning Technologies Mr. Peter Smith Lead, ADL Immersive Learning Team 08/20/2009 Report Documentation Page Form ApprovedOMB No. 0704...to 00-00-2009 4. TITLE AND SUBTITLE Immersive Learning Technologies 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR...unclassified c. THIS PAGE unclassified Standard Form 298 (Rev. 8-98) Prescribed by ANSI Std Z39-18 Why Immersive Learning Technologies

  8. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  9. Holistic approach for overlay and edge placement error to meet the 5nm technology node requirements

    Science.gov (United States)

    Mulkens, Jan; Slachter, Bram; Kubis, Michael; Tel, Wim; Hinnen, Paul; Maslow, Mark; Dillen, Harm; Ma, Eric; Chou, Kevin; Liu, Xuedong; Ren, Weiming; Hu, Xuerang; Wang, Fei; Liu, Kevin

    2018-03-01

    In this paper, we discuss the metrology methods and error budget that describe the edge placement error (EPE). EPE quantifies the pattern fidelity of a device structure made in a multi-patterning scheme. Here the pattern is the result of a sequence of lithography and etching steps, and consequently the contour of the final pattern contains error sources of the different process steps. EPE is computed by combining optical and ebeam metrology data. We show that high NA optical scatterometer can be used to densely measure in device CD and overlay errors. Large field e-beam system enables massive CD metrology which is used to characterize the local CD error. Local CD distribution needs to be characterized beyond 6 sigma, and requires high throughput e-beam system. We present in this paper the first images of a multi-beam e-beam inspection system. We discuss our holistic patterning optimization approach to understand and minimize the EPE of the final pattern. As a use case, we evaluated a 5-nm logic patterning process based on Self-Aligned-QuadruplePatterning (SAQP) using ArF lithography, combined with line cut exposures using EUV lithography.

  10. Weak interfaces for UV cure nanoimprint lithography

    Science.gov (United States)

    Houle, Frances; Fornof, Ann; Simonyi, Eva; Miller, Dolores; Truong, Hoa

    2008-03-01

    Nanoimprint lithography using a photocurable organic resist provides a means of patterning substrates with a spatial resolution in the few nm range. The usefulness of the technique is limited by defect generation during template removal, which involves fracture at the interface between the template and the newly cured polymer. Although it is critical to have the lowest possible interfacial fracture toughness (Gc less than 0.1 Jm-2) to avoid cohesive failure in the polymer, there is little understanding on how to achieve this using reacting low viscosity resist fluids. Studies of debonding of a series of free-radical cured polyhedral silsesquioxane crosslinker formulations containing selected reactive diluents from fluorosilane-coated quartz template materials will be described. At constant diluent fraction the storage modulus of cured resists follows trends in initial reaction rate, not diluent Tg. Adhesion is uncorrelated with both Tg and storage modulus. XPS studies of near-interface compositions indicate that component segregation within the resist fluid on contact with the template, prior to cure, plays a significant role in controlling the fracture process.

  11. Nano lithography

    CERN Document Server

    Landis, Stefan

    2013-01-01

    Lithography is an extremely complex tool - based on the concept of "imprinting" an original template version onto mass output - originally using relatively simple optical exposure, masking, and etching techniques, and now extended to include exposure to X-rays, high energy UV light, and electron beams - in processes developed to manufacture everyday products including those in the realms of consumer electronics, telecommunications, entertainment, and transportation, to name but a few. In the last few years, researchers and engineers have pushed the envelope of fields including optics, physics,

  12. Immersive video

    Science.gov (United States)

    Moezzi, Saied; Katkere, Arun L.; Jain, Ramesh C.

    1996-03-01

    Interactive video and television viewers should have the power to control their viewing position. To make this a reality, we introduce the concept of Immersive Video, which employs computer vision and computer graphics technologies to provide remote users a sense of complete immersion when viewing an event. Immersive Video uses multiple videos of an event, captured from different perspectives, to generate a full 3D digital video of that event. That is accomplished by assimilating important information from each video stream into a comprehensive, dynamic, 3D model of the environment. Using this 3D digital video, interactive viewers can then move around the remote environment and observe the events taking place from any desired perspective. Our Immersive Video System currently provides interactive viewing and `walkthrus' of staged karate demonstrations, basketball games, dance performances, and typical campus scenes. In its full realization, Immersive Video will be a paradigm shift in visual communication which will revolutionize television and video media, and become an integral part of future telepresence and virtual reality systems.

  13. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun; Jeong, Hoon Eui; Suh, Kahp Y.

    2009-01-01

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold

  14. Electron multi-beam technology for mask and wafer writing at 0.1nm address grid

    Science.gov (United States)

    Platzgummer, Elmar; Klein, Christof; Loeschner, Hans

    2013-03-01

    An overview of electron beam tool configurations is provided. The adoption of multi-beam writing is mandatory in order to fulfill industrial needs for 11nm HP nodes and below. IMS Nanofabrication realized a 50keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1nm address grid and lithography performance capability. The new architecture will be introduced for mask writing at first, but has also the potential for 1xmask (master template) and direct wafer writing. The POC system achieves the predicted 5nm 1sigma blur across the 82μm x 82μm array of 512 x 512 (262,144) programmable 20nm beams. 24nm HP has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta) and 1st generation HVM mask writer tools in 2016. Implementing a multi-axis column configuration, 50x / 100x productivity enhancements are possible for direct 300mm / 450mm wafer writing.

  15. Fabrication of sub-micrometric metallic hollow-core structures by laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Perez, Noemi; Tavera, Txaber [CEIT and Tecnun (University of Navarra) Manuel de Lardizabal 15, 20018 San Sebastian (Spain); Rodriguez, Ainara [CIC Microgune, Paseo Mikeletegi 48, 20009 San Sebastian (Spain); Ellman, Miguel; Ayerdi, Isabel; Olaizola, Santiago M. [CEIT and Tecnun (University of Navarra) Manuel de Lardizabal 15, 20018 San Sebastian (Spain)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Arrays of hollow-core sub-micrometric structures are fabricated. Black-Right-Pointing-Pointer Laser interference lithography is used for the pattering of the resist sacrificial layer. Black-Right-Pointing-Pointer The removal of the sacrificial layer gives rise to metallic channels with a maximum crosssectional area of 0.1 {mu}m{sup 2}. Black-Right-Pointing-Pointer These structures can be used in nanofluidics. - Abstract: This work presents the fabrication of hollow-core metallic structures with a complete laser interference lithography (LIL) process. A negative photoresist is used as sacrificial layer. It is exposed to the pattern resulting from the interference of two laser beams, which produces a structure of photoresist lines with a period of 600 nm. After development of the resist, platinum is deposited on the samples by DC sputtering and the resist is removed with acetone. The resulting metallic structures consist in a continuous platinum film that replicates the photoresist relief with a hollow core. The cross section of the channels is up to 0.1 {mu}m{sup 2}. The fabricated samples are characterized by FESEM and FIB. This last tool helps to provide a clear picture of the shape and size of the channels. Conveniently dimensioned, this array of metallic submicrometric channels can be used in microfluidic or IC cooling applications.

  16. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  17. Electron-beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. (UK)

  18. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee [Inha Univ, Incheon (Korea, Republic of)

    2016-08-15

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed.

  19. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    International Nuclear Information System (INIS)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee

    2016-01-01

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed

  20. Order quantification of hexagonal periodic arrays fabricated by in situ solvent-assisted nanoimprint lithography of block copolymers

    International Nuclear Information System (INIS)

    Simão, Claudia; Khunsin, Worawut; Kehagias, Nikolaos; Sotomayor Torres, Clivia M; Salaun, Mathieu; Zelsmann, Marc; Morris, Michael A

    2014-01-01

    Directed self-assembly of block copolymer polystyrene-b-polyethylene oxide (PS-b-PEO) thin film was achieved by a one-pot methodology of solvent vapor assisted nanoimprint lithography (SAIL). Simultaneous solvent-anneal and imprinting of a PS-b-PEO thin film on silicon without surface pre-treatments yielded a 250 nm line grating decorated with 20 nm diameter nanodots array over a large surface area of up to 4′ wafer scale. The grazing-incidence small-angle x-ray scattering diffraction pattern showed the fidelity of the NIL stamp pattern replication and confirmed the periodicity of the BCP of 40 nm. The order of the hexagonally arranged nanodot lattice was quantified by SEM image analysis using the opposite partner method and compared to conventionally solvent-annealed block copolymer films. The imprint-based SAIL methodology thus demonstrated an improvement in ordering of the nanodot lattice of up to 50%, and allows significant time and cost reduction in the processing of these structures. (papers)

  1. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  2. Silica-based microstructures on nonplanar substrates by femtosecond laser-induced nonlinear lithography

    International Nuclear Information System (INIS)

    Mizoshiri, M; Nishiyama, H; Hirata, Y; Nishii, J

    2009-01-01

    We developed a technique for the formation of nonplanar surfaces of inorganic optical materials by a combined process of nonlinear lithography and plasma etching. This technique can be used to fabricate structures even on non-flat substrates, which is difficult using current semiconductor technology. Three-dimensional patterns were written directly inside a positive-tone photoresist using femtosecond laser-induced nonlinear optical absorption. The patterns were then transferred to underlying nonplanar substrates by the ion beam etching technique. For the lithographic process, we obtained a minimum feature size of 900 nm, which is below the diffraction limit. We demonstrated the fabrication of silica-based hybrid diffractive-refractive lenses. Fresnel zone plates with smooth surfaces were obtained on convex microlenses. When a 633-nm-wavelength He-Ne laser was coupled normally to the hybrid lens, the primary focal length was measured as 630 μm. This hybridization shifted the focal length by 200 μm, which agreed with the theoretical value. Our process is useful for the precise fabrication of nonplanar structures based on inorganic materials.

  3. Fabrication of tunable diffraction grating by imprint lithography with photoresist mold

    Science.gov (United States)

    Yamada, Itsunari; Ikeda, Yusuke; Higuchi, Tetsuya

    2018-05-01

    We fabricated a deformable transmission silicone [poly(dimethylsiloxane)] grating using a two-beam interference method and imprint lithography and evaluated its optical characteristics during a compression process. The grating pattern with 0.43 μm depth and 1.0 μm pitch was created on a silicone surface by an imprinting process with a photoresist mold to realize a simple, low-cost fabrication process. The first-order diffraction transmittance of this grating reached 10.3% at 632.8 nm wavelength. We also measured the relationship between the grating period and compressive stress to the fabricated elements. The grating period changed from 1.0 μm to 0.84 μm by 16.6% compression of the fabricated element in one direction, perpendicular to the grooves, and the first-order diffraction transmittance was 8.6%.

  4. Performance improvement in narrow MuGFETs by gate work function and source/drain implant engineering

    Science.gov (United States)

    Ferain, I.; Duffy, R.; Collaert, N.; van Dal, M. J. H.; Pawlak, B. J.; O'Sullivan, B.; Witters, L.; Rooyackers, R.; Conard, T.; Popovici, M.; van Elshocht, S.; Kaiser, M.; Weemaes, R. G. R.; Swerts, J.; Jurczak, M.; Lander, R. J. P.; De Meyer, K.

    2009-07-01

    At short gate lengths, narrow multiple-gate FETs (MuGFETs) are known to offer superior short channel effect (SCE) control than their bulk Si counterpart [Doyle BS et al. High performance fully-depleted tri-gate CMOS transistors. IEEE Electron Dev Lett 2003;24(4):263-5, van Dal MJH et al. Highly manufacturable FinFETs with sub-10 nm fin width and high aspect ratio fabricated with immersion lithography. In: VLSI Symp Tech Dig; 2007. p. 110-1 [1,2

  5. Solvent-vapor-assisted imprint lithography

    NARCIS (Netherlands)

    Voicu, Nicoleta E.; Ludwigs, Sabine; Crossland, Edward J. W.; Andrew, Piers; Steiner, Ullrich

    2007-01-01

    Sub-micrometer features are replicated into high-molecular-weight polymer resists by using solvent-assisted nanoimprint lithography (see figure). By swelling the polymer in a controlled solvent-vapor atmosphere, millibar pressures and ambient temperatures are sufficient to achieve high-fidelity

  6. Electron beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. 5 figs

  7. Status of EUVL mask development in Europe (Invited Paper)

    Science.gov (United States)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  8. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  9. Integrated scatterometry for tight overlay and CD control to enable 20-nm node wafer manufacturing.

    Science.gov (United States)

    Benschop, Jos; Engelen, Andre; Cramer, Hugo; Kubis, Michael; Hinnen, Paul; van der Laan, Hans; Bhattacharyya, Kaustuve; Mulkens, Jan

    2013-04-01

    The overlay, CDU and focus requirements for the 20nm node can only be met using a holistic lithography approach whereby full use is made of high-order, field-by-field, scanner correction capabilities. An essential element in this approach is a fast, precise and accurate in-line metrology sensor, capable to measure on product. The capabilities of the metrology sensor as well as the impact on overlay, CD and focus will be shared in this paper.

  10. Image-projection ion-beam lithography

    International Nuclear Information System (INIS)

    Miller, P.A.

    1989-01-01

    Image-projection ion-beam lithography is an attractive alternative for submicron patterning because it may provide high throughput; it uses demagnification to gain advantages in reticle fabrication, inspection, and lifetime; and it enjoys the precise deposition characteristics of ions which cause essentially no collateral damage. This lithographic option involves extracting low-mass ions (e.g., He + ) from a plasma source, transmitting the ions at low voltage through a stencil reticle, and then accelerating and focusing the ions electrostatically onto a resist-coated wafer. While the advantages of this technology have been demonstrated experimentally by the work of IMS (Austria), many difficulties still impede extension of the technology to the high-volume production of microelectronic devices. We report a computational study of a lithography system designed to address problem areas in field size, telecentricity, and chromatic and geometric aberration. We present a novel ion-column-design approach and conceptual ion-source and column designs which address these issues. We find that image-projection ion-beam technology should in principle meet high-volume-production requirements. The technical success of our present relatively compact-column design requires that a glow-discharge-based ion source (or equivalent cold source) be developed and that moderate further improvement in geometric aberration levels be obtained. Our system requires that image predistortion be employed during reticle fabrication to overcome distortion due to residual image nonlinearity and space-charge forces. This constitutes a software data preparation step, as do correcting for distortions in electron lithography columns and performing proximity-effect corrections. Areas needing further fundamental work are identified

  11. Multifunctional guest-host particles engineered by reversal nanoimprint lithography

    Science.gov (United States)

    Ha, Uh-Myong; Kaban, Burhan; Tomita, Andreea; Krekić, Kristijan; Klintuch, Dieter; Pietschnig, Rudolf; Ehresmann, Arno; Holzinger, Dennis; Hillmer, Hartmut

    2018-03-01

    Particulate polymeric microfibers with incorporated europium(III)oxide (Eu2O3) nanoparticles were introduced as a magneto-photoluminescent multifunctional material fabricated via reversal nanoimprint lithography. To specifically address the volume properties of these guest-host particles, the guest, Eu2O3, was milled down to an average particle size of 350 nm in diameter and mixed with the host-polymer, AMONIL®, before in situ hardening in the imprint stamp. The variation of the fabrication process parameters, i.e. delay time, spin coating speed, as well as the concentration of Eu2O3 nanoparticles was proven to have a significant impact on both the structure quality and the stamp release of the microfibers with respect to the formation of a thinner residual layer. Structural characterization performed by SEM revealed optimum fabrication process parameters for a homogeneous spatial distribution of Eu2O3 nanoparticles within the microfibers while simultaneously avoiding the formation of undesired agglomerates. The magneto-photoluminescent properties of Eu2O3 nanoparticles, i.e. a red emission at 613 nm and a paramagnetic response, were found to be superimposed to the optic and the diamagnetic behaviors of AMONIL®. The results imply that guest-host interdependence of these properties can be excluded and that the suggested technique enables for specific tailoring of particulate multifunctional materials with focus on their volume properties.

  12. The partial coherence modulation transfer function in testing lithography lens

    Science.gov (United States)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  13. Preparation of ultrafine grained copper nanoparticles via immersion deposit method

    Science.gov (United States)

    Abbasi-Kesbi, Fatemeh; Rashidi, Ali Mohammad; Astinchap, Bandar

    2018-03-01

    Today, the exploration about synthesis of nanoparticles is much of interest to materials scientists. In this work, copper nanoparticles have been successfully synthesized by immersion deposit method in the absence of any stabilizing and reducing agents. Copper (II) sulfate pentahydrate as precursor salt and distilled water and Ethylene glycol as solvents were used. The copper nanoparticles were deposited on plates of low carbon steel. The effects of copper sulfate concentrations and solvent type were investigated. X-ray diffraction, scanning electron microscopy and UV-Visible spectroscopy were taken to investigate the crystallite size, crystal structure, and morphology and size distribution and the growth process of the nanoparticles of obtained Cu particles. The results indicated that the immersion deposit method is a particularly suitable method for synthesis of semispherical copper nanoparticles with the crystallites size in the range of 22 to 37 nm. By increasing the molar concentration of copper sulfate in distilled water solvent from 0.04 to 0.2 M, the average particles size is increased from 57 to 81 nm. The better size distribution of Cu nanoparticles was achieved using a lower concentration of copper sulfate. By increasing the molar concentration of copper sulfate in water solvent from 0.04 to 0.2, the location of the SPR peak has shifted from 600 to 630 nm. The finer Cu nanoparticles were formed using ethylene glycol instead water as a solvent. Also, the agglomeration and overlapping of nanoparticles in ethylene glycol were less than that of water solvent.

  14. Plasma immersion ion implantation of boron for ribbon silicon solar cells

    Directory of Open Access Journals (Sweden)

    Derbouz K.

    2013-09-01

    Full Text Available In this work, we report for the first time on the solar cell fabrication on n-type silicon RST (for Ribbon on Sacrificial Template using plasma immersion ion implantation. The experiments were also carried out on FZ silicon as a reference. Boron was implanted at energies from 10 to 15 kV and doses from 1015 to 1016 cm-2, then activated by a thermal annealing in a conventional furnace at 900 and 950 °C for 30 min. The n+ region acting as a back surface field was achieved by phosphorus spin-coating. The frontside boron emitter was passivated either by applying a 10 nm deposited SiOX plasma-enhanced chemical vapor deposition (PECVD or with a 10 nm grown thermal oxide. The anti-reflection coating layer formed a 60 nm thick SiNX layer. We show that energies less than 15 kV and doses around 5 × 1015 cm-2 are appropriate to achieve open circuit voltage higher than 590 mV and efficiency around 16.7% on FZ-Si. The photovoltaic performances on ribbon silicon are so far limited by the bulk quality of the material and by the quality of the junction through the presence of silicon carbide precipitates at the surface. Nevertheless, we demonstrate that plasma immersion ion implantation is very promising for solar cell fabrication on ultrathin silicon wafers such as ribbons.

  15. Patterned self-assembled monolayers for nanoscale lithography and the control of catalytically produced electroosmosis

    Science.gov (United States)

    Subramanian, Shyamala

    This thesis explores two applications of self-assembled monolayers (SAMs) (a) for developing novel molecular assembly based nanolithography techniques and (b) for tailoring zeta-potential of surfaces towards achieving directional control of catalytically induced fluid flow. The first half of the thesis develops the process of molecular ruler lithography using sacrificial host structures. This is a novel hybrid nanolithography technique which combines chemical self-assembly with conventional fabrication methods for improving the resolution of existing lithography tools to sub-50 nm. Previous work related to molecular ruler lithography have shown the use of thiol-SAMs, placed one on top of the other like a molecular resist, for scaling down feature sizes. In this thesis various engineering solutions for improving the reproducibility, yield, nanoscale roughness and overall manufacturability of the process are introduced. This is achieved by introducing a sacrificial inert layer underneath the gold parent structure. This bilayer sacrificial host allows for preferential, easy and quick removal of the parent structures, isolates the parent metal from the underlying substrate and improves reproducibility of the lift-off process. Also it opens avenues for fabrication of high aspect ratio features. Also molecular layer vapor deposition method is developed for building the multilayer molecular resist via vapor phase to reduce contaminations and yield issues associated with solution phase deposition. The smallest isolated metal features produced using this process were 40 nm in width. The second half of the thesis describes application of thiol-SAMs to tailor surface properties of gold, specifically the surface charge or zeta potential. Previous work has demonstrated that the direction of movement of fluid in the vicinity of a catalytically active bimetallic junction placed in a solution of dilute hydrogen peroxide depends on the charge of the gold surface. SAMs with

  16. Changes in mechanical properties and morphology of elastomer coatings after immersion in salt solutions

    Science.gov (United States)

    Terán Arce, Fernando; Avci, Recep; Beech, Iwona; Cooksey, Keith; Wigglesworth-Cooksey, Barbara

    2004-03-01

    RTV11 (^TM GE Silicones) and Intersleek (^TM International Paints) are two elastomers of considerable significance to the navy and maritime industry for their application as fouling release coatings. Both materials are composed of polymeric matrices with embedded filler particles, which provide increased strength and durability to the elastomer. Using Atomic force microscopy (AFM), surface and bulk analysis techniques, we have found surface regions with microelastic properties, which correlate with the locations of filler particles inside the coatings. These particles are able to undergo elastic displacements of hundreds of nm inside the polymeric matrix during compression by the AFM tip. While elastic properties of Intersleek remain largely unchanged after immersion in salt solutions, roughening, embrittlement and stiffening occurs in RTV11 coatings depending on the amount of curing agent and humidity used during preparation and curing, respectively. Interestingly, such transformations are absent after immersion in pure water. In particle free regions, elastic moduli of RTV11 take values of 2 - 3 MPa before immersion in salt solutions. After immersion, those values increase 5 - 10 times.

  17. YBa2Cu3O7 nanobridges fabricated by direct-write electron beam lithography

    International Nuclear Information System (INIS)

    Wendt, J.R.; Martens, J.S.; Ashby, C.I.H.; Plut, T.A.; Hietala, V.M.; Tigges, C.P.; Ginley, D.S.; Siegal, M.P.; Phillips, J.M.; Hohenwarter, G.K.G.

    1992-01-01

    A direct method for nondamaging, nanometer-scale patterning of high T c superconductor thin films is presented. We have fabricated superconducting nanobridges in high-quality, epitaxial thin-film YBa 2 Cu 3 O 7 (YBCO) by combining direct-write electron beam lithography and an improved aqueous etchant. Weak links with both length and width dimensions less than 20 nm have exhibited critical currents at 77 K of 4--20 μA and I cRn products of 10--100 μV which compare favorably with results for other YBCO junction technologies. We have used this technique in the fabrication of a shock-wave pulse former as an initial demonstration of its applicability to monolithic superconductive electronics

  18. Manipulation and in situ transmission electron microscope characterization of sub-100 nm nanostructures using a microfabricated nanogripper

    DEFF Research Database (Denmark)

    Cagliani, Alberto; Wierzbicki, Rafal; Occhipini, Luigi

    2010-01-01

    ion beam milling and subsequently coating these with Au, the nanogripper could lift up laterally aligned single-walled carbon nanotubes from a 1 µm wide trench, while immediately making good electrical contact. One such carbon nanotube was structurally and electrically characterized real-time in TEM......We present here a polysilicon electrothermal microfabricated nanogripper capable of manipulating nanowires and nanotubes in the sub-100 nm range. The nanogripper was fabricated with a mix and match microfabrication process, combining high throughput of photolithography with 10 nm resolution...... of electron beam lithography. Vertically grown III–V nanowires with a diameter of 70 nm were picked up using the nanogripper, allowing direct transfer of the nanogripper-nanowire ensemble into a transmission electron microscope (TEM) for structural characterization. By refining the end-effectors with focused...

  19. A Fabrication Technique for Nano-gap Electrodes by Atomic Force Microscopy Nano lithography

    International Nuclear Information System (INIS)

    Jalal Rouhi; Shahrom Mahmud; Hutagalung, S.D.; Kakooei, S.

    2011-01-01

    A simple technique is introduced for fabrication of nano-gap electrodes by using nano-oxidation atomic force microscopy (AFM) lithography with a Cr/ Pt coated silicon tip. AFM local anodic oxidation was performed on silicon-on-insulator (SOI) surfaces by optimization of desired conditions to control process in contact mode. Silicon electrodes with gaps of sub 31 nm were fabricated by nano-oxidation method. This technique which is simple, controllable, inexpensive and fast is capable of fabricating nano-gap structures. The current-voltage measurements (I-V) of the electrodes demonstrated very good insulating characteristics. The results show that silicon electrodes have a great potential for fabrication of single molecule transistors (SMT), single electron transistors (SET) and the other nano electronic devices. (author)

  20. An Antireflective Nanostructure Array Fabricated by Nanosilver Colloidal Lithography on a Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Park Seong-Je

    2010-01-01

    Full Text Available Abstract An alternative method is presented for fabricating an antireflective nanostructure array using nanosilver colloidal lithography. Spin coating was used to produce the multilayered silver nanoparticles, which grew by self-assembly and were transformed into randomly distributed nanosilver islands through the thermodynamic action of dewetting and Oswald ripening. The average size and coverage rate of the islands increased with concentration in the range of 50–90 nm and 40–65%, respectively. The nanosilver islands were critically affected by concentration and spin speed. The effects of these two parameters were investigated, after etching and wet removal of nanosilver residues. The reflection nearly disappeared in the ultraviolet wavelength range and was 17% of the reflection of a bare silicon wafer in the visible range.

  1. Synchrotron radiation sources and condensers for projection x-ray lithography

    International Nuclear Information System (INIS)

    Murphy, J.B.; MacDowell, A.A.; White, D.L.; Wood, O.R. II

    1992-01-01

    The design requirements for a compact electron storage ring that could be used as a soft x-ray source for projection lithography are discussed. The design concepts of the x-ray optics that are required to collect and condition the radiation in divergence, uniformity and direction to properly illuminate the mask and the particular x-ray projection camera used are discussed. Preliminary designs for an entire soft x-ray projection lithography system using an electron storage ring as a soft X-ray source are presented. It is shown that by combining the existing technology of storage rings with large collection angle condensers, a powerful and reliable source of 130 Angstrom photons for production line projection x-ray lithography is possible

  2. 300 mm arrays and 30 nm Features: Frontiers in Sorting Biological Objects

    Science.gov (United States)

    Austin, Robert; Comella, Brandon; D'Silva, Joseph; Sturm, James

    2014-03-01

    One of the great challenges in prediction of metastasis is determining when the metastatic process actually begins. It is presumed that this process occurs due to passage of biological objects in the blood from tumor to remote sites. We will discuss our attempts to find both very large objects (circulating tumor cell clumps) and very small (exosomes) using a combination of extremely large scale photolithography on 300 mm wafers and deep-UV lithography to produce sub-100 nm arrays to sort exosomes. These technologies push the envelope of present day academic facilities . Supported by the National Science Foundation and the National Cancer Institute.

  3. Numerical Investigation on Effect of Immersed Blade Depth on the Performance of Undershot Water Turbines

    Directory of Open Access Journals (Sweden)

    Yah Nor Fadilah

    2016-01-01

    Full Text Available Energy, especially electricity, plays a vital role in global social and economic development. High annual rain rate in Malaysia seems a good potential for electricity generation especially through small hydro powers. Undershot water turbines are one of the hydropower turbines used for many years. However, the effect of blade depth immersed in the flowing water is not fully investigated. Therefore, the purpose of this paper is to study the effect of immersed blade depth for straight blade undershot water turbine in power generation by using Computational Fluid Dynamics (CFD method. ANSYS CFX 15.0 was used to perform three dimensional analysis under steady state, incompressible, and non-isothermal conditions. The water wheel with number of blades of 6 and four different immersed depth was applied for each simulation. There are four different immersed depth was applied to each simulation, which are 20 mm, 40 mm, 60 mm and 80 mm. From the simulation result, it was found that the optimum immersed depth is 40 mm where the torque load and power generated were 0.264 N.m and 1.318 Watt respectively.

  4. Lithography-Free Fabrication of Reconfigurable Substrate Topography For Contact Guidance

    Science.gov (United States)

    Pholpabu, Pitirat; Kustra, Stephen; Wu, Haosheng; Balasubramanian, Aditya; Bettinger, Christopher J.

    2014-01-01

    Mammalian cells detect and respond to topographical cues presented in natural and synthetic biomaterials both in vivo and in vitro. Micro- and nano-structures influence the adhesion, morphology, proliferation, migration, and differentiation of many phenotypes. Although the mechanisms that underpin cell-topography interactions remain elusive, synthetic substrates with well-defined micro- and nano-structures are important tools to elucidate the origin of these responses. Substrates with reconfigurable topography are desirable because programmable cues can be harmonized with dynamic cellular responses. Here we present a lithography-free fabrication technique that can reversibly present topographical cues using an actuation mechanism that minimizes the confounding effects of applied stimuli. This method utilizes strain-induced buckling instabilities in bi-layer substrate materials with rigid uniform silicon oxide membranes that are thermally deposited on elastomeric substrates. The resulting surfaces are capable of reversible of substrates between three distinct states: flat substrates (A = 1.53 ± 0.55 nm, Rms = 0.317 ± 0.048 nm); parallel wavy grating arrays (A|| = 483.6 ± 7.8 nm and λ|| = 4.78 ± 0.16 μm); perpendicular wavy grating arrays (A⊥ = 429.3 ± 5.8 nm; λ⊥ = 4.95 ± 0.36 μm). The cytoskeleton dynamics of 3T3 fibroblasts in response to these surfaces was measured using optical microscopy. Fibroblasts cultured on dynamic substrates that are switched from flat to topographic features (FLAT-WAVY) exhibit a robust and rapid change in gross morphology as measured by a reduction in circularity from 0.30 ± 0.13 to 0.15 ± 0.08 after 5 min. Conversely, dynamic substrate sequences of FLAT-WAVY-FLAT do not significantly alter the gross steady-state morphology. Taken together, substrates that present topographic structures reversibly can elucidate dynamic aspects of cell-topography interactions. PMID:25468368

  5. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    Science.gov (United States)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  6. The application of synchrotron radiation to X-ray lithography

    International Nuclear Information System (INIS)

    Spiller, E.; Eastman, D.E.; Feder, R.; Grobman, W.D.; Gudat, W.; Topalian, J.

    1976-06-01

    Synchrotron radiation from the German electron synchrotron DESY in Hamburg has been used for X-ray lithograpgy. Replications of different master patterns (for magnetic bubble devices, fresnel zone plates, etc.) were made using various wavelengths and exposures. High quality lines down to 500 A wide have been reproduced using very soft X-rays. The sensitivities of X-ray resists have been evaluated over a wide range of exposures. Various critical factors (heating, radiation damage, etc.) involved with X-ray lithography using synchrotron radiation have been studied. General considerations of storage ring sources designed as radiation sources for X-ray lithography are discussed, together with a comparison with X-ray tube sources. The general conclusion is that X-ray lithography using synchrotron radiation offers considerable promise as a process for forming high quality sub-micron images with exposure times as short as a few seconds. (orig.) [de

  7. Surface studies on benzophenone doped PDMS microstructures fabricated using KrF excimer laser direct write lithography

    International Nuclear Information System (INIS)

    Kant, Madhushree Bute; Shinde, Shashikant D.; Bodas, Dhananjay; Patil, K.R.; Sathe, V.G.; Adhi, K.P.; Gosavi, S.W.

    2014-01-01

    Graphical abstract: - Highlights: • Use of KrF Laser micromachining for Lab-On-Chip applications at lower fluence. • Addition of Benzophenone in PDMS enhances its self development sensitivity. • Benzophenone helps efficient energy transfer for equal density of bond scissioning. • Correlation of chemical composition with laser dose and microstructure. • Microstructures with well defined clean sidewalls. - Abstract: This paper discusses microfabrication process for benzophenone doped polydimethylsiloxane (PDMS) using laser lithography. KrF excimer laser of 248 nm with 20 ns pulse width at repetition rate of 1 Hz was used for microfabrication of undoped and benzophenone doped PDMS. The doped-PDMS shows sensitivity below 365 nm, permitting processing under ambient light. The analysis of etch depth revealed that doped PDMS shows self developable sensitivity at lower fluence of ∼250 mJ/cm 2 . The unexposed and exposed surface was studied using Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), Raman spectroscopy and Scanning electron microscopy (SEM). Spectrocopic analysis indicated increase in C-O, C=O, Si-O 3 and Si-O 4 bonding at the expense of Si-C and Si-O 2 bonds of PDMS. In case of laser exposed doped-PDMS, removal of benzophenone from probe depth of spectroscopy was observed. Whereas the surface morphology of exposed and unexposed doped-PDMS was observed to be same, indicating clean development of PDMS micropatterns. The present study indicates that addition of 3.0 wt.% benzophenone in PDMS enhance self development sensitivity of PDMS. The self developable results on doped-PDMS are quite encouraging for its potential use in point of care Lab-On-Chip applications, for fabricating micropatterns using direct write laser lithography technology

  8. Cryogenic immersion microscope

    Science.gov (United States)

    Le Gros, Mark; Larabell, Carolyn A.

    2010-12-14

    A cryogenic immersion microscope whose objective lens is at least partially in contact with a liquid reservoir of a cryogenic liquid, in which reservoir a sample of interest is immersed is disclosed. When the cryogenic liquid has an index of refraction that reduces refraction at interfaces between the lens and the sample, overall resolution and image quality are improved. A combination of an immersion microscope and x-ray microscope, suitable for imaging at cryogenic temperatures is also disclosed.

  9. Computer numerical control (CNC) lithography: light-motion synchronized UV-LED lithography for 3D microfabrication

    International Nuclear Information System (INIS)

    Kim, Jungkwun; Allen, Mark G; Yoon, Yong-Kyu

    2016-01-01

    This paper presents a computer-numerical-controlled ultraviolet light-emitting diode (CNC UV-LED) lithography scheme for three-dimensional (3D) microfabrication. The CNC lithography scheme utilizes sequential multi-angled UV light exposures along with a synchronized switchable UV light source to create arbitrary 3D light traces, which are transferred into the photosensitive resist. The system comprises a switchable, movable UV-LED array as a light source, a motorized tilt-rotational sample holder, and a computer-control unit. System operation is such that the tilt-rotational sample holder moves in a pre-programmed routine, and the UV-LED is illuminated only at desired positions of the sample holder during the desired time period, enabling the formation of complex 3D microstructures. This facilitates easy fabrication of complex 3D structures, which otherwise would have required multiple manual exposure steps as in the previous multidirectional 3D UV lithography approach. Since it is batch processed, processing time is far less than that of the 3D printing approach at the expense of some reduction in the degree of achievable 3D structure complexity. In order to produce uniform light intensity from the arrayed LED light source, the UV-LED array stage has been kept rotating during exposure. UV-LED 3D fabrication capability was demonstrated through a plurality of complex structures such as V-shaped micropillars, micropanels, a micro-‘hi’ structure, a micro-‘cat’s claw,’ a micro-‘horn,’ a micro-‘calla lily,’ a micro-‘cowboy’s hat,’ and a micro-‘table napkin’ array. (paper)

  10. Functionalized SU-8 patterned with X-ray Lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Romanato, F.

    2005-01-01

    spontaneous emission light source that couples out light normal to the chip plane. In addition we examine the influence of the x-ray irradiation on the fluorescence of thin films of dye doped SU-8. The dye embedded in the SU-8 is optically excited during, characterization by an external light source tuned......In this work we demonstrate the feasibility of x-ray lithography on SU-8 photoresist doped with the laser dye Rhodamine 6G, while retaining the photoactive properties of the embedded dye. Two kinds of structures are fabricated via soft x-ray lithography and characterized: a laser and in amplified...

  11. Fabrication of 20 nm half-pitch gratings by corrugation-directed self-assembly

    International Nuclear Information System (INIS)

    Kim, Ho-Cheol; Rettner, Charles T; Sundstroem, Linnea

    2008-01-01

    The evolution of the scaling of modern semiconductor devices is governed by the ability to create scalable high-resolution patterns on substrates. Since it is becoming increasingly difficult and expensive to extend to smaller dimensions using optical lithography, there is a great deal of interest in alternative patterning methods. The self-assembly of block copolymers in thin films, which provides periodic patterns of 10-50 nm length scales, has been recognized as a promising candidate for such patterning. To be practical, however, this approach must provide control over the orientation and lateral placement of the microdomains. We report here our discovery of the controlled alignment of the lamellar microdomains of a block copolymer containing hybrid material using topographic pre-patterns on substrates. We find that this hybrid material forms lamellae with a half-pitch of approximately 20 nm perpendicular to the lines of a surface corrugation

  12. Helium ion lithography principles and performance

    NARCIS (Netherlands)

    Drift, E. van der; Maas, D.J.

    2012-01-01

    Recent developments show that Scanning Helium Ion Beam Lithography (SHIBL) with a sub-nanometer beam diameter is a promising alternative fabrication technique for high-resolution nanostructures at high pattern densities. Key principles and critical conditions of the technique are explained. From

  13. Industrial plasma immersion ion implanter and its applications

    CERN Document Server

    Tong Hong Hui; Huo Yan Feng; Wang Ke; Mu Li Lan; Feng Tie Min; Zhao Jun; Yan Bing; Geng Man

    2002-01-01

    A new generation industrial plasma immersion ion implanter was developed recently in South-western Institute of Physics and some experimental results are reported. The vacuum chamber with 900 mm in diameter and 1050 mm in height stands vertically. The pumping system includes turbo -pump and mechanical pump and it can be automatically controlled by PLC. The background pressure is less than 4 x 10 sup - sup 4 Pa. The plasma in the chamber can be generated by hot-filament discharge and three high-efficiency magnetic filter metal plasma sources, so that the plasma immersion ion implantation and enhanced deposition can be done. The maximum pulse voltage output is 80 kV, maximum pulse current is 60 A, repetition frequency is 50-500 Hz, and the pulse rise time is less than 2 mu s. The power modulator can operate in the pulse bunching mode if necessary. In general, the plasma density is 10 sup 8 -10 sup 1 sup 0 cm sup - sup 3 , the film deposition rate is 0.1-0.5 nm/s

  14. Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, Vincent; Chansin, G.

    2006-01-01

    The fabrication of a three-dimensional multilayered nanostructure is demonstrated with a newly developed nanofabrication technique, namely, reverse contact ultraviolet nanoimprint lithography. This technique is a combination of reverse nanoimprint lithography and contact ultraviolet lithography....... In this process, a UV cross-linkable polymer and a thermoplastic polymer are spin coated onto a patterned hybrid metal-quartz stamp. These thin polymer films are then transferred from the stamp to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light....... After separation of the stamp and the substrate, the unexposed polymer areas are rinsed away with acetone leaving behind the negative features of the original stamp with no residual layer....

  15. DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates

    DEFF Research Database (Denmark)

    Smistrup, K.; Nørregaard, J.; Mironov, A.

    2013-01-01

    by including a lambda quarter shift at the center of the grating. The need for phase shifts and multiple wavelengths eliminates some lithography methods such as holography. Typically, these lasers are produced by e-beam lithography (EBL). We present a production method based on thermal nanoimprint lithography...... during the imprint process and the narrow temperature window for imprint and separation (80°C and 55°C) ensures minimal issues with thermal mismatch between the InP substrate and the Si stamp. The imprinted InP wafers were processed in NeoPhotonics standard process line to create working lasers...

  16. Simulation of AZ-PN100 resist pattern fluctuation in X-ray lithography, including synchrotron beam polarization

    International Nuclear Information System (INIS)

    Scheckler, E.W.; Ogawa, Taro; Tanaka, Toshihiko; Takeda, Eiji; Oizumi, Hiroaki.

    1993-01-01

    A new simulation model for nanometer-scale pattern fluctuation in X-ray lithography is presented and applied to a study of AZ-PN100 negative chemical amplification resist. The exposure simulation considers polarized photons from a synchrotron radiation (SR) source. Monte Carlo simulation of Auger and photoelectron generation is followed by electron scattering simulation to determine the deposited energy distribution at the nanometer scale, including beam polarization effects. An acid-catalyst random walk model simulates the post-exposure bake (PEB) step. Fourier transform infrared (FTIR) spectroscopy and developed resist thickness measurements are used to fit PEB and rate models for AZ-PN100. A polymer removal model for development simulation predicts the macroscopic resist shape and pattern roughness. The simulated 3σ linewidth variation is in excess of 24 nm. Simulation also shows a detrimental effect if the beam polarization is perpendicular to the line. Simulation assuming a theoretical ideal exposure yields a 50 nm minimum line for standard process conditions. (author)

  17. Water immersion in preeclampsia.

    Science.gov (United States)

    Elvan-Taşpinar, Ayten; Franx, Arie; Delprat, Constance C; Bruinse, Hein W; Koomans, Hein A

    2006-12-01

    Preeclampsia is associated with profound vasoconstriction in most organ systems and reduced plasma volume. Because water immersion produces a marked central redistribution of blood volume and suppresses the renin-angiotensin system response and sympathetic activity, we hypothesized that water immersion might be useful in the treatment of preeclampsia. The effects of thermoneutral water immersion for 3 hours on central and peripheral hemodynamics were evaluated in 7 preeclamptic patients, 7 normal pregnant control patients, and 7 nonpregnant women. Finger plethysmography was used to determine hemodynamic measurements (cardiac output and total peripheral resistance), and forearm blood flow was measured by strain gauge plethysmography. Postischemic hyperemia was used to determine endothelium-dependent vasodilation. Analysis was by analysis of variance for repeated measurements. During water immersion cardiac output increased while diastolic blood pressure and heart rate decreased, although systolic blood pressure remained unchanged in each group. Forearm blood flow increased significantly in the normal pregnant and preeclamptic subjects. Total peripheral resistance decreased in all groups, but values in preeclamptic patients remained above those of normotensive pregnant women. Water immersion had no effect on endothelium-dependent vasodilation in the preeclamptic group, and most hemodynamic changes that were observed reversed to baseline within 2 hours of completion of the procedure. Although water immersion results in hemodynamic alterations in a manner that is theoretically therapeutic for women with preeclampsia, the effect was limited and short-lived. In addition water immersion had no effect on endothelium-dependent vasodilation in women with preeclampsia. The therapeutic potential for water immersion in preeclampsia appears to be limited.

  18. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  19. Integrating nanotubes into microsystems with electron beam lithography and in situ catalytically activated growth

    DEFF Research Database (Denmark)

    Gjerde, Kjetil; Fornés-Mora, Marc; Kjelstrup-Hansen, Jakob

    2006-01-01

    Integration of freestanding wire-like structures such as multi walled carbon nanotubes (MWCNT) into microsystems has many potential applications. Devices such as AFM tips or improved electrodes for conductivity measurements are obvious candidates. Catalytically activated growth opens up the possi......Integration of freestanding wire-like structures such as multi walled carbon nanotubes (MWCNT) into microsystems has many potential applications. Devices such as AFM tips or improved electrodes for conductivity measurements are obvious candidates. Catalytically activated growth opens up...... the possibility of waferscale fabrication of such devices. We combine conventional microfabrication techniques with state of the art electron beam lithography (EBL) to precisely position catalyst nanoparticles with sub 100 nm diameter into the microsystems. In particular, we have explored two main approaches...

  20. Nanostructured 2D cellular materials in silicon by sidewall transfer lithography NEMS

    Science.gov (United States)

    Syms, Richard R. A.; Liu, Dixi; Ahmad, Munir M.

    2017-07-01

    Sidewall transfer lithography (STL) is demonstrated as a method for parallel fabrication of 2D nanostructured cellular solids in single-crystal silicon. The linear mechanical properties of four lattices (perfect and defected diamond; singly and doubly periodic honeycomb) with low effective Young’s moduli and effective Poisson’s ratio ranging from positive to negative are modelled using analytic theory and the matrix stiffness method with an emphasis on boundary effects. The lattices are fabricated with a minimum feature size of 100 nm and an aspect ratio of 40:1 using single- and double-level STL and deep reactive ion etching of bonded silicon-on-insulator. Nanoelectromechanical systems (NEMS) containing cellular materials are used to demonstrate stretching, bending and brittle fracture. Predicted edge effects are observed, theoretical values of Poisson’s ratio are verified and failure patterns are described.

  1. But Do They Speak French? A Comparison of French Immersion Programs in Immersion Only and English/Immersion Settings. Research Report 79-01.

    Science.gov (United States)

    Parkin, Michael

    Students' use of French in unsupervised classroom situations and outside the classroom was investigated in immersion center schools (all students are involved in French immersion programs) and dual track schools (French immersion programs co-exist with regular English language programs). A total of 414 students in grades 3 and 4 were observed…

  2. An evaluation of hand immersion for rewarming individuals cooled by immersion in cold water.

    Science.gov (United States)

    Cahill, C J; Balmi, P J; Tipton, M J

    1995-05-01

    The hypothesis that hypothermic individuals can be actively rewarmed in the field by immersion of the extremities in hot water was investigated. Three techniques for rewarming subjects with lowered deep body temperatures were compared: a) whole body immersion to the neck in water at 40 degrees C; b) immersion of two hands plus forearms only in water at 42 degrees C; and c) passive rewarming. The suggestion that the fall in deep body temperature resulting from immersion to the neck in water at 15 degrees C could be arrested by immersing both arms in water at 42 degrees C was also investigated. Results indicated that immersion to the neck in hot water was clearly the most effective rewarming technique. No significant difference (p > 0.05) was observed in the deep body temperature response during passive rewarming or during immersion of both hands and forearms in water at 42 degrees C. In the later condition some increase in peripheral blood flow to the hands may have occurred and resulted in a heat input of approximately 12 W, but any benefit from this was negated by an associated significant decrease (p > 0.05) in intrinsic heat production. Immersing the arms in hot water during immersion to the neck in cold water appeared to accelerate rather than decelerate the rate of fall of deep body temperature. We concluded that hand rewarming, although theoretically attractive, is ineffective in practice and could be detrimental in some circumstances, by suppressing intrinsic heat production or precipitating rewarming collapse.

  3. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei

    2014-03-01

    Nanoimprint lithography (NIL) is a cost-efficient nanopatterning technology because of its promising advantages of high throughput and high resolution. However, accurate multilevel overlay capability of NIL required for integrated circuit manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage in the manufacture of the coplanar structures, such as integrated plasmonic devices. In this paper, we develop a new process of planar self-alignment imprint lithography (P-SAIL) to fabricate the metallic and dielectric structures on the same plane. P-SAIL transfers the multilevel imprint processes to a single-imprint process which offers higher efficiency and less cost than existing manufacturing methods. Such concept is demonstrated in an example of fabricating planar plasmonic structures consisting of different materials. © 2014 Springer-Verlag Berlin Heidelberg.

  4. Effects of menthol application on the skin during prolonged immersion in cool and cold water.

    Science.gov (United States)

    Botonis, P G; Kounalakis, S N; Cherouveim, E D; Koskolou, M D; Geladas, N D

    2018-03-01

    The aim of the study was to compare the effect of skin surface menthol application on rectal temperature (Tre) during prolonged immersion in cool and cold water. We hypothesized that menthol application would lead to a slower Tre decline due to the reduced heat loss as a consequence of the menthol-induced vasoconstriction and that this effect would be attenuated during cold-water immersion. Six male subjects were immersed for 55 minutes in stirred cool (24°C) or cold (14°C) water immediately after attaining a Tre of 38°C by cycling at 60% of maximum heart rate on two occasions: without (ΝM) and with (M) whole-body skin application of menthol cream. Tre, the proximal-distal skin temperature gradient, and oxygen uptake were continuously measured. ANOVA with repeated measures was employed to detect differences among variables. Significance level was set at 0.05. The area under the curve for Tre was calculated and was greater in 24°C M (-1.81 ± 8.22 a.u) compared to 24°C NM (-27.09 ± 19.09 a.u., P = .03, r = .90), 14°C NM (-18.08 ± 10.85 a.u., P = .03, r = .90), and 14°C M (-11.71 ± 12.58 a.u, P = .05, r = .81). In cool water, oxygen uptake and local vasoconstriction were increased (P ≤ .05) by 39 ± 25% and 56 ± 37%, respectively, with menthol compared to ΝM, while no differences were observed in cold water. Menthol application on the skin before prolonged immersion reduces heat loss resulting in a blunted Tre decline. However, such a response is less obvious at 14°C water immersion, possibly because high-threshold cold-sensitive fibers are already maximally recruited and the majority of cold receptors saturated. © 2017 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  5. Fabrication of nanostructured transmissive optical devices on ITO-glass with UV1116 photoresist using high-energy electron beam lithography

    Science.gov (United States)

    Williams, Calum; Bartholomew, Richard; Rughoobur, Girish; Gordon, George S. D.; Flewitt, Andrew J.; Wilkinson, Timothy D.

    2016-12-01

    High-energy electron beam lithography for patterning nanostructures on insulating substrates can be challenging. For high resolution, conventional resists require large exposure doses and for reasonable throughput, using typical beam currents leads to charge dissipation problems. Here, we use UV1116 photoresist (Dow Chemical Company), designed for photolithographic technologies, with a relatively low area dose at a standard operating current (80 kV, 40-50 μC cm-2, 1 nAs-1) to pattern over large areas on commercially coated ITO-glass cover slips. The minimum linewidth fabricated was ˜33 nm with 80 nm spacing; for isolated structures, ˜45 nm structural width with 50 nm separation. Due to the low beam dose, and nA current, throughput is high. This work highlights the use of UV1116 photoresist as an alternative to conventional e-beam resists on insulating substrates. To evaluate suitability, we fabricate a range of transmissive optical devices, that could find application for customized wire-grid polarisers and spectral filters for imaging, which operate based on the excitation of surface plasmon polaritons in nanosized geometries, with arrays encompassing areas ˜0.25 cm2.

  6. Immersive journalism: immersive virtual reality for the first-person experience of news

    OpenAIRE

    Peña, Nonny de la; Weil, Peggy; Llobera, Joan; Giannopoulos, Elias; Pomés Freixa, Ausiàs; Spanlang, Bernhard; Friedman, Doron; Sánchez-Vives, María Victoria; Slater, Mel

    2010-01-01

    This paper introduces the concept, and discusses the implications of Immersive Journalism, that is the production of news in a form in which people can gain first- 2 person experiences of the events or situation described in news stories. The fundamental idea of Immersive Journalism is to allow the participant, typically represented as a digital avatar, to actually enter a virtually recreated scenario representing the news story. The sense of presence obtained through an immersive system (whe...

  7. Surface studies on benzophenone doped PDMS microstructures fabricated using KrF excimer laser direct write lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kant, Madhushree Bute; Shinde, Shashikant D. [Department of Physics, University of Pune, Pune 411007 (India); Bodas, Dhananjay [Centre for Nanobioscience, Agharkar Research Institute, Agharkar road, Pune 411004 (India); Patil, K.R. [Center for Materials Characterization, National Chemical Laboratories, Pune 411008 (India); Sathe, V.G. [UGC DAE Inter University Consortium, Indore 452017 (India); Adhi, K.P. [Department of Physics, University of Pune, Pune 411007 (India); Gosavi, S.W., E-mail: swg@physics.unipune.ac.in [Department of Physics, University of Pune, Pune 411007 (India)

    2014-09-30

    Graphical abstract: - Highlights: • Use of KrF Laser micromachining for Lab-On-Chip applications at lower fluence. • Addition of Benzophenone in PDMS enhances its self development sensitivity. • Benzophenone helps efficient energy transfer for equal density of bond scissioning. • Correlation of chemical composition with laser dose and microstructure. • Microstructures with well defined clean sidewalls. - Abstract: This paper discusses microfabrication process for benzophenone doped polydimethylsiloxane (PDMS) using laser lithography. KrF excimer laser of 248 nm with 20 ns pulse width at repetition rate of 1 Hz was used for microfabrication of undoped and benzophenone doped PDMS. The doped-PDMS shows sensitivity below 365 nm, permitting processing under ambient light. The analysis of etch depth revealed that doped PDMS shows self developable sensitivity at lower fluence of ∼250 mJ/cm{sup 2}. The unexposed and exposed surface was studied using Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), Raman spectroscopy and Scanning electron microscopy (SEM). Spectrocopic analysis indicated increase in C-O, C=O, Si-O{sub 3} and Si-O{sub 4} bonding at the expense of Si-C and Si-O{sub 2} bonds of PDMS. In case of laser exposed doped-PDMS, removal of benzophenone from probe depth of spectroscopy was observed. Whereas the surface morphology of exposed and unexposed doped-PDMS was observed to be same, indicating clean development of PDMS micropatterns. The present study indicates that addition of 3.0 wt.% benzophenone in PDMS enhance self development sensitivity of PDMS. The self developable results on doped-PDMS are quite encouraging for its potential use in point of care Lab-On-Chip applications, for fabricating micropatterns using direct write laser lithography technology.

  8. Is Learning in Low Immersive Environments Carried over to High Immersive Environments?

    Directory of Open Access Journals (Sweden)

    Dror David Lev

    2012-01-01

    Full Text Available One of the more debated issues regarding training simulators is their validity for transfer of skills to sensory environments that differ from the simulator. In two experiments, the advantages of three-dimensional (3D and collocated (Col visual displays were evaluated in a realistic and complex visuomotor task. The two factors were evaluated independently, comparing Col-2D with dislocated-2D (experiment 1 and with Col-3D (experiment 2. As expected, in both cases the more immersive presentation condition facilitated better performance. Furthermore, improvement following training in the more immersive condition carried over to the following less immersive condition but there was no carry over in the opposing order of presentation. This is taken as an indication for the differential development of skills conditioned by the level of immersiveness of the training environment. This further suggests that learning of complex realistic tasks is not carried over from less immersive simulator to the complex sensory environment of reality, due to the large gap in sensory patterns.

  9. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    Science.gov (United States)

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  10. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    Science.gov (United States)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  11. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    International Nuclear Information System (INIS)

    Li, Yue; Wu, Ping; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun; Luo, Zhaofeng; Ren, Yuxuan

    2015-01-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories. (paper)

  12. A preliminary study of synchrotron light sources for x-ray lithography

    International Nuclear Information System (INIS)

    Hoffmann, C.R.; Bigham, C.B.; Ebrahim, N.A.; Sawicki, J.A.; Taylor, T.

    1989-02-01

    A preliminary study of synchrotron light sources has been made, primarily oriented toward x-ray lithography. X-ray lithography is being pursued vigorously in several countries, with a goal of manufacturing high-density computer chips (0.25 μm feature sizes), and may attain commercial success in the next decade. Many other applications of soft x-rays appear worthy of investigation as well. The study group visited synchrotron radiation facilities and had discussions with members of the synchrotron radiation community, particularly Canadians. It concluded that accelerator technology for a conventional synchrotron light source appropriate for x-ray lithography is well established and is consistent with skills and experience at Chalk River Nuclear Laboratories. Compact superconducting systems are being developed also. Their technical requirements overlap with capabilities at Chalk River. (32 refs)

  13. Overlay leaves litho: impact of non-litho processes on overlay and compensation

    Science.gov (United States)

    Ruhm, Matthias; Schulz, Bernd; Cotte, Eric; Seltmann, Rolf; Hertzsch, Tino

    2014-10-01

    According to the ITRS roadmap [1], the overlay requirement for the 28nm node is 8nm. If we compare this number with the performance given by tool vendors for their most advanced immersion systems (which is emerging. Mask contributions and so-called non-linear wafer distortions are known effects that can impact overlay quite significantly. Furthermore, it is often forgotten that downstream (post-litho) processes can impact the overlay as well. Thus, it can be required to compensate for the effects of subsequent processes already at the lithography operation. Within our paper, we will briefly touch on the wafer distortion topic and discuss the limitations of lithography compensation techniques such as higher order corrections versus solving the root cause of the distortions. The primary focus will be on the impact of the etch processes on the pattern placement error. We will show how individual layers can get affected differently by showing typical wafer signatures. However, in contrast to the above-mentioned wafer distortion topic, lithographic compensation techniques can be highly effective to reduce the placement error significantly towards acceptable levels (see Figure 1). Finally we will discuss the overall overlay budget for a 28nm contact to gate case by taking the impact of the individual process contributors into account.

  14. Progress in the fabrication of high aspect ratio zone plates by soft x-ray lithography

    International Nuclear Information System (INIS)

    Divan, R.; Mancini, D. C.; Moldovan, N. A.; Lai, B.; Assoufid, L.; Leondard, Q.; Cerrina, F.

    2002-01-01

    Fabrication of Fresnel zone plates for the hard x-ray spectral region combines the challenge of high lateral resolution (∼100 nm) with a large thickness requirement for the phase-shifting material (0.5-3 (micro)m). For achieving a high resolution, the initial mask was fabricated by e-beam lithography and gold electroforming. To prevent the collapse of the structures between the developing and electroforming processes, drying was completely eliminated. Fabrication errors, such as nonuniform gold electroplating and collapse of structures, were systematically analyzed and largely eliminated. We optimized the exposure and developing processes for 950k and 2200k polymethylmethacrylate of different thicknesses and various adhesion promoters. We discuss the effects of these fabrication steps on the zone plate's resolution and aspect ratio. Fresnel zone plates with 110 nm outermost zone width, 150 (micro)m diameter, and 1.3 (micro)m gold thickness were fabricated. Preliminary evaluation of the FZPs was done by scanning electron microscopy and atomic force microscopy. The FZP focusing performance was characterized at the Advanced Photon Source at Argonne National Laboratory

  15. Diffraction efficiency of plasmonic gratings fabricated by electron beam lithography using a silver halide film

    Energy Technology Data Exchange (ETDEWEB)

    Sudheer,, E-mail: sudheer@rrcat.gov.in, E-mail: sudheer.rrcat@gmail.com; Tiwari, P.; Srivastava, Himanshu; Rai, V. N.; Srivastava, A. K.; Naik, P. A. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Indus Synchrotrons Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Porwal, S. [Solid State Lasers Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Bhartiya, S. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Laser Materials Development and Device Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Rao, B. T. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Sharma, T. K. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Solid State Lasers Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India)

    2016-07-28

    The silver nanoparticle surface relief gratings of ∼10 μm period are fabricated using electron beam lithography on the silver halide film substrate. Morphological characterization of the gratings shows that the period, the shape, and the relief depth in the gratings are mainly dependent on the number of lines per frame, the spot size, and the accelerating voltage of electron beam raster in the SEM. Optical absorption of the silver nanoparticle gratings provides a broad localized surface plasmon resonance peak in the visible region, whereas the intensity of the peaks depends on the number density of silver nanoparticles in the gratings. The maximum efficiency of ∼7.2% for first order diffraction is observed for the grating fabricated at 15 keV. The efficiency is peaking at 560 nm with ∼380 nm bandwidth. The measured profiles of the diffraction efficiency for the gratings are found in close agreement with the Raman-Nath diffraction theory. This technique provides a simple and efficient method for the fabrication of plasmonic nanoparticle grating structures with high diffraction efficiency having broad wavelength tuning.

  16. Deep Ultraviolet Copper(I) Thiocyanate (CuSCN) Photodetectors Based on Coplanar Nanogap Electrodes Fabricated via Adhesion Lithography

    KAUST Repository

    Wyatt-Moon, Gwenhivir

    2017-11-28

    Adhesion lithography (a-Lith) is a versatile fabrication technique used to produce asymmetric coplanar electrodes separated by a <15 nm nanogap. Here, we use a-Lith to fabricate deep ultraviolet (DUV) photodetectors by combining coplanar asymmetric nanogap electrode architectures (Au/Al) with solution-processable wide-band-gap (3.5–3.9 eV) p-type semiconductor copper(I) thiocyanate (CuSCN). Because of the device’s unique architecture, the detectors exhibit high responsivity (≈79 A W–1) and photosensitivity (≈720) when illuminated with a DUV-range (λpeak = 280 nm) light-emitting diode at 220 μW cm–2. Interestingly, the photosensitivity of the photodetectors remains fairly high (≈7) even at illuminating intensities down to 0.2 μW cm–2. The scalability of the a-Lith process combined with the unique properties of CuSCN paves the way to new forms of inexpensive, yet high-performance, photodetectors that can be manufactured on arbitrary substrate materials including plastic.

  17. Lithography-Free Fabrication of Large Area Subwavelength Antireflection Structures Using Thermally Dewetted Pt/Pd Alloy Etch Mask

    Directory of Open Access Journals (Sweden)

    Kang Jeong-Jin

    2009-01-01

    Full Text Available Abstract We have demonstrated lithography-free, simple, and large area fabrication method for subwavelength antireflection structures (SAS to achieve low reflectance of silicon (Si surface. Thin film of Pt/Pd alloy on a Si substrate is melted and agglomerated into hemispheric nanodots by thermal dewetting process, and the array of the nanodots is used as etch mask for reactive ion etching (RIE to form SAS on the Si surface. Two critical parameters, the temperature of thermal dewetting processes and the duration of RIE, have been experimentally studied to achieve very low reflectance from SAS. All the SAS have well-tapered shapes that the refractive index may be changed continuously and monotonously in the direction of incident light. In the wavelength range from 350 to 1800 nm, the measured reflectance of the fabricated SAS averages out to 5%. Especially in the wavelength range from 550 to 650 nm, which falls within visible light, the measured reflectance is under 0.01%.

  18. L-shaped fiber-chip grating couplers with high directionality and low reflectivity fabricated with deep-UV lithography.

    Science.gov (United States)

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Pérez-Galacho, Diego; Guerber, Sylvain; Vakarin, Vladyslav; Marcaud, Guillaume; Le Roux, Xavier; Cassan, Eric; Marris-Morini, Delphine; Cheben, Pavel; Boeuf, Frédéric; Baudot, Charles; Vivien, Laurent

    2017-09-01

    Grating couplers enable position-friendly interfacing of silicon chips by optical fibers. The conventional coupler designs call upon comparatively complex architectures to afford efficient light coupling to sub-micron silicon-on-insulator (SOI) waveguides. Conversely, the blazing effect in double-etched gratings provides high coupling efficiency with reduced fabrication intricacy. In this Letter, we demonstrate for the first time, to the best of our knowledge, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography. We also include a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity, down to 1% (-20  dB). A measured coupling efficiency of -2.7  dB (54%) is achieved, with a bandwidth of 62 nm. These results open promising prospects for the implementation of efficient, robust, and cost-effective coupling interfaces for sub-micrometric SOI waveguides, as desired for large-volume applications in silicon photonics.

  19. Deep Ultraviolet Copper(I) Thiocyanate (CuSCN) Photodetectors Based on Coplanar Nanogap Electrodes Fabricated via Adhesion Lithography

    KAUST Repository

    Wyatt-Moon, Gwenhivir; Georgiadou, Dimitra G; Semple, James; Anthopoulos, Thomas D.

    2017-01-01

    Adhesion lithography (a-Lith) is a versatile fabrication technique used to produce asymmetric coplanar electrodes separated by a <15 nm nanogap. Here, we use a-Lith to fabricate deep ultraviolet (DUV) photodetectors by combining coplanar asymmetric nanogap electrode architectures (Au/Al) with solution-processable wide-band-gap (3.5–3.9 eV) p-type semiconductor copper(I) thiocyanate (CuSCN). Because of the device’s unique architecture, the detectors exhibit high responsivity (≈79 A W–1) and photosensitivity (≈720) when illuminated with a DUV-range (λpeak = 280 nm) light-emitting diode at 220 μW cm–2. Interestingly, the photosensitivity of the photodetectors remains fairly high (≈7) even at illuminating intensities down to 0.2 μW cm–2. The scalability of the a-Lith process combined with the unique properties of CuSCN paves the way to new forms of inexpensive, yet high-performance, photodetectors that can be manufactured on arbitrary substrate materials including plastic.

  20. Large-solid-angle illuminators for extreme ultraviolet lithography with laser plasmas

    International Nuclear Information System (INIS)

    Kubiak, G.D.; Tichenor, D.A.; Sweatt, W.C.; Chow, W.W.

    1995-06-01

    Laser Plasma Sources (LPSS) of extreme ultraviolet radiation are an attractive alternative to synchrotron radiation sources for extreme ultraviolet lithography (EUVL) due to their modularity, brightness, and modest size and cost. To fully exploit the extreme ultraviolet power emitted by such sources, it is necessary to capture the largest possible fraction of the source emission half-sphere while simultaneously optimizing the illumination stationarity and uniformity on the object mask. In this LDRD project, laser plasma source illumination systems for EUVL have been designed and then theoretically and experimentally characterized. Ellipsoidal condensers have been found to be simple yet extremely efficient condensers for small-field EUVL imaging systems. The effects of aberrations in such condensers on extreme ultraviolet (EUV) imaging have been studied with physical optics modeling. Lastly, the design of an efficient large-solid-angle condenser has been completed. It collects 50% of the available laser plasma source power at 14 nm and delivers it properly to the object mask in a wide-arc-field camera

  1. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    Science.gov (United States)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  2. Immersive CAD

    Energy Technology Data Exchange (ETDEWEB)

    Ames, A.L.

    1999-02-01

    This paper documents development of a capability for performing shape-changing editing operations on solid model representations in an immersive environment. The capability includes part- and assembly-level operations, with part modeling supporting topology-invariant and topology-changing modifications. A discussion of various design considerations in developing an immersive capability is included, along with discussion of a prototype implementation we have developed and explored. The project investigated approaches to providing both topology-invariant and topology-changing editing. A prototype environment was developed to test the approaches and determine the usefulness of immersive editing. The prototype showed exciting potential in redefining the CAD interface. It is fun to use. Editing is much faster and friendlier than traditional feature-based CAD software. The prototype algorithms did not reliably provide a sufficient frame rate for complex geometries, but has provided the necessary roadmap for development of a production capability.

  3. Thin film and multilayer optics for XUV spectral domain (1 nm to 60 nm)

    International Nuclear Information System (INIS)

    Delmotte, Franck

    2010-02-01

    The XUV spectral domain (1-60 nm wavelength range) has experienced rapid growth in recent years. On one side, the sources (synchrotron radiation, harmonic generation, x-ray laser, free-electron laser...) require ever more efficient optics, on the other hand, applications (diagnostics of hot plasma, solar physics, x-ray microscopy, EUV lithography, x-ray analysis...) provide new constraints on the design of multilayer stacks. The multilayer mirrors are the only way to achieve efficient optics operating at non-grazing incidence angles in this spectral range. Our work within the team XUV Optics at Laboratoire Charles Fabry de l'Institut d'Optique focuses on the study of materials in thin layers correlated to the study of optical properties of multilayers. The objective is to achieve new multilayer components previously unavailable in the XUV domain, through a better understanding of physical phenomena in these nano-layer stacks. We show through several examples of how we have managed both to improve the performance of multilayer mirrors in a broad spectral range, and secondly, to develop new optical functions: beam splitters, broadband mirrors, dual-band mirrors or phase compensation mirrors. (author)

  4. Immersion in Movement-Based Interaction

    NARCIS (Netherlands)

    Pasch, M.; Bianchi-Berthouze, N.; van Dijk, Elisabeth M.A.G.; Nijholt, Antinus; Nijholt, A.; Reidsma, Dennis; Reidsma, D.; Hondorp, G.H.W.

    2009-01-01

    The phenomenon of immersing oneself into virtual environments has been established widely. Yet to date (to our best knowledge) the physical dimension has been neglected in studies investigating immersion in Human-Computer Interaction (HCI). In this paper we investigate how the concept of immersion

  5. Simulation flow and model verification for laser direct-write lithography

    Science.gov (United States)

    Onanuga, Temitope; Rumler, Maximilian; Erdmann, Andreas

    2017-07-01

    A simulation flow for laser direct-write lithography (LDWL), a maskless lithography process in which a focused laser beam is scanned through a photoresist, is proposed. The simulation flow includes focusing of Gaussian beams, photoresist exposure, free-radical polymerization chemistry of the photoresist, and photoresist development. We applied the simulation method to investigate the scaling of feature sizes or linewidths for a varying number of exposure cycles at a total constant exposure dose. Experimental results from literature demonstrate that exposing the photoresist over multiple exposure cycles causes a reduction in linewidths. We explore possible reasons for this phenomenon and conclude that radical losses occurring between subsequent exposures provide a possible explanation of the observed effects. Furthermore, we apply the developed simulation method to analyze lithographic structures that were fabricated by a combination of LDWL and nanoimprint lithography. The simulation results agree with the experimental tendencies of a reduced likelihood of overexposures with an increase in the number of exposure cycles.

  6. Charge storage in mesoscopic graphitic islands fabricated using AFM bias lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kurra, Narendra; Basavaraja, S; Kulkarni, G U [Chemistry and Physics of Materials Unit and DST Unit on Nanoscience, Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur PO, Bangalore 560 064 (India); Prakash, Gyan; Fisher, Timothy S; Reifenberger, Ronald G, E-mail: kulkarni@jncasr.ac.in, E-mail: reifenbr@purdue.edu [Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States)

    2011-06-17

    Electrochemical oxidation and etching of highly oriented pyrolytic graphite (HOPG) has been achieved using biased atomic force microscopy (AFM) lithography, allowing patterns of varying complexity to be written into the top layers of HOPG. The graphitic oxidation process and the trench geometry after writing were monitored using intermittent contact mode AFM. Electrostatic force microscopy reveals that the isolated mesoscopic islands formed during the AFM lithography process become positively charged, suggesting that they are laterally isolated from the surrounding HOPG substrate. The electrical transport studies of these laterally isolated finite-layer graphitic islands enable detailed characterization of electrical conduction along the c-direction and reveal an unexpected stability of the charged state. Utilizing conducting-atomic force microscopy, the measured I(V) characteristics revealed significant non-linearities. Micro-Raman studies confirm the presence of oxy functional groups formed during the lithography process.

  7. Process informed accurate compact modelling of 14-nm FinFET variability and application to statistical 6T-SRAM simulations

    OpenAIRE

    Wang, Xingsheng; Reid, Dave; Wang, Liping; Millar, Campbell; Burenkov, Alex; Evanschitzky, Peter; Baer, Eberhard; Lorenz, Juergen; Asenov, Asen

    2016-01-01

    This paper presents a TCAD based design technology co-optimization (DTCO) process for 14nm SOI FinFET based SRAM, which employs an enhanced variability aware compact modeling approach that fully takes process and lithography simulations and their impact on 6T-SRAM layout into account. Realistic double patterned gates and fins and their impacts are taken into account in the development of the variability-aware compact model. Finally, global process induced variability and local statistical var...

  8. 3D nanostar dimers with a sub-10-nm gap for single-/few-molecule surface-enhanced raman scattering

    KAUST Repository

    Chirumamilla, Manohar; Toma, Andrea; Gopalakrishnan, Anisha; Das, Gobind; Proietti Zaccaria, Remo; Krahne, Roman; Rondanina, Eliana; Leoncini, Marco; Liberale, Carlo; De Angelis, Francesco De; Di Fabrizio, Enzo M.

    2014-01-01

    Plasmonic nanostar-dimers, decoupled from the substrate, have been fabricated by combining electron-beam lithography and reactive-ion etching techniques. The 3D architecture, the sharp tips of the nanostars and the sub-10 nm gap size promote the formation of giant electric-field in highly localized hot-spots. The single/few molecule detection capability of the 3D nanostar-dimers has been demonstrated by Surface-Enhanced Raman Scattering. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. 3D nanostar dimers with a sub-10-nm gap for single-/few-molecule surface-enhanced raman scattering

    KAUST Repository

    Chirumamilla, Manohar

    2014-01-22

    Plasmonic nanostar-dimers, decoupled from the substrate, have been fabricated by combining electron-beam lithography and reactive-ion etching techniques. The 3D architecture, the sharp tips of the nanostars and the sub-10 nm gap size promote the formation of giant electric-field in highly localized hot-spots. The single/few molecule detection capability of the 3D nanostar-dimers has been demonstrated by Surface-Enhanced Raman Scattering. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Report of the fifth workshop on synchrotron x-ray lithography

    International Nuclear Information System (INIS)

    Williams, G.P.; Godel, J.B.; Brown, G.S.

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the ''Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources

  11. Report of the fifth workshop on synchrotron x-ray lithography

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G.P.; Godel, J.B. (Brookhaven National Lab., Upton, NY (USA)); Brown, G.S. (Stanford Univ., CA (USA). Stanford Synchrotron Radiation Lab.); Liebmann, W. (Suss (Karl) America, Essex Junction, VT (USA))

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources.

  12. Report on the fifth workshop on synchrotron x ray lithography

    Science.gov (United States)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  13. Large-area soft x-ray projection lithography using multilayer mirrors structured by RIE

    Science.gov (United States)

    Rahn, Steffen; Kloidt, Andreas; Kleineberg, Ulf; Schmiedeskamp, Bernt; Kadel, Klaus; Schomburg, Werner K.; Hormes, F. J.; Heinzmann, Ulrich

    1993-01-01

    SXPL (soft X-ray projection lithography) is one of the most promising applications of X-ray reflecting optics using multilayer mirrors. Within our collaboration, such multilayer mirrors were fabricated, characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors were produced by electron beam evaporation in UHV under thermal treatment with an in-situ X-ray controlled thickness in the region of 2d equals 14 nm. The reflectivities measured at normal incidence reached up to 54%. Various surface analysis techniques have been applied in order to characterize and optimize the X-ray mirrors. The multilayers were patterned by reactive ion etching (RIE) with CF(subscript 4), using a photoresist as the etch mask, thus producing X-ray reflection masks. The masks were tested in the synchrotron radiation laboratory of the electron accelerator ELSA at the Physikalisches Institut of Bonn University. A double crystal X-ray monochromator was modified so as to allow about 0.5 cm(superscript 2) of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto the resist (Hoechst AZ PF 514), which was mounted at an average distance of about 7 mm. In the first test-experiments, structure sizes down to 8 micrometers were nicely reproduced over the whole of the exposed area. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  14. Manipulation and in situ transmission electron microscope characterization of sub-100 nm nanostructures using a microfabricated nanogripper

    International Nuclear Information System (INIS)

    Cagliani, Alberto; Wierzbicki, Rafal; Petersen, Dirch Hjorth; Dyvelkov, Karin Nordstrøm; Sardan Sukas, Özlem; Booth, Tim; Bøggild, Peter; Occhipinti, Luigi; Herstrøm, Berit G

    2010-01-01

    We present here a polysilicon electrothermal microfabricated nanogripper capable of manipulating nanowires and nanotubes in the sub-100 nm range. The nanogripper was fabricated with a mix and match microfabrication process, combining high throughput of photolithography with 10 nm resolution of electron beam lithography. Vertically grown III–V nanowires with a diameter of 70 nm were picked up using the nanogripper, allowing direct transfer of the nanogripper-nanowire ensemble into a transmission electron microscope (TEM) for structural characterization. By refining the end-effectors with focused ion beam milling and subsequently coating these with Au, the nanogripper could lift up laterally aligned single-walled carbon nanotubes from a 1 µm wide trench, while immediately making good electrical contact. One such carbon nanotube was structurally and electrically characterized real-time in TEM, showing a breakdown current density of approximately 0.5 × 10 12 Am −2 . The nanogripper is the smallest microfabricated gripper to date and is the first tool showing repeatable, 3D nanomanipulation of sub-100 nm structures.

  15. Low Cost Lithography Tool for High Brightness LED Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Andrew Hawryluk; Emily True

    2012-06-30

    The objective of this activity was to address the need for improved manufacturing tools for LEDs. Improvements include lower cost (both capital equipment cost reductions and cost-ofownership reductions), better automation and better yields. To meet the DOE objective of $1- 2/kilolumen, it will be necessary to develop these highly automated manufacturing tools. Lithography is used extensively in the fabrication of high-brightness LEDs, but the tools used to date are not scalable to high-volume manufacturing. This activity addressed the LED lithography process. During R&D and low volume manufacturing, most LED companies use contact-printers. However, several industries have shown that these printers are incompatible with high volume manufacturing and the LED industry needs to evolve to projection steppers. The need for projection lithography tools for LED manufacturing is identified in the Solid State Lighting Manufacturing Roadmap Draft, June 2009. The Roadmap states that Projection tools are needed by 2011. This work will modify a stepper, originally designed for semiconductor manufacturing, for use in LED manufacturing. This work addresses improvements to yield, material handling, automation and throughput for LED manufacturing while reducing the capital equipment cost.

  16. Flexible and disposable plasmonic refractive index sensor using nanoimprint lithography

    Science.gov (United States)

    Mohapatra, Saswat; Moirangthem, Rakesh S.

    2018-03-01

    Nanostructure based plasmonic sensors are highly demanding in various areas due to their label-free and real-time detection capability. In this work, we developed an inexpensive flexible plasmonic sensor using optical disc nanograting via soft UV-nanoimprint lithography (UV-NIL). The polydimethylsiloxane (PDMS) stamp was used to transfer the nanograting structure from digital versatile discs (DVDs) to flexible and transparent polyethylene terephthalate (PET) substrate. Further, the plasmonic sensing substrate was obtained after coating a gold thin film on the top of the imprinted sample. The surface plasmon resonance (SPR) modes excited on gold coated nanograting structure appeared as a dip in the reflectance spectra measured at normal incident of white light in ambient air medium. Electromagnetic simulation based on finite element method (FEM) was used to understand and analyze the excited SPR modes and it is a very close agreement with the experimental results. The bulk refractive index (RI) sensing was performed by the sensor chip using water-glycerol mixture with different concentrations. Experimentally, the bulk RI sensitivity was found to be 797+/-17 nm/RIU.

  17. Multi-level single mode 2D polymer waveguide optical interconnects using nano-imprint lithography

    NARCIS (Netherlands)

    Khan, M.U.; Justice, J.; Petäjä, J.; Korhonen, T.; Boersma, A.; Wiegersma, S.; Karppinen, M.; Corbett, B.

    2015-01-01

    Single and multi-layer passive optical interconnects using single mode polymer waveguides are demonstrated using UV nano-imprint lithography. The fabrication tolerances associated with imprint lithography are investigated and we show a way to experimentally quantify a small variation in index

  18. Expanding the printable design space for lithography processes utilizing a cut mask

    Science.gov (United States)

    Wandell, Jerome; Salama, Mohamed; Wilkinson, William; Curtice, Mark; Feng, Jui-Hsuan; Gao, Shao Wen; Asthana, Abhishek

    2016-03-01

    The utilization of a cut-mask in semiconductor patterning processes has been in practice for logic devices since the inception of 32nm-node devices, notably with unidirectional gate level printing. However, the microprocessor applications where cut-mask patterning methods are used are expanding as Self-Aligned Double Patterning (SADP) processes become mainstream for 22/14nm fin diffusion, and sub-14nm metal levels. One common weakness for these types of lithography processes is that the initial pattern requiring the follow-up cut-mask typically uses an extreme off-axis imaging source such as dipole to enhance the resolution and line-width roughness (LWR) for critical dense patterns. This source condition suffers from poor process margin in the semi-dense (forbidden pitch) realm and wrong-way directional design spaces. Common pattern failures in these limited design regions include bridging and extra-printing defects that are difficult to resolve with traditional mask improvement means. This forces the device maker to limit the allowable geometries that a designer may use on a device layer. This paper will demonstrate methods to expand the usable design space on dipole-like processes such as unidirectional gate and SADP processes by utilizing the follow-up cut mask to improve the process window. Traditional mask enhancement means for improving the process window in this design realm will be compared to this new cut-mask approach. The unique advantages and disadvantages of the cut-mask solution will be discussed in contrast to those customary methods.

  19. 32nm and below logic patterning using optimized illumination and double patterning

    Science.gov (United States)

    Smayling, Michael C.; Axelrad, Valery

    2009-03-01

    Line/space dimensions for 32nm generation logic are expected to be ~45-50nm at ~90-100nm pitch. It is likely that the node will begin at the upper end of the range, and then shrink by ~10% to a "28nm" node. For the lower end of the range, even with immersion scanners, the Rayleigh k1 factor is below 0.32. The 22nm logic node should begin with minimum pitches of approximately 70nm, requiring some form of double patterning to maintain k1 above 0.25. Logic patterning has been more difficult than NAND Flash patterning because random logic was designed with complete "freedom" compared to the very regular patterns used in memory. The logic layouts with bends and multiple pitches resulted in larger rules, un-optimized illumination, and a poorly understood process windows with little control of context-dependent "hot spots."[1] The introduction of logic design styles which use strictly one-directional lines for the critical levels now gives the opportunity for illumination optimization. Gridded Design Rules (GDR) have been demonstrated to give areacompetitive layouts at existing 90, 65, and 45nm logic nodes while reducing CD variability.[2] These benefits can be extended to <=32nm logic using selective double pass patterning.

  20. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    International Nuclear Information System (INIS)

    Li Yi-Gui; Yang Chun-Sheng; Liu Jing-Quan; Sugiyama Susumu

    2011-01-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm 2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost. (cross-disciplinary physics and related areas of science and technology)

  1. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    Science.gov (United States)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  2. Immersion Revisited: A Review of Existing Definitions of Immersion and Their Relation to Different Theories of Presence

    Directory of Open Access Journals (Sweden)

    Niels Christian Nilsson

    2016-11-01

    Full Text Available The term immersion continues to be applied inconsistently within and across different fields of research connected with the study of virtual reality and interactive media. Moreover, immersion is oftentimes used interchangeably with the terms presence and engagement. This article details a review of existing definitions of immersion originating within the study of video games, virtual environments, and literary works of fiction. Based on this review, a three-dimensional taxonomy of the various conceptualizations of immersion is proposed. That is, the existing definitions of immersion may be broadly divided into three categories, each representing a dimension of the taxonomy: immersion as a property of a system, a subjective response to narrative contents, or a subjective response to challenges within the virtual environment. Finally, four distinct theories of presence are introduced and, based on the established taxonomy, we discuss how the individual theories relate to existing definitions of immersion.

  3. Reflective masks for extreme ultraviolet lithography

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khanh Bao [Univ. of California, Berkeley, CA (United States)

    1994-05-01

    Extreme ultraviolet lithographic masks are made by patterning multilayer reflective coatings with high normal incidence reflectivity. Masks can be patterned by depositing a patterned absorber layer above the coating or by etching the pattern directly into the coating itself. Electromagnetic simulations showed that absorber-overlayer masks have superior imaging characteristics over etched masks (less sensitive to incident angles and pattern profiles). In an EUVL absorber overlayer mask, defects can occur in the mask substrate, reflective coating, and absorber pattern. Electromagnetic simulations showed that substrate defects cause the most severe image degradation. A printability study of substrate defects for absorber overlayer masks showed that printability of 25 nm high substrate defects are comparable to defects in optical lithography. Simulations also indicated that the manner in which the defects are covered by multilayer reflective coatings can affect printability. Coverage profiles that result in large lateral spreading of defect geometries amplify the printability of the defects by increasing their effective sizes. Coverage profiles of Mo/Si coatings deposited above defects were studied by atomic force microscopy and TEM. Results showed that lateral spread of defect geometry is proportional to height. Undercut at defect also increases the lateral spread. Reductions in defect heights were observed for 0.15 μm wide defect lines. A long-term study of Mo/Si coating reflectivity revealed that Mo/Si coatings with Mo as the top layer suffer significant reductions in reflectivity over time due to oxidation.

  4. Immersion Refractometry of Isolated Bacterial Cell Walls

    Science.gov (United States)

    Marquis, Robert E.

    1973-01-01

    Immersion-refractometric and light-scattering measurements were adapted to determinations of average refractive indices and physical compactness of isolated bacterial cell walls. The structures were immersed in solutions containing various concentrations of polymer molecules that cannot penetrate into wall pores, and then an estimate was made of the polymer concentration or the refractive index of the polymer solution in which light scattering was reduced to zero. Because each wall preparation was heterogeneous, the refractive index of the medium for zero light scattering had to be estimated by extrapolation. Refractive indices for walls suspended in bovine serum albumin solutions ranged from 1.348 for walls of the rod form of Arthrobacter crystallopoietes to 1.382 for walls of the teichoic acid deficient, 52A5 strain of Staphylococcus aureus. These indices were used to calculate approximate values for solids content per milliliter, and the calculated values agreed closely with those estimated from a knowledge of dextran-impermeable volumes per gram, dry weight, of the walls. When large molecules such as dextrans or serum albumin were used for immersion refractometry, the refractive indices obtained were for entire walls, including both wall polymers and wall water. When smaller molecules that can penetrate wall pores to various extents were used with Micrococcus lysodeikticus walls, the average, apparent refractive index of the structures increased as the molecular size of probing molecules was decreased. It was possible to obtain an estimate of 1.45 to 1.46 for the refractive index of wall polymers, predominantly peptidoglycans in this case, by extrapolating the curve for refractive index versus molecular radius to a value of 0.2 nm, the approximate radius of a water molecule. This relatively low value for polymer refractive index was interpreted as evidence in favor of the amorphous, elastic model of peptidoglycan structure and against the crystalline, rigid

  5. Electron multibeam technology for mask and wafer writing at 0.1 nm address grid

    Science.gov (United States)

    Platzgummer, Elmar; Klein, Christof; Loeschner, Hans

    2013-07-01

    IMS Nanofabrication realized a 50 keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1 nm address grid and lithography performance capability. The POC system achieves the predicted 5 nm 1 sigma blur across the 82 μm×82 μm array of 512×512 (262,144) programmable 20 nm beams. 24-nm half pitch (HP) has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11-nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta), and first-generation high-volume manufacturing multibeam mask writer (MBMW) tools in 2016. In these MBMW systems the max beam current through the column is 1 μA. The new architecture has also the potential for 1× mask (master template) writing. Substantial further developments are needed for maskless e-beam direct write (EBDW) applications as a beam current of >2 mA is needed to achieve 100 wafer per hour industrial targets for 300 mm wafer size. Necessary productivity enhancements of more than three orders of magnitude are only possible by shrinking the multibeam optics such that 50 to 100 subcolumns can be placed on the area of a 300 mm wafer and by clustering 10 to 20 multicolumn tools. An overview of current EBDW efforts is provided.

  6. Near-field optical recording based on solid immersion lens system

    Science.gov (United States)

    Hong, Tao; Wang, Jia; Wu, Yan; Li, Dacheng

    2002-09-01

    Near-field optical recording based on solid immersion lens (SIL) system has attracted great attention in the field of high-density data storage in recent years. The diffraction limited spot size in optical recording and lithography can be decreased by utilizing the SIL. The SIL near-field optical storage has advantages of high density, mass storage capacity and compatibility with many technologies well developed. We have set up a SIL near-field static recording system. The recording medium is placed on a 3-D scanning stage with the scanning range of 70×70×70μm and positioning accuracy of sub-nanometer, which will ensure the rigorous separation control in SIL system and the precision motion of the recording medium. The SIL is mounted on an inverted microscope. The focusing between long working distance objective and SIL can be monitored and observed by the CCD camera and eyes. Readout signal can be collected by a detector. Some experiments have been performed based on the SIL near-field recording system. The attempt of the near-field recording on photochromic medium has been made and the resolution improvement of the SIL has been presented. The influence factors in SIL near-field recording system are also discussed in the paper.

  7. Deep-etch x-ray lithography at the ALS: First results

    Energy Technology Data Exchange (ETDEWEB)

    Malek, C.K.; Jackson, K.H. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States); Brennen, R.A. [Jet Propulsion Lab., Pasadena, CA (United States)] [and others

    1997-04-01

    The fabrication of high-aspect-ratio and three-dimensional (3D) microstructures is of increasing interest in a multitude of applications in fields such as micromechanics, optics, and interconnect technology. Techniques and processes that enable lithography in thick materials differ from the planar technologies used in standard integrated circuit processing. Deep x-ray lithography permits extremely precise and deep proximity printing of a given pattern from a mask into a very thick resist. It requires a source of hard, intense, and well collimated x-ray radiation, as is provided by a synchrotron radiation source. The thick resist microstructures, so produced can be used as templates from which ultrahigh precision parts with high aspect ratios can be mass-produced out of a large variety of materials (metals, plastics, ceramics). This whole series of techniques and processes has been historically referred to as {open_quotes}LIGA,{close_quotes} from the German acronym for lithography, electroforming (Galvanoformung), and plastic molding (Abformung), the first development of the basic LIGA process having been performed at the Nuclear Research Center at Karlsruhe in Germany.

  8. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    Science.gov (United States)

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  9. Fluorescent layers for characterization of sectioning microscopy with coverslipuncorrected and water immersion objectives

    KAUST Repository

    Antonini, Andrea; Liberale, Carlo; Fellin, Tommaso

    2014-01-01

    We describe a new method to generate thin (thickness > 200 nm) and ultrathin (thickness < 200 nm) fluorescent layers to be used for microscope optical characterization. These layers are obtained by ultramicrotomy sectioning of fluorescent acrylic slides. This technique generates sub-resolution sheets with high fluorescence emission and uniform thickness, permitting to determine the z-response of different optical sectioning systems. Compared to the state of the art, the here proposed technique allows shorter and easier manufacturing procedure. Moreover, these fluorescent layers can be employed without protective coverslips, allowing the use of the Sectioned Imaging Property (SIP)-chart characterization method with coverslip-uncorrected objectives, water immersion objectives and micro-endoscopes. © 2014 Optical Society of America.

  10. Spin wave eigenmodes in single and coupled sub-150 nm rectangular permalloy dots

    Energy Technology Data Exchange (ETDEWEB)

    Carlotti, G., E-mail: giovanni.carlotti@fisica.unipg.it; Madami, M. [Dipartimento di Fisica e Geologia, Università di Perugia, Perugia (Italy); Tacchi, S. [Istituto Officina dei Materiali del CNR (CNR-IOM), Dipartimento di Fisica e Geologia, Perugia (Italy); Gubbiotti, G.; Dey, H.; Csaba, G.; Porod, W. [Center for Nano Science and Technology, Department of Electrical Engineering, University of Notre Dame, Notre Dame, Indiana 46556 (United States)

    2015-05-07

    We present the results of a Brillouin light scattering investigation of thermally excited spin wave eigenmodes in square arrays of either isolated rectangular dots of permalloy or twins of dipolarly coupled elements, placed side-by-side or head-to-tail. The nanodots, fabricated by e-beam lithography and lift-off, are 20 nm thick and have the major size D in the range between 90 nm and 150 nm. The experimental spectra show the presence of two main peaks, corresponding to modes localized either at the edges or in the center of the dots. Their frequency dependence on the dot size and on the interaction with adjacent elements has been measured and successfully interpreted on the basis of dynamical micromagnetic simulations. The latter enabled us also to describe the spatial profile of the eigenmodes, putting in evidence the effects induced by the dipolar interaction between coupled dots. In particular, in twinned dots the demagnetizing field is appreciably modified in proximity of the “internal edges” if compared to the “external” ones, leading to a splitting of the edge mode. These results can be relevant for the exploitation of sub-150 nm magnetic dots in new applications, such as magnonic metamaterials, bit-patterned storage media, and nano-magnetic logic devices.

  11. Metal layer mask patterning by force microscopy lithography

    International Nuclear Information System (INIS)

    Filho, H.D. Fonseca; Mauricio, M.H.P.; Ponciano, C.R.; Prioli, R.

    2004-01-01

    The nano-lithography of a metallic surface in air by atomic force microscopy while operated in contact mode and equipped with a diamond tip is presented. The aluminum mask was prepared by thermal deposition on arsenic sulfide films. The analysis of the scratches performed by the tip on the metallic mask show that the depth of the lithographed pattern increases with the increase of the applied normal force. The scanning velocity is also shown to influence the AFM patterning process. As the scanning velocity increases, the scratch depth and width decreases. Nano-indentations performed with the diamond tip show that the plastically deformed surface increases with the increase of the duration of the applied force. The use of the nano-lithography method to create nano-structures is discussed

  12. V-groove plasmonic waveguides fabricated by nanoimprint lithography

    DEFF Research Database (Denmark)

    Fernandez-Cuesta, I.; Nielsen, R.B.; Boltasseva, Alexandra

    2007-01-01

    Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication of integra...... of integrated optical devices composed of metal V grooves. This method represents an improvement with respect to previous works, where the V grooves were fabricated by direct milling of the metal, in terms of robustness and throughput. © 2007 American Vacuum Society......Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication...

  13. Automatic detection of photoresist residual layer in lithography using a neural classification approach

    KAUST Repository

    Gereige, Issam

    2012-09-01

    Photolithography is a fundamental process in the semiconductor industry and it is considered as the key element towards extreme nanoscale integration. In this technique, a polymer photo sensitive mask with the desired patterns is created on the substrate to be etched. Roughly speaking, the areas to be etched are not covered with polymer. Thus, no residual layer should remain on these areas in order to insure an optimal transfer of the patterns on the substrate. In this paper, we propose a nondestructive method based on a classification approach achieved by artificial neural network for automatic residual layer detection from an ellipsometric signature. Only the case of regular defect, i.e. homogenous residual layer, will be considered. The limitation of the method will be discussed. Then, an experimental result on a 400 nm period grating manufactured with nanoimprint lithography is analyzed with our method. © 2012 Elsevier B.V. All rights reserved.

  14. Cones fabricated by 3D nanoimprint lithography for highly sensitive surface enhanced Raman spectroscopy

    International Nuclear Information System (INIS)

    Wu Wei; Hu Min; Ou Fungsuong; Li Zhiyong; Williams, R Stanley

    2010-01-01

    We demonstrated a cost-effective and deterministic method of patterning 3D cone arrays over a large area by using nanoimprint lithography (NIL). Cones with tip radius of less than 10 nm were successfully duplicated onto the UV-curable imprint resist materials from the silicon cone templates. Such cone structures were shown to be a versatile platform for developing reliable, highly sensitive surface enhanced Raman spectroscopy (SERS) substrates. In contrast to the silicon nanocones, the SERS substrates based on the Au coated cones made by the NIL offered significant improvement of the SERS signal. A further improvement of the SERS signal was observed when the polymer cones were imprinted onto a reflective metallic mirror surface. A sub-zeptomole detection sensitivity for a model molecule, trans-1,2-bis(4-pyridyl)-ethylene (BPE), on the Au coated NIL cone surfaces was achieved.

  15. Soft X-ray microscopy and lithography with synchrotron radiation

    International Nuclear Information System (INIS)

    Gudat, W.

    1977-12-01

    Considerable progress in the technique microscopy with soft X-ray radiation has been achieved in particular through the application of synchrotron radiation. Various methods which are currently being studied theoretically or already being used practically will be described briefly. Attention is focussed on the method of contact microscopy. Various biological specimens have been investigated by this method with a resolution as good as 100 A. X-ray lithography which in the technical procedure is very similar to contact microscopy gives promise for the fabrication of high quality submicron structures in electronic device production. Important factors limiting the resolution and determining the performance of contact microscopy and X-ray lithography will be discussed. (orig.) [de

  16. Critical dimension and pattern size enhancement using pre-strained lithography

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Jian-Wei [Department of Power Mechanical Engineering, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Yang, Chung-Yuan [Institute of NanoEngineering and MicroSystems, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Lo, Cheng-Yao, E-mail: chengyao@mx.nthu.edu.tw [Department of Power Mechanical Engineering, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Institute of NanoEngineering and MicroSystems, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China)

    2014-10-13

    This paper proposes a non-wavelength-shortening-related critical dimension and pattern size reduction solution for the integrated circuit industry that entails generating strain on the substrate prior to lithography. Pattern size reduction of up to 49% was achieved regardless of shape, location, and size on the xy plane, and complete theoretical calculations and process steps are described in this paper. This technique can be applied to enhance pattern resolution by employing materials and process parameters already in use and, thus, to enhance the capability of outdated lithography facilities, enabling them to particularly support the manufacturing of flexible electronic devices with polymer substrates.

  17. Investigation of the physics of diamond MEMS : diamond allotrope lithography

    International Nuclear Information System (INIS)

    Zalizniak, I.; Olivero, P.; Jamieson, D.N.; Prawer, S.; Reichart, P.; Rubanov, S.; Petriconi, S.

    2005-01-01

    We propose a novel lithography process in which ion induced phase transfomations of diamond form sacrificial layers allowing the fabrication of small structures including micro-electromechanical systems (MEMS). We have applied this novel lithography to the fabrication of diamond microcavities, cantilevers and optical waveguides. In this paper we present preliminary experiments directed at the fabrication of suspended diamond disks that have the potential for operation as optical resonators. Such structures would be very durable and resistant to chemical attack with potential applications as novel sensors for extreme environments or high temperature radiation detectors. (author). 3 refs., 3 figs

  18. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    Science.gov (United States)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (industries and opening opportunities in nanomanufacturing.

  19. Nano-LED array fabrication suitable for future single photon lithography

    International Nuclear Information System (INIS)

    Mikulics, M; Hardtdegen, H

    2015-01-01

    We report on an alternative illumination concept for a future lithography based on single-photon emitters and important technological steps towards its implementation. Nano light-emitting diodes (LEDs) are chosen as the photon emitters. First, the development of their fabrication and their integration technology is presented, then their optical characteristics assessed. Last, size-controlled nano-LEDs, well positioned in an array, are electrically driven and utilized for illumination. Nanostructures are lithographically formed, demonstrating the feasibility of the approach. The potential of single-photon lithography to reach the ultimate scale limits in mass production is discussed. (paper)

  20. Seamless-merging-oriented parallel inverse lithography technology

    International Nuclear Information System (INIS)

    Yang Yiwei; Shi Zheng; Shen Shanhu

    2009-01-01

    Inverse lithography technology (ILT), a promising resolution enhancement technology (RET) used in next generations of IC manufacture, has the capability to push lithography to its limit. However, the existing methods of ILT are either time-consuming due to the large layout in a single process, or not accurate enough due to simply block merging in the parallel process. The seamless-merging-oriented parallel ILT method proposed in this paper is fast because of the parallel process; and most importantly, convergence enhancement penalty terms (CEPT) introduced in the parallel ILT optimization process take the environment into consideration as well as environmental change through target updating. This method increases the similarity of the overlapped area between guard-bands and work units, makes the merging process approach seamless and hence reduces hot-spots. The experimental results show that seamless-merging-oriented parallel ILT not only accelerates the optimization process, but also significantly improves the quality of ILT.

  1. Embedding Versus Immersion in General Relativity

    OpenAIRE

    Monte, Edmundo M.

    2009-01-01

    We briefly discuss the concepts of immersion and embedding of space-times in higher-dimensional spaces. We revisit the classical work by Kasner in which he constructs a model of immersion of the Schwarzschild exterior solution into a six-dimensional pseudo-Euclidean manifold. We show that, from a physical point of view, this model is not entirely satisfactory since the causal structure of the immersed space-time is not preserved by the immersion.

  2. Material design of negative-tone polyphenol resist for EUV and EB lithography

    Science.gov (United States)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  3. Integration of multiple theories for the simulation of laser interference lithography processes.

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  4. Tunable atomic force microscopy bias lithography on electron beam induced carbonaceous platforms

    Directory of Open Access Journals (Sweden)

    Narendra Kurra

    2013-09-01

    Full Text Available Tunable local electrochemical and physical modifications on the carbonaceous platforms are achieved using Atomic force microscope (AFM bias lithography. These carbonaceous platforms are produced on Si substrate by the technique called electron beam induced carbonaceous deposition (EBICD. EBICD is composed of functionalized carbon species, confirmed through X-ray photoelectron spectroscopy (XPS analysis. AFM bias lithography in tapping mode with a positive tip bias resulted in the nucleation of attoliter water on the EBICD surface under moderate humidity conditions (45%. While the lithography in the contact mode with a negative tip bias caused the electrochemical modifications such as anodic oxidation and etching of the EBICD under moderate (45% and higher (60% humidity conditions respectively. Finally, reversible charge patterns are created on these EBICD surfaces under low (30% humidity conditions and investigated by means of electrostatic force microscopy (EFM.

  5. Integration of multiple theories for the simulation of laser interference lithography processes

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  6. 3D Simulation of Nano-Imprint Lithography

    DEFF Research Database (Denmark)

    Román Marín, José Manuel; Rasmussen, Henrik K.; Hassager, Ole

    2010-01-01

    A proof of concept study of the feasibility of fully three-dimensional (3D) time-dependent simulation of nano-imprint lithography of polymer melt, where the polymer is treated as a structured liquid, has been presented. Considering the flow physics of the polymer as a structured liquid, we have...

  7. Complete spacelike immersions with topology

    International Nuclear Information System (INIS)

    Harris, S.G.

    1988-01-01

    A fairly large class of Lorentz manifolds is defined, called WH normal manifolds, which are approximately those for which timelike infinity is a single point. It is shown that, in such a space, an immersed spacelike hypersurface which is complete must, if it is self-intersecting, not achronal or proper, satisfy strong topological conditions; in particular, if the immersion is injective in the fundamental group, then the hypersurface must be closed, embedded and achronal (i.e. a partial Cauchy surface). WH normal spaces include products of any Riemannian manifold with Minkowski 1-space; in such space, a complete immersed spacelike hypersurface must be immersed as a covering space for the Riemannian factor. (author)

  8. Laser interference lithography with highly accurate interferometric alignment

    NARCIS (Netherlands)

    van Soest, Frank J.; van Wolferen, Hendricus A.G.M.; Hoekstra, Hugo; de Ridder, R.M.; Worhoff, Kerstin; Lambeck, Paul

    It is shown experimentally that in laser interference lithography, by using a reference grating, respective grating layers can be positioned with high relative accuracy. A 0.001 degree angular and a few nanometers lateral resolution have been demonstrated.

  9. Wafer-shape metrics based foundry lithography

    Science.gov (United States)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  10. Fabrication of sub-15 nm aluminum wires by controlled etching

    International Nuclear Information System (INIS)

    Morgan-Wall, T.; Hughes, H. J.; Hartman, N.; Marković, N.; McQueen, T. M.

    2014-01-01

    We describe a method for the fabrication of uniform aluminum nanowires with diameters below 15 nm. Electron beam lithography is used to define narrow wires, which are then etched using a sodium bicarbonate solution, while their resistance is simultaneously measured in-situ. The etching process can be stopped when the desired resistance is reached, and can be restarted at a later time. The resulting nanowires show a superconducting transition as a function of temperature and magnetic field that is consistent with their smaller diameter. The width of the transition is similar to that of the lithographically defined wires, indicating that the etching process is uniform and that the wires are undamaged. This technique allows for precise control over the normal state resistance and can be used to create a variety of aluminum nanodevices

  11. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers

    Directory of Open Access Journals (Sweden)

    Cheng Huang

    2012-09-01

    Full Text Available A rapid and cost-effective lithographic method, polymer blend lithography (PBL, is reported to produce patterned self-assembled monolayers (SAM on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity, the molar mass of the polystyrene (PS and poly(methyl methacrylate (PMMA, and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix can be reproducibly induced. Either of the formed phases (PS or PMMA can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This “monolayer copy” of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS and (3-aminopropyltriethoxysilane (APTES, and at the same time featuring regions of bare SiOx. The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures.

  12. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers.

    Science.gov (United States)

    Huang, Cheng; Moosmann, Markus; Jin, Jiehong; Heiler, Tobias; Walheim, Stefan; Schimmel, Thomas

    2012-01-01

    A rapid and cost-effective lithographic method, polymer blend lithography (PBL), is reported to produce patterned self-assembled monolayers (SAM) on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity), the molar mass of the polystyrene (PS) and poly(methyl methacrylate) (PMMA), and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix) can be reproducibly induced. Either of the formed phases (PS or PMMA) can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This "monolayer copy" of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity) at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS) and (3-aminopropyl)triethoxysilane (APTES), and at the same time featuring regions of bare SiO(x). The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures [1].

  13. Study on wetting properties of periodical nanopatterns by a combinative technique of photolithography and laser interference lithography

    KAUST Repository

    Yang, Yung-Lang

    2010-03-01

    This study presents the wetting properties, including hydrophilicity, hydrophobicity and anisotropic behavior, of water droplets on the silicon wafer surface with periodical nanopatterns and hierarchical structures. This study fabricates one- and two-dimensional periodical nanopatterns using laser interference lithography (LIL). The fabrication of hierarchical structures was effectively achieved by combining photolithography and LIL techniques. Unlike conventional fabrication methods, the LIL technique is mainly used to control the large-area design of periodical nanopatterns in this study. The minimum feature size for each nanopattern is 100 nm. This study shows that the wetting behavior of one-dimensional, two-dimensional, and hierarchical patterns can be obtained, benefiting the development of surface engineering for microfluidic systems. © 2010 Elsevier B.V. All rights reserved.

  14. The immersion freezing behavior of size-segregated soot and kaolinite particles

    Science.gov (United States)

    Hartmann, S.; Augustin, S.; Clauss, T.; Niedermeier, D.; Raddatz, M.; Wex, H.; Shaw, R. A.; Stratmann, F.

    2011-12-01

    Heterogeneous ice nucleation plays a crucial role for ice formation in mixed-phase and cirrus clouds and has an important impact on precipitation formation, global radiation balances, and therefore Earth's climate (Cantrell and Heymsfield, 2005). Mineral dust and soot particles are found to be a major component of ice crystal residues (e.g., Pratt et al., 2009) so these substances are potential sources of atmospheric ice nuclei (IN). Experimental studies investigating the immersion freezing behavior of size-segregated soot and kaolinite particles conducted at the Leipzig Aerosol Cloud Interaction Simulator (LACIS) are presented. In our measurements only one aerosol particle is immersed in an air suspended water droplet which can trigger ice nucleation. The method facilitates very precise examinations with respect to temperature, ice nucleation time and ice nucleus size. Considering laboratory studies, the picture of the IN ability of soot particles is quite heterogeneous. Our studies show that submicron flame, spark soot particles and optionally coated with sulfuric acid to simulate chemically aging do not act as IN at temperatures higher than homogeneous freezing taking place. Therefore soot particles might not be an important source of IN for immersion freezing in the atmosphere. In contrast, kaolinite being representative for natural mineral dust with a well known composition and structure is found to be very active in forming ice for all freezing modes (e.g., Mason and Maybank, 1958). Analyzing the immersion freezing behavior of different sized kaolinite particles (300, 500 and 700 nm in diameter) the size effect was clearly observed, i.e. the ice fraction (number of frozen droplets per total number) scales with particle surface, i.e. the larger the ice nucleus surface the higher the ice fraction. The slope of the logarithm of the ice fraction as function of temperature is similar for all particle sizes investigated and fits very well with the results of L

  15. Influence of surface sealant on the translucency of composite resin: effect of immersion time and immersion media

    Directory of Open Access Journals (Sweden)

    Patrícia Petromilli Nordi Sasso Garcia

    2008-06-01

    Full Text Available This study evaluated the effect of surface sealant on the translucency of composite resin immersed in different solutions. The study involved the following materials: Charisma, Fortify and coffee, Coca-Cola®, tea and artificial saliva as solutions. Sixty-four specimens (n = 8 were manufactured and immersed in artificial saliva at 37 ± 1 °C. Samples were immersed in the solutions for three times a day and re-immersed in artificial saliva until the translucency readings. The measurements were carried out at nine times: T1 - 24 hours after specimen preparation, T2 - 24 hours after immersion in the solutions, T3 - 48 hours and T4 to T9 - 7, 14, 21, 30, 60 and 90 days, respectively, after immersion. The translucency values were measured using a JOUAN device. The results were subjected to ANOVA and Tukey's test at 5%. The surface sealant was not able to protect the composite resin against staining, the coffee showed the strongest staining action, followed by tea and regarding immersion time, a significant alteration was noted in the translucency of composite resin after 21 days.

  16. The use of immersion calorimetry in the determination of micropore distribution of carbons in the course of activation

    OpenAIRE

    Kraehenbuehl, F.; Stoeckli, Fritz; Addoun, A.; Ehrburger, P.; Donnet, J. B.

    2007-01-01

    The combination of gas-solid adsorption experiments with immersion calorimetry of carbons into liquids of increasing molecular dimensions leads to accurate micropore distributions in the range 0.4-0.8 nm. This technique is used to study the development of the micropore structure during activation of carbons with CO2 or KOH.

  17. Cardiovascular regulation during water immersion.

    Science.gov (United States)

    Park, K S; Choi, J K; Park, Y S

    1999-11-01

    Head-out water immersion at thermoneutral temperature (34-35 degrees C) increases cardiac output for a given O2 consumption, leading to a relative hyperperfusion of peripheral tissues. To determine if subjects immersed in water at a colder temperature show similar responses and to explore the significance of the hyperperfusion, cardiovascular functions were investigated (impedance cardiography) on 10 men at rest and while performing exercise on a leg cycle ergometer (delta M = approximately 95 W.m-2) in air and in water at 34.5 degrees C and 30 degrees C, respectively. In subjects resting in water, the cardiac output increased by approximately 50% compared to that in air, mainly due to a rise in stroke volume. The stroke volume change tended to be greater in 30 degrees C water than in 34.5 degrees C water, and this was due to a greater increase in cardiac preload, as indicated by a significantly greater left ventricular end-diastolic volume. Arterial systolic pressure rose slightly during water immersion. Arterial diastolic pressure remained unchanged in 34.5 degrees C water, but it rose in 30 degrees C water. The total peripheral resistance fell 37% in 34.5 degrees C water and 32% in 30 degrees C water. Both in air and in water, mild exercise increased the cardiac output, and this was mainly due to an increase in heart rate. Since, however, the stroke volume increased with water immersion, cardiac output at a given work load appeared to be significantly higher in water than in air. The arterial pressures did not decrease with water immersion, despite a marked reduction in total peripheral resistance. These results suggest that 1) during cold water immersion, peripheral vasoconstriction provides an additional increase in cardiac preload, leading to a further increase in the stroke volume compared to that of the thermoneutral water immersion, 2) the mechanism of cardiovascular adjustment during dynamic exercise is not changed by the persistent increase in cardiac

  18. Study on Surface Permeability of Concrete under Immersion

    OpenAIRE

    Liu, Jun; Xing, Feng; Dong, Biqin; Ma, Hongyan; Pan, Dong

    2014-01-01

    In this paper, concrete specimens are immersed in ultrapure water, to study the evolutions of surface permeability, pore structure and paste microstructure following the prolonging of immersion period. According to the results, after 30-day immersion, the surface permeability of concrete becomes higher as compared with the value before immersion. However, further immersion makes the surface permeability decrease, so that the value measured after 150-day immersion is only half that measured af...

  19. Warming by immersion or exercise affects initial cooling rate during subsequent cold water immersion.

    Science.gov (United States)

    Scott, Chris G; Ducharme, Michel B; Haman, François; Kenny, Glen P

    2004-11-01

    We examined the effect of prior heating, by exercise and warm-water immersion, on core cooling rates in individuals rendered mildly hypothermic by immersion in cold water. There were seven male subjects who were randomly assigned to one of three groups: 1) seated rest for 15 min (control); 2) cycling ergometry for 15 min at 70% Vo2 peak (active warming); or 3) immersion in a circulated bath at 40 degrees C to an esophageal temperature (Tes) similar to that at the end of exercise (passive warming). Subjects were then immersed in 7 degrees C water to a Tes of 34.5 degrees C. Initial Tes cooling rates (initial approximately 6 min cooling) differed significantly among the treatment conditions (0.074 +/- 0.045, 0.129 +/- 0.076, and 0.348 +/- 0.117 degrees C x min(-1) for control, active, and passive warming conditions, respectively); however, secondary cooling rates (rates following initial approximately 6 min cooling to the end of immersion) were not different between treatments (average of 0.102 +/- 0.085 degrees C x min(-1)). Overall Tes cooling rates during the full immersion period differed significantly and were 0.067 +/- 0.047, 0.085 +/- 0.045, and 0.209 +/- 0.131 degrees C x min(-1) for control, active, and passive warming, respectively. These results suggest that prior warming by both active and, to a greater extent, passive warming, may predispose a person to greater heat loss and to experience a larger decline in core temperature when subsequently exposed to cold water. Thus, functional time and possibly survival time could be reduced when cold water immersion is preceded by whole-body passive warming, and to a lesser degree by active warming.

  20. FIJI: A Framework for the Immersion-Journalism Intersection

    Directory of Open Access Journals (Sweden)

    Gary M. Hardee

    2017-07-01

    Full Text Available As journalists experiment with developing immersive journalism—first-person, interactive experiences of news events—guidelines are needed to help bridge a disconnect between the requirements of journalism and the capabilities of emerging technologies. Many journalists need to better understand the fundamental concepts of immersion and the capabilities and limitations of common immersive technologies. Similarly, developers of immersive journalism works need to know the fundamentals that define journalistic professionalism and excellence and the key requirements of various types of journalistic stories. To address these gaps, we have developed a Framework for the Immersion-Journalism Intersection (FIJI. In FIJI, we have identified four domains of knowledge that intersect to define the key requirements of immersive journalism: the fundamentals of immersion, common immersive technologies, the fundamentals of journalism, and the major types of journalistic stories. Based on these key requirements, we have formally defined four types of immersive journalism that are appropriate for public dissemination. In this article, we discuss the history of immersive journalism, present the four domains and key intersection of FIJI, and provide a number of guidelines for journalists new to creating immersive experiences.

  1. [Immersion pulmonary edema].

    Science.gov (United States)

    Desgraz, Benoît; Sartori, Claudio; Saubade, Mathieu; Héritier, Francis; Gabus, Vincent

    2017-07-12

    Immersion pulmonary edema may occur during scuba diving, snorke-ling or swimming. It is a rare and often recurrent disease, mainly affecting individuals aged over 50 with high blood pressure. However it also occurs in young individuals with a healthy heart. The main symptoms are dyspnea, cough and hemoptysis. The outcome is often favorable under oxygen treatment but deaths are reported. A cardiac and pulmonary assessment is necessary to evaluate the risk of recurrence and possible contraindications to immersion.

  2. Study on Surface Permeability of Concrete under Immersion.

    Science.gov (United States)

    Liu, Jun; Xing, Feng; Dong, Biqin; Ma, Hongyan; Pan, Dong

    2014-01-28

    In this paper, concrete specimens are immersed in ultrapure water, to study the evolutions of surface permeability, pore structure and paste microstructure following the prolonging of immersion period. According to the results, after 30-day immersion, the surface permeability of concrete becomes higher as compared with the value before immersion. However, further immersion makes the surface permeability decrease, so that the value measured after 150-day immersion is only half that measured after 30-day immersion. The early increase in surface permeability should be mainly attributed to the leaching of calcium hydroxide, while the later decrease to the refinement of pore structure due to hydration. The two effects work simultaneously and compete throughout the immersion period. The proposed mechanisms get support from microscopic measurements and observations.

  3. Hybrid immersed interface-immersed boundary methods for AC dielectrophoresis

    International Nuclear Information System (INIS)

    Hossan, Mohammad Robiul; Dillon, Robert; Dutta, Prashanta

    2014-01-01

    Dielectrophoresis, a nonlinear electrokinetic transport mechanism, has become popular in many engineering applications including manipulation, characterization and actuation of biomaterials, particles and biological cells. In this paper, we present a hybrid immersed interface–immersed boundary method to study AC dielectrophoresis where an algorithm is developed to solve the complex Poisson equation using a real variable formulation. An immersed interface method is employed to obtain the AC electric field in a fluid media with suspended particles and an immersed boundary method is used for the fluid equations and particle transport. The convergence of the proposed algorithm as well as validation of the hybrid scheme with experimental results is presented. In this paper, the Maxwell stress tensor is used to calculate the dielectrophoretic force acting on particles by considering the physical effect of particles in the computational domain. Thus, this study eliminates the approximations used in point dipole methods for calculating dielectrophoretic force. A comparative study between Maxwell stress tensor and point dipole methods for computing dielectrophoretic forces are presented. The hybrid method is used to investigate the physics of dielectrophoresis in microfluidic devices using an AC electric field. The numerical results show that with proper design and appropriate selection of applied potential and frequency, global electric field minima can be obtained to facilitate multiple particle trapping by exploiting the mechanism of negative dielectrophoresis. Our numerical results also show that electrically neutral particles form a chain parallel to the applied electric field irrespective of their initial orientation when an AC electric field is applied. This proposed hybrid numerical scheme will help to better understand dielectrophoresis and to design and optimize microfluidic devices

  4. A Theory of Immersion Freezing

    Science.gov (United States)

    Barahona, Donifan

    2017-01-01

    Immersion freezing is likely involved in the initiation of precipitation and determines to large extent the phase partitioning in convective clouds. Theoretical models commonly used to describe immersion freezing in atmospheric models are based on the classical nucleation theory which however neglects important interactions near the immersed particle that may affect nucleation rates. This work introduces a new theory of immersion freezing based on two premises. First, immersion ice nucleation is mediated by the modification of the properties of water near the particle-liquid interface, rather than by the geometry of the ice germ. Second, the same mechanism that leads to the decrease in the work of germ formation also decreases the mobility of water molecules near the immersed particle. These two premises allow establishing general thermodynamic constraints to the ice nucleation rate. Analysis of the new theory shows that active sites likely trigger ice nucleation, but they do not control the overall nucleation rate nor the probability of freezing. It also suggests that materials with different ice nucleation efficiency may exhibit similar freezing temperatures under similar conditions but differ in their sensitivity to particle surface area and cooling rate. Predicted nucleation rates show good agreement with observations for a diverse set of materials including dust, black carbon and bacterial ice nucleating particles. The application of the new theory within the NASA Global Earth System Model (GEOS-5) is also discussed.

  5. Negative-tone imaging with EUV exposure toward 13nm hp

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  6. An investigation into scalability and compliance for triple patterning with stitches for metal 1 at the 14nm node

    Science.gov (United States)

    Cork, Christopher; Miloslavsky, Alexander; Friedberg, Paul; Luk-Pat, Gerry

    2013-04-01

    Lithographers had hoped that single patterning would be enabled at the 20nm node by way of EUV lithography. However, due to delays in EUV readiness, double patterning with 193i lithography is currently relied upon for volume production for the 20nm node's metal 1 layer. At the 14nm and likely at the 10nm node, LE-LE-LE triple patterning technology (TPT) is one of the favored options [1,2] for patterning local interconnect and Metal 1 layers. While previous research has focused on TPT for contact mask, metal layers offer new challenges and opportunities, in particular the ability to decompose design polygons across more than one mask. The extra flexibility offered by the third mask and ability to leverage polygon stitching both serve to improve compliance. However, ensuring TPT compliance - the task of finding a 3-color mask decomposition for a design - is still a difficult task. Moreover, scalability concerns multiply the difficulty of triple patterning decomposition which is an NP-complete problem. Indeed previous work shows that network sizes above a few thousand nodes or polygons start to take significantly longer times to compute [3], making full chip decomposition for arbitrary layouts impractical. In practice Metal 1 layouts can be considered as two separate problem domains, namely: decomposition of standard cells and decomposition of IP blocks. Standard cells typically include only a few 10's of polygons and should be amenable to fast decomposition. Successive design iterations should resolve compliance issues and improve packing density. Density improvements are multiplied repeatedly as standard cells are placed multiple times. IP blocks, on the other hand, may involve very large networks. This paper evaluates multiple approaches to triple patterning decomposition for the Metal 1 layer. The benefits of polygon stitching, in particular, the ability to resolve commonly encountered non-compliant layout configurations and improve packing density, are weighed

  7. Dual patterning of a poly(acrylic acid) layer by electron-beam and block copolymer lithographies.

    Science.gov (United States)

    Pearson, Anthony C; Linford, Matthew R; Harb, John N; Davis, Robert C

    2013-06-18

    We show the controllable patterning of palladium nanoparticles in both one and two dimensions using electron-beam lithography and reactive ion etching of a thin film of poly(acrylic acid) (PAA). After the initial patterning of the PAA, a monolayer of polystyrene-b-poly-2-vinylpyridine micelles is spun cast onto the surface. A short reactive ion etch is then used to transfer the micelle pattern into the patterned poly(acrylic acid). Finally, PdCl2 is loaded from solution into the patterned poly(acrylic acid) features, and a reactive-ion etching process is used to remove the remaining polymer and form Pd nanoparticles. This method yields location-controlled patches of nanoparticles, including single- and double-file lines and nanoparticle pairs. A locational accuracy of 9 nm or less in one direction was achieved by optimizing the size of the PAA features.

  8. Photogrammetric Applications of Immersive Video Cameras

    Science.gov (United States)

    Kwiatek, K.; Tokarczyk, R.

    2014-05-01

    The paper investigates immersive videography and its application in close-range photogrammetry. Immersive video involves the capture of a live-action scene that presents a 360° field of view. It is recorded simultaneously by multiple cameras or microlenses, where the principal point of each camera is offset from the rotating axis of the device. This issue causes problems when stitching together individual frames of video separated from particular cameras, however there are ways to overcome it and applying immersive cameras in photogrammetry provides a new potential. The paper presents two applications of immersive video in photogrammetry. At first, the creation of a low-cost mobile mapping system based on Ladybug®3 and GPS device is discussed. The amount of panoramas is much too high for photogrammetric purposes as the base line between spherical panoramas is around 1 metre. More than 92 000 panoramas were recorded in one Polish region of Czarny Dunajec and the measurements from panoramas enable the user to measure the area of outdoors (adverting structures) and billboards. A new law is being created in order to limit the number of illegal advertising structures in the Polish landscape and immersive video recorded in a short period of time is a candidate for economical and flexible measurements off-site. The second approach is a generation of 3d video-based reconstructions of heritage sites based on immersive video (structure from immersive video). A mobile camera mounted on a tripod dolly was used to record the interior scene and immersive video, separated into thousands of still panoramas, was converted from video into 3d objects using Agisoft Photoscan Professional. The findings from these experiments demonstrated that immersive photogrammetry seems to be a flexible and prompt method of 3d modelling and provides promising features for mobile mapping systems.

  9. Facile fabrication of controllable zinc oxide nanorod clusters on polyacrylonitrile nanofibers via repeatedly alternating immersion method

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Ying; Li, Xia; Yu, Hou-Yong, E-mail: phdyu@zstu.edu.cn [Zhejiang Sci-Tech University, The Key Laboratory of Advanced Textile Materials and Manufacturing Technology of Ministry of Education, College of Materials and Textiles (China); Hu, Guo-Liang; Yao, Ju-Ming, E-mail: yaoj@zstu.edu.cn [Zhejiang Sci-Tech University, National Engineering Lab for Textile Fiber Materials and Processing Technology (China)

    2016-12-15

    Polyacrylonitrile/zinc oxide (PAN/ZnO) composite nanofiber membranes with different ZnO morphologies were fabricated by repeatedly alternating hot–cold immersion and single alternating hot–cold immersion methods. The influence of the PAN/ZnCl{sub 2} ratio and different immersion methods on the morphology, microstructure, and properties of the nanofiber membranes was investigated by using field-emission scanning electron microscopy (FE-SEM), Fourier-transform infrared spectroscopy (FT-IR), X-ray diffraction (XRD) analysis, thermogravimetric analysis (TGA), and ultraviolet–visible (UV–Vis) spectroscopy. A possible mechanism for different morphologies of PAN/ZnO nanofiber membranes with different PAN/ZnCl{sub 2} ratio through different immersion processes was presented, and well-dispersed ZnO nanorod clusters with smallest average dimeter of 115 nm and hexagonal wurtzite structure were successfully anchored onto the PAN nanofiber surface for R-7/1 nanofiber membrane. Compared to S-5/1 prepared by single alternating hot–cold immersion method, the PAN/ZnO nanofiber membrane fabricated by repeatedly alternating hot–cold immersion method (especially for R-7/1) showed improved thermal stability and high photocatalytic activity for methylene blue (MB). Compared to S-5/1, decomposition temperature at 5% weight loss (T{sub 5%}) was increased by 43 °C from 282 to 325 °C for R-7/1; meanwhile, R-7/1 showed higher photocatalytic degradation ratio of approximately 100% (after UV light irradiation for 8 h) than 65% for S-5/1 even after irradiation for 14 h. Moreover, the degradation efficiency of R-7/1 with good reuse stability remained above 94% after 3 cycles.

  10. Research on evaluation techniques for immersive multimedia

    Science.gov (United States)

    Hashim, Aslinda M.; Romli, Fakaruddin Fahmi; Zainal Osman, Zosipha

    2013-03-01

    Nowadays Immersive Multimedia covers most usage in tremendous ways, such as healthcare/surgery, military, architecture, art, entertainment, education, business, media, sport, rehabilitation/treatment and training areas. Moreover, the significant of Immersive Multimedia to directly meet the end-users, clients and customers needs for a diversity of feature and purpose is the assembly of multiple elements that drive effective Immersive Multimedia system design, so evaluation techniques is crucial for Immersive Multimedia environments. A brief general idea of virtual environment (VE) context and `realism' concept that formulate the Immersive Multimedia environments is then provided. This is followed by a concise summary of the elements of VE assessment technique that is applied in Immersive Multimedia system design, which outlines the classification space for Immersive Multimedia environments evaluation techniques and gives an overview of the types of results reported. A particular focus is placed on the implications of the Immersive Multimedia environments evaluation techniques in relation to the elements of VE assessment technique, which is the primary purpose of producing this research. The paper will then conclude with an extensive overview of the recommendations emanating from the research.

  11. Structural and optical properties of WO{sub 3} sputtered thin films nanostructured by laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Castro-Hurtado, I., E-mail: ichurtado@ceit.es [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain); Tavera, T.; Yurrita, P.; Pérez, N. [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain); Rodriguez, A. [CIC microGUNE Goiru kalea 9, Polo de Innovación Garaia, 20500 Arrasate-Mondragón (Spain); Mandayo, G.G.; Castaño, E. [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain)

    2013-07-01

    A study of the influence of annealing temperature on the structural, morphological and optical properties of WO{sub 3} thin films is presented. The coatings are deposited by RF reactive magnetron sputtering and characterized by XRD analysis and FESEM. The XRD diagrams of the samples show a phase transition from tetragonal to monoclinic when the annealing temperature is raised from 800 to 900 °C. Moreover, the increase of the annealing temperature to 800 °C favors the presence of a granular structure on the surface of the film. A decrease in the optical energy band gap (3.65–3.5 eV and 3.5–3.05 eV for direct and indirect transitions respectively) with annealing temperature has been measured employing Tauc's relation. Furthermore, WO{sub 3} thin films are processed by laser interference lithography (LIL) and periodic nanostructures are obtained. The processed films are characterized by a hexagonal symmetry with a period of 340 nm and the diameter of the nanostructured holes of 150 nm. These films show improved morphological properties of interest in several applications (gas sensors, photonic crystals, etc.) independent of the annealing temperature.

  12. Transmittance enhancement of sapphires with antireflective subwavelength grating patterned UV polymer surface structures by soft lithography.

    Science.gov (United States)

    Lee, Soo Hyun; Leem, Jung Woo; Yu, Jae Su

    2013-12-02

    We report the total and diffuse transmission enhancement of sapphires with the ultraviolet curable SU8 polymer surface structures consisting of conical subwavelength gratings (SWGs) at one- and both-side surfaces for different periods. The SWGs patterns on the silicon templates were transferred into the SU8 polymer film surface on sapphires by a simple and cost-effective soft lithography technique. For the fabricated samples, the surface morphologies, wetting behaviors, and optical characteristics were investigated. For theoretical optical analysis, a rigorous coupled-wave analysis method was used. At a period of 350 nm, the sample with SWGs on SU8 film/sapphire exhibited a hydrophobic surface and higher total transmittance compared to the bare sapphire over a wide wavelength of 450-1000 nm. As the period of SWGs was increased, the low total transmittance region of < 85% was shifted towards the longer wavelengths and became broader while the diffuse transmittance was increased (i.e., larger haze ratio). For the samples with SWGs at both-side surfaces, the total and diffuse transmittance spectra were further enhanced compared to the samples with SWGs at one-side surface. The theoretical optical calculation results showed a similar trend to the experimentally measured data.

  13. High-brightness high-order harmonic generation at 13 nm with a long gas jet

    International Nuclear Information System (INIS)

    Kim, Hyung Taek; Kim, I Jong; Lee, Dong Gun; Park, Jong Ju; Hong, Kyung Han; Nam, Chang Hee

    2002-01-01

    The generation of high-order harmonics is well-known method producing coherent extreme-ultraviolet radiation with pulse duration in the femtosecond regime. High-order harmonics have attracted much attention due to their unique features such as coherence, ultrashort pulse duration, and table-top scale system. Due to these unique properties, high-order harmonics have many applications of atomic and molecular spectroscopy, plasma diagnostics and solid-state physics. Bright generation of high-order harmonics is important for actual applications. Especially, the generation of strong well-collimated harmonics at 13 nm can be useful for the metrology of EUV lithography optics because of the high reflectivity of Mo-Si mirrors at this wavelength. The generation of bright high-order harmonics is rather difficult in the wavelength region below 15nm. Though argon and xenon gases have large conversion efficiency, harmonic generation from these gases is restricted to wavelengths over 20 nm due to low ionization potential. Hence, we choose neon for the harmonic generation around 13 nm; it has larger conversion efficiency than helium and higher ionization potential than argon. In this experiment, we have observed enhanced harmonic generation efficiency and low beam divergence of high-order harmonics from a elongated neon gas jet by the enhancement of laser propagation in an elongated gas jet. A uniform plasma column was produced when the gas jet was exposed to converging laser pulses.

  14. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    Science.gov (United States)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  15. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    Science.gov (United States)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  16. Colloidal lithography with electrochemical nickel deposition as a unique method for improved silver decorated nanocavities in SERS applications

    Science.gov (United States)

    Petruš, Ondrej; Oriňak, Andrej; Oriňaková, Renáta; Orságová Králová, Zuzana; Múdra, Erika; Kupková, Miriam; Kovaľ, Karol

    2017-11-01

    Two types of metallised nanocavities (single and hybrid) were fabricated by colloid lithography followed by electrochemical deposition of Ni and subsequently Ag layers. Introductory Ni deposition step iniciates more homogenous decoration of nanocavities with Ag nanoparticles. Silver nanocavity decoration has been so performed with lower nucleation rate and with Ag nanoparticles homogeinity increase. By this, two step Ni and Ag deposition trough polystyrene nanospheres (100, 300, 500, 700, 900 nm), the various Ag surfaces were obtained. Ni layer formation in the first step of deposition enabled more precise controlling of Ag film deposition and thus final Ag surface morphology. Prepared substrates were tested as active surfaces in SERS application. The best SERS signal enhancement was observed at 500 nm Ag nanocavities with normalised thickness Ni layer ∼0.5. Enhancement factor has been established at value 1.078 × 1010; time stability was determined within 13 weeks; charge distribution at nanocavity Ag surfaces as well as reflection spectra were calculated by FDTD method. Newly prepared nanocavity surface can be applied in SERS analysis, predominantly.

  17. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  18. Silicon Nanowire Fabrication Using Edge and Corner Lithography

    NARCIS (Netherlands)

    Yagubizade, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt; Tas, Niels Roelof

    2010-01-01

    This paper presents a wafer scale fabrication method of single-crystalline silicon nanowires (SiNWs) bound by <111> planes using a combination of edge and corner lithography. These are methods of unconventional nanolithography for wafer scale nano-patterning which determine the size of nano-features

  19. Fabrication of Spin-Transfer Nano-Oscillator by Colloidal Lithography

    Directory of Open Access Journals (Sweden)

    Bin Fang

    2015-01-01

    Full Text Available We fabricate nanoscale spin-transfer oscillators (STOs by utilizing colloidal nanoparticles as a lithographic mask. By this approach, high quality STO devices can be fabricated, and as an example the fabricated STO devices using MgO magnetic tunnel junction as the basic cell exhibit current-induced microwave emission with a large frequency tunability of 0.22 GHz/mA. Compared to the conventional approaches that involve a step of defining nanoscale elements by means of electron beam lithography, which is not readily available for many groups, our strategy for STO fabrication does not require the sophisticated equipment (~ million dollars per unit and expensive lithography resist, while being cost-effective and easy to use in laboratory level. This will accelerate efforts to implement STO into on-chip integrated high-radio frequency applications.

  20. The imaging performance of flash memory masks characterized with AIMS

    Science.gov (United States)

    van Setten, Eelco; Wismans, Onno; Grim, Kees; Finders, Jo; Dusa, Mircea; Birkner, Robert; Richter, Rigo; Scherübl, Thomas

    2009-04-01

    Flash memory is an important driver of the lithography roadmap, with its dramatic acceleration in dimensional shrink, pushing for ever smaller feature sizes. The introduction of hyper-NA immersion lithography has brought the 45nm node and below within reach for memory makers using single exposure. At these feature sizes mask topology and the material properties of the film stack on the mask play an important role on imaging performance. Furthermore, the break up of the array pitch regularity in the NAND-type flash memory cell by two thick wordlines and a central space, leads to feature-center placement (overlay) errors, that are inherent to the design. An integral optimization approach is needed to mitigate these effects and to control both the CD and placement errors tightly. In this paper we will show that aerial image measurements at mask-level are useful for characterizing the gate layer of a NAND-Flash design before exposure. The aerial image measurements are performed with the AIMSTM 45-193i. and compared to CD measurements on the wafer obtained with an XT:1900Gi hyper-NA immersion system. An excellent correlation is demonstrated for feature-center placement errors and CD variations across the mask (see Figure 1) for several features in the gate layer down to 40nm half pitch. This shows the potential to use aerial image measurements at mask-level in combination with correction techniques on the photomask, like the CDC200 tool in combination with exposure tool correction techniques, such as DoseMapperTM, to improve both across field and across wafer CD uniformity of critical layers.

  1. Three techniques for the fabrication of high precision, mm-sized metal components based on two-photon lithography, applied for manufacturing horn antennas for THz transceivers

    Science.gov (United States)

    Standaert, Alexander; Brancato, Luigi; Lips, Bram; Ceyssens, Frederik; Puers, Robert; Reynaert, Patrick

    2018-03-01

    This paper proposes a novel packaging solution which integrates micro-machined 3D horn antennas with millimeter-wave and THz tranceivers. This packaging solution is shown to be a valid competitor to existing technologies like metallic split-block waveguides and low temperature cofired ceramics. Three different fabrication methods based on two-photon lithography are presented to form the horn antennas. The first uses two-photon lithography to form the bulk of the antenna. This structure is then metalised through physical vapor deposition (PVD) and copper plating. The second fabrication method makes use of a soft polydimethylsiloxane (PDMS) mold to easily replicate structures and the third method forms the horn antenna through electroforming. A prototype is accurately positioned on top of a 400 GHz 28 nm CMOS transmitter and glued in place with epoxy, thus providing a fully packaged solution. Measurement results show a 12 dB increase in the antenna gain when using the packaged solution. The fabrication processes are not limited to horn antennas alone and can be used to form a wide range of mm-sized metal components.

  2. A low cost high resolution pattern generator for electron-beam lithography

    International Nuclear Information System (INIS)

    Pennelli, G.; D'Angelo, F.; Piotto, M.; Barillaro, G.; Pellegrini, B.

    2003-01-01

    A simple, very low cost pattern generator for electron-beam lithography is presented. When it is applied to a scanning electron microscope, the system allows a high precision positioning of the beam for lithography of very small structures. Patterns are generated by a suitable software implemented on a personal computer, by using very simple functions, allowing an easy development of new writing strategies for a great adaptability to different user necessities. Hardware solutions, as optocouplers and battery supply, have been implemented for reduction of noise and disturbs on the voltages controlling the positioning of the beam

  3. Feasibility of multi-walled carbon nanotube probes in AFM anodization lithography

    International Nuclear Information System (INIS)

    Choi, Ji Sun; Bae, Sukjong; Ahn, Sang Jung; Kim, Dal Hyun; Jung, Ki Young; Han, Cheolsu; Chung, Chung Choo; Lee, Haiwon

    2007-01-01

    Multi-walled carbon nanotube (CNT) tips were used in atomic force microscope (AFM) anodization lithography to investigate their advantages over conventional tips. The CNT tip required a larger threshold voltage than the mother silicon tip due to the Schottky barrier at the CNT-Si interface. Current-to-voltage curves distinguished the junction property between CNTs and mother tips. The CNT-platinum tip, which is more conductive than the CNT-silicon tip, showed promising results for AFM anodization lithography. Finally, the nanostructures with high aspect ratio were fabricated using a pulsed bias voltage technique as well as the CNT tip

  4. Non-lift-off block copolymer lithography of 25 nm magnetic nanodot arrays.

    Science.gov (United States)

    Baruth, A; Rodwogin, Marc D; Shankar, A; Erickson, M J; Hillmyer, Marc A; Leighton, C

    2011-09-01

    Although nanolithographic techniques based on self-assembled block copolymer templates offer tremendous potential for fabrication of large-area nanostructure arrays, significant difficulties arise with both the lift-off and etch processes typically used for pattern transfer. These become progressively more important in the limit of extreme feature sizes. The few techniques that have been developed to avoid these issues are quite complex. Here, we demonstrate successful execution of a nanolithographic process based on solvent annealed, cylinder-forming, easily degradable, polystyrene-b-polylactide block copolymer films that completely avoids lift-off in addition to the most challenging aspects of etching. We report a "Damascene-type" process that overfills the polystyrene template with magnetic metal, employs ion beam milling to planarize the metal surface down to the underlying polystyrene template, then exploits the large etch rate contrast between polystyrene and typical metals to generate pattern reversal of the original template into the magnetic metal. The process is demonstrated via formation of a large-area array of 25 nm diameter ferromagnetic Ni(80)Fe(20) nanodots with hexagonally close-packed order. Extensive microscopy, magnetometry, and electrical measurements provide detailed characterization of the pattern formation. We argue that the approach is generalizable to a wide variety of materials, is scalable to smaller feature sizes, and critically, minimizes etch damage, thus preserving the essential functionality of the patterned material.

  5. EUV lithography : historical perspective and road ahead

    NARCIS (Netherlands)

    Banine, V.Y.

    2014-01-01

    Lithography, in the form of carved type printing, can be dated as far back as the 3rd century AD. Starting from the 19th century it played a major role as the basis for dissemination and preservation of knowledge in the form of printed books, maps, newspapers, etc. In the mid 20th century, with the

  6. Report of the second workshop on synchrotron radiation sources for x-ray lithography

    International Nuclear Information System (INIS)

    Barton, M.Q.; Craft, B.; Williams, G.P.

    1986-01-01

    The reported workshop is part of an effort to implement a US-based x-ray lithography program. Presentations include designs for three storage rings (one superconducting and two conventional) and an overview of a complete lithography program. The background of the effort described, the need for synchrotron radiation, and the international competition in the area are discussed briefly. The technical feasibility of x-ray lithography is discussed, and synchrotron performance specifications and construction options are given, as well as a near-term plan. It is recommended that a prototype synchrotron source be built as soon as possible, and that a research and development plan on critical technologies which could improve cost effectiveness of the synchrotron source be established. It is further recommended that a small number of second generation prototype synchrotrons be distributed to IC manufacturing centers to expedite commercialization

  7. Overlay improvements using a real time machine learning algorithm

    Science.gov (United States)

    Schmitt-Weaver, Emil; Kubis, Michael; Henke, Wolfgang; Slotboom, Daan; Hoogenboom, Tom; Mulkens, Jan; Coogans, Martyn; ten Berge, Peter; Verkleij, Dick; van de Mast, Frank

    2014-04-01

    While semiconductor manufacturing is moving towards the 14nm node using immersion lithography, the overlay requirements are tightened to below 5nm. Next to improvements in the immersion scanner platform, enhancements in the overlay optimization and process control are needed to enable these low overlay numbers. Whereas conventional overlay control methods address wafer and lot variation autonomously with wafer pre exposure alignment metrology and post exposure overlay metrology, we see a need to reduce these variations by correlating more of the TWINSCAN system's sensor data directly to the post exposure YieldStar metrology in time. In this paper we will present the results of a study on applying a real time control algorithm based on machine learning technology. Machine learning methods use context and TWINSCAN system sensor data paired with post exposure YieldStar metrology to recognize generic behavior and train the control system to anticipate on this generic behavior. Specific for this study, the data concerns immersion scanner context, sensor data and on-wafer measured overlay data. By making the link between the scanner data and the wafer data we are able to establish a real time relationship. The result is an inline controller that accounts for small changes in scanner hardware performance in time while picking up subtle lot to lot and wafer to wafer deviations introduced by wafer processing.

  8. Dynamic Properties of Individual Carbon Nanotube Emitters for Maskless Lithography

    National Research Council Canada - National Science Library

    Ribaya, Bryan P; Niemann, Darrell L; Makarewicz, Joseph; Gunther, Norman G; Nguyen, Cattien V; Rahman, Mahmud

    2008-01-01

    .... The individual CNT's low electron beam energy spread and high brightness values make it particularly desirable for advanced applications such as electron microscopy and electron beam lithography...

  9. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    Science.gov (United States)

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  10. Technology of focus detection for 193nm projection lithographic tool

    Science.gov (United States)

    Di, Chengliang; Yan, Wei; Hu, Song; Xu, Feng; Li, Jinglong

    2012-10-01

    With the shortening printing wavelength and increasing numerical aperture of lithographic tool, the depth of focus(DOF) sees a rapidly drop down trend, reach a scale of several hundred nanometers while the repeatable accuracy of focusing and leveling must be one-tenth of DOF, approximately several dozen nanometers. For this feature, this article first introduces several focusing technology, Obtained the advantages and disadvantages of various methods by comparing. Then get the accuracy of dual-grating focusing method through theoretical calculation. And the dual-grating focusing method based on photoelastic modulation is divided into coarse focusing and precise focusing method to analyze, establishing image processing model of coarse focusing and photoelastic modulation model of accurate focusing. Finally, focusing algorithm is simulated with MATLAB. In conclusion dual-grating focusing method shows high precision, high efficiency and non-contact measurement of the focal plane, meeting the demands of focusing in 193nm projection lithography.

  11. From 2D Lithography to 3D Patterning

    NARCIS (Netherlands)

    Van Zeijl, H.W.; Wei, J.; Shen, C.; Verhaar, T.M.; Sarro, P.M.

    2010-01-01

    Lithography as developed for IC device fabrication is a high volume high accuracy patterning technology with strong 2 dimensional (2D) characteristics. This 2D nature makes it a challenge to integrate this technology in a 3 dimensional (3D) manufacturing environment. This article addresses the

  12. Colloidal lithography nanostructured Pd/PdO x core–shell sensor for ppb level H2S detection

    Science.gov (United States)

    Benedict, Samatha; Lumdee, Chatdanai; Dmitriev, Alexandre; Anand, Srinivasan; Bhat, Navakanta

    2018-06-01

    In this work we report on plasma oxidation of palladium (Pd) to form reliable palladium/palladium oxide (Pd/PdO x ) core–shell sensor for ppb level H2S detection and its performance improvement through nanostructuring using hole-mask colloidal lithography (HCL). The plasma oxidation parameters and the sensor operating conditions are optimized to arrive at a sensor device with high sensitivity and repeatable response for H2S. The plasma oxidized palladium/palladium oxide sensor shows a response of 43.1% at 3 ppm H2S at the optimum operating temperature of 200 °C with response and recovery times of 24 s and 155 s, respectively. The limit of detection (LoD) of the plasma oxidised beam is 10 ppb. We further integrate HCL, a bottom-up and cost-effective process, to create nanodiscs of fixed diameter of 100 nm and varying heights (10, 15 and 20 nm) on 10 nm thin Pd beam which is subsequently plasma oxidized to improve the H2S sensing characteristics. The nanostructured Pd/PdO x sensor with nanodiscs of 100 nm diameter and 10 nm height shows an enhancement in sensing performance by 11.8% at same operating temperature and gas concentration. This nanostructured sensor also shows faster response and recovery times (15 s and 100 s, respectively) compared to the unstructured Pd/PdO x counterpart together with an experimental LoD of 10 ppb and the estimated limit going all the way down to 2 ppb. Material characterization of the fabricated Pd/PdO x sensors is done using UV–vis spectroscopy and x-ray photoemission spectroscopy.

  13. Interaction with virtual crowd in Immersive and semi‐Immersive Virtual Reality systems

    OpenAIRE

    Kyriakou, Marios; Pan, Xueni; Chrysanthou, Yiorgos

    2016-01-01

    This study examines attributes of virtual human behavior that may increase the plausibility of a simulated crowd and affect the user's experience in Virtual Reality. Purpose-developed experiments in both Immersive and semi-Immersive Virtual Reality systems queried the impact of collision and basic interaction between real-users and the virtual crowd and their effect on the apparent realism and ease of navigation within Virtual Reality (VR). Participants' behavior and subjective measurements i...

  14. Large patternable metal nanoparticle sheets by photo/e-beam lithography

    Science.gov (United States)

    Saito, Noboru; Wang, Pangpang; Okamoto, Koichi; Ryuzaki, Sou; Tamada, Kaoru

    2017-10-01

    Techniques for micro/nano-scale patterning of large metal nanoparticle sheets can potentially be used to realize high-performance photoelectronic devices because the sheets provide greatly enhanced electrical fields around the nanoparticles due to localized surface plasmon resonances. However, no single metal nanoparticle sheet currently exists with sufficient durability for conventional lithographical processes. Here, we report large photo and/or e-beam lithographic patternable metal nanoparticle sheets with improved durability by incorporating molecular cross-linked structures between nanoparticles. The cross-linked structures were easily formed by a one-step chemical reaction; immersing a single nanoparticle sheet consisting of core metals, to which capping molecules ionically bond, in a dithiol ethanol solution. The ligand exchange reaction processes were discussed in detail, and we demonstrated 20 μm wide line and space patterns, and a 170 nm wide line of the silver nanoparticle sheets.

  15. 70 nm resolution in subsurface optical imaging of silicon integrated-circuits using pupil-function engineering

    Science.gov (United States)

    Serrels, K. A.; Ramsay, E.; Reid, D. T.

    2009-02-01

    We present experimental evidence for the resolution-enhancing effect of an annular pupil-plane aperture when performing nonlinear imaging in the vectorial-focusing regime through manipulation of the focal spot geometry. By acquiring two-photon optical beam-induced current images of a silicon integrated-circuit using solid-immersion-lens microscopy at 1550 nm we achieved 70 nm resolution. This result demonstrates a reduction in the minimum effective focal spot diameter of 36%. In addition, the annular-aperture-induced extension of the depth-of-focus causes an observable decrease in the depth contrast of the resulting image and we explain the origins of this using a simulation of the imaging process.

  16. Soft-X-Ray Projection Lithography Using a High-Repetition-Rate Laser-Induced X-Ray Source for Sub-100 Nanometer Lithography Processes

    NARCIS (Netherlands)

    E. Louis,; F. Bijkerk,; Shmaenok, L.; Voorma, H. J.; van der Wiel, M. J.; Schlatmann, R.; Verhoeven, J.; van der Drift, E. W. J. M.; Romijn, J.; Rousseeuw, B. A. C.; Voss, F.; Desor, R.; Nikolaus, B.

    1993-01-01

    In this paper we present the status of a joint development programme on soft x-ray projection lithography (SXPL) integrating work on high brightness laser plasma sources. fabrication of multilayer x-ray mirrors. and patterning of reflection masks. We are in the process of optimization of a

  17. Immersion in Movement-Based Interaction

    Science.gov (United States)

    Pasch, Marco; Bianchi-Berthouze, Nadia; van Dijk, Betsy; Nijholt, Anton

    The phenomenon of immersing oneself into virtual environments has been established widely. Yet to date (to our best knowledge) the physical dimension has been neglected in studies investigating immersion in Human-Computer Interaction (HCI). In movement-based interaction the user controls the interface via body movements, e.g. direct manipulation of screen objects via gestures or using a handheld controller as a virtual tennis racket. It has been shown that physical activity affects arousal and that movement-based controllers can facilitate engagement in the context of video games. This paper aims at identifying movement features that influence immersion. We first give a brief survey on immersion and movement-based interfaces. Then, we report results from an interview study that investigates how users experience their body movements when interacting with movement-based interfaces. Based on the interviews, we identify four movement-specific features. We recommend them as candidates for further investigation.

  18. Disordered Nanohole Patterns in Metal-Insulator Multilayer for Ultra-broadband Light Absorption: Atomic Layer Deposition for Lithography Free Highly repeatable Large Scale Multilayer Growth.

    Science.gov (United States)

    Ghobadi, Amir; Hajian, Hodjat; Dereshgi, Sina Abedini; Bozok, Berkay; Butun, Bayram; Ozbay, Ekmel

    2017-11-08

    In this paper, we demonstrate a facile, lithography free, and large scale compatible fabrication route to synthesize an ultra-broadband wide angle perfect absorber based on metal-insulator-metal-insulator (MIMI) stack design. We first conduct a simulation and theoretical modeling approach to study the impact of different geometries in overall stack absorption. Then, a Pt-Al 2 O 3 multilayer is fabricated using a single atomic layer deposition (ALD) step that offers high repeatability and simplicity in the fabrication step. In the best case, we get an absorption bandwidth (BW) of 600 nm covering a range of 400 nm-1000 nm. A substantial improvement in the absorption BW is attained by incorporating a plasmonic design into the middle Pt layer. Our characterization results demonstrate that the best configuration can have absorption over 0.9 covering a wavelength span of 400 nm-1490 nm with a BW that is 1.8 times broader compared to that of planar design. On the other side, the proposed structure retains its absorption high at angles as wide as 70°. The results presented here can serve as a beacon for future performance enhanced multilayer designs where a simple fabrication step can boost the overall device response without changing its overall thickness and fabrication simplicity.

  19. Correction of 157-nm lens based on phase ring aberration extraction method

    Science.gov (United States)

    Meute, Jeff; Rich, Georgia K.; Conley, Will; Smith, Bruce W.; Zavyalova, Lena V.; Cashmore, Julian S.; Ashworth, Dominic; Webb, James E.; Rich, Lisa

    2004-05-01

    Early manufacture and use of 157nm high NA lenses has presented significant challenges including: intrinsic birefringence correction, control of optical surface contamination, and the use of relatively unproven materials, coatings, and metrology. Many of these issues were addressed during the manufacture and use of International SEMATECH"s 0.85NA lens. Most significantly, we were the first to employ 157nm phase measurement interferometry (PMI) and birefringence modeling software for lens optimization. These efforts yielded significant wavefront improvement and produced one of the best wavefront-corrected 157nm lenses to date. After applying the best practices to the manufacture of the lens, we still had to overcome the difficulties of integrating the lens into the tool platform at International SEMATECH instead of at the supplier facility. After lens integration, alignment, and field optimization were complete, conventional lithography and phase ring aberration extraction techniques were used to characterize system performance. These techniques suggested a wavefront error of approximately 0.05 waves RMS--much larger than the 0.03 waves RMS predicted by 157nm PMI. In-situ wavefront correction was planned for in the early stages of this project to mitigate risks introduced by the use of development materials and techniques and field integration of the lens. In this publication, we document the development and use of a phase ring aberration extraction method for characterizing imaging performance and a technique for correcting aberrations with the addition of an optical compensation plate. Imaging results before and after the lens correction are presented and differences between actual and predicted results are discussed.

  20. Immersive Technologies and Language Learning

    Science.gov (United States)

    Blyth, Carl

    2018-01-01

    This article briefly traces the historical conceptualization of linguistic and cultural immersion through technological applications, from the early days of locally networked computers to the cutting-edge technologies known as virtual reality and augmented reality. Next, the article explores the challenges of immersive technologies for the field…

  1. Learning immersion without getting wet

    Science.gov (United States)

    Aguilera, Julieta C.

    2012-03-01

    This paper describes the teaching of an immersive environments class on the Spring of 2011. The class had students from undergraduate as well as graduate art related majors. Their digital background and interests were also diverse. These variables were channeled as different approaches throughout the semester. Class components included fundamentals of stereoscopic computer graphics to explore spatial depth, 3D modeling and skeleton animation to in turn explore presence, exposure to formats like a stereo projection wall and dome environments to compare field of view across devices, and finally, interaction and tracking to explore issues of embodiment. All these components were supported by theoretical readings discussed in class. Guest artists presented their work in Virtual Reality, Dome Environments and other immersive formats. Museum professionals also introduced students to space science visualizations, which utilize immersive formats. Here I present the assignments and their outcome, together with insights as to how the creation of immersive environments can be learned through constraints that expose students to situations of embodied cognition.

  2. Forcing clique immersions through chromatic number

    OpenAIRE

    Gauthier, Gregory; Le, Tien-Nam; Wollan, Paul

    2017-01-01

    Building on recent work of Dvo\\v{r}\\'ak and Yepremyan, we show that every simple graph of minimum degree $7t+7$ contains $K_t$ as an immersion and that every graph with chromatic number at least $3.54t + 4$ contains $K_t$ as an immersion. We also show that every graph on $n$ vertices with no stable set of size three contains $K_{2\\lfloor n/5 \\rfloor}$ as an immersion.

  3. Designing immersion exhibits as border-crossing environments

    DEFF Research Database (Denmark)

    Mortensen, Marianne Foss

    2010-01-01

    be applied to achieve an understanding of the immersion exhibit form. The argument proceeds by demonstrating how the characteristics of immersion exhibits, and visitors to them, classify them as microcultures, and examining the implications of this for exhibit design using a hypothetical immersion exhibit...

  4. An electron undulating ring for VLSI lithography

    International Nuclear Information System (INIS)

    Tomimasu, T.; Mikado, T.; Noguchi, T.; Sugiyama, S.; Yamazaki, T.

    1985-01-01

    The development of the ETL storage ring ''TERAS'' as an undulating ring has been continued to achieve a wide area exposure of synchrotron radiation (SR) in VLSI lithography. Stable vertical and horizontal undulating motions of stored beams are demonstrated around a horizontal design orbit of TERAS, using two small steering magnets of which one is used for vertical undulating and another for horizontal one. Each steering magnet is inserted into one of the periodic configulation of guide field elements. As one of useful applications of undulaing electron beams, a vertically wide exposure of SR has been demonstrated in the SR lithography. The maximum vertical deviation from the design orbit nCcurs near the steering magnet. The maximum vertical tilt angle of the undulating beam near the nodes is about + or - 2mrad for a steering magnetic field of 50 gauss. Another proposal is for hith-intensity, uniform and wide exposure of SR from a wiggler installed in TERAS, using vertical and horizontal undulating motions of stored beams. A 1.4 m long permanent magnet wiggler has been installed for this purpose in this April

  5. Microfabrication of pre-aligned fiber bundle couplers using ultraviolet lithography of SU-8

    OpenAIRE

    Yang, Ren; Soper, Steven A.; Wang, Wanjun

    2006-01-01

    This paper describes the design, microfabrication and testing of a pre-aligned array of fiber couplers using direct UV-lithography of SU-8. The fiber coupler array includes an out-of-plane refractive microlens array and two fiberport collimator arrays. With the optical axis of the pixels parallel to the substrate, each pixel of the microlens array can be pre-aligned with the corresponding pixels of the fiberport collimator array as defined by the lithography mask design. This out-of-plane pol...

  6. Moving liquid droplets with inertia : Experiment, simulation, and theory

    NARCIS (Netherlands)

    Kim, H.

    2013-01-01

    This thesis is a work on a contact line instability at a finite Reynolds number, 0 < Re < O(100). This problem corresponds to an immersion droplet applied in a liquid- immersion lithography machine. We perform extensive works to understand this instability problem by means of experimental,

  7. Structure formation in atom lithography using geometric collimation

    NARCIS (Netherlands)

    Meijer, T.; Beardmore, J.P.; Fabrie, C.G.C.H.M.; van Lieshout, J.P.; Notermans, R.P.M.J.W.; Sang, R.T.; Vredenbregt, E.J.D.; Leeuwen, van K.A.H.

    2011-01-01

    Atom lithography uses standing wave light fields as arrays of lenses to focus neutral atom beams into line patterns on a substrate. Laser cooled atom beams are commonly used, but an atom beam source with a small opening placed at a large distance from a substrate creates atom beams which are locally

  8. Combined electron beam and UV lithography in SU-8

    DEFF Research Database (Denmark)

    Gersborg-Hansen, Morten; Thamdrup, Lasse Højlund; Mironov, Andrej

    2007-01-01

    We present combined electron beam and UV lithography (CEUL) in SU-8 as a fast and flexible lithographic technique for prototyping of functional polymer devices and pattern transfer applications. CEUL is a lithographic technique suitable for defining both micrometer and nanometer scale features...

  9. Reshaping Spectatorship: Immersive and Distributed Aesthetics

    Directory of Open Access Journals (Sweden)

    Edwina Bartlem

    2005-01-01

    Full Text Available Although discourses of immersive aesthetics and distributed aesthetics may evoke associations with different media, creative processes, modes of audience engagement and even political ideologies, artists using these aesthetics often share similar interests in transforming and enhancing notions of the body and perception through technological intervention. This paper undertakes a comparison between immersive and distributed aesthetics in relation to Virtual Reality (VR and Networked Art (net.art, particularly networked installation art. It focuses on the ways in which both VR and networked installations immerse the viewer in states of perceptual and cognitive transition. Central to this article is the argument that VR and net.art are able to generate immersive experiences in the viewer by creating the sensation of being (tele-present in an electronically mediated environment that is illusionistic and sometimes remote from the physical body of the participant. Furthermore, the immersive and distributed aesthetics generated by specific VR and net.art projects have revolutionary consequences for traditional aesthetic theories of spectatorship and art appreciation that assert the need for critical and physical distance.

  10. Imbalance aware lithography hotspot detection: a deep learning approach

    Science.gov (United States)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  11. FIJI: A Framework for the Immersion-Journalism Intersection

    OpenAIRE

    Hardee, Gary M.; McMahan, Ryan P.

    2017-01-01

    As journalists experiment with developing immersive journalism—first-person, interactive experiences of news events—guidelines are needed to help bridge a disconnect between the requirements of journalism and the capabilities of emerging technologies. Many journalists need to better understand the fundamental concepts of immersion and the capabilities and limitations of common immersive technologies. Similarly, developers of immersive journalism works need to know the fundamentals that define...

  12. Effects of synchrotron radiation spectrum energy on polymethyl methacrylate photosensitivity to deep x-ray lithography

    International Nuclear Information System (INIS)

    Mekaru, Harutaka; Utsumi, Yuichi; Hattori, Tadashi

    2003-01-01

    Since X-ray lithography requires a high photon flux to achieve deep resist exposure, a synchrotron radiation beam, which is not monochromatized, is generally used as a light source. If the synchrotron radiation beam is monochromatized, photon flux will decrease rapidly. Because of this reason, the wavelength dependence of the resist sensitivity has not been investigated for deep X-ray lithography. Measuring the spectrum of a white beam with a Si solid-state detector (SSD) is difficult because a white beam has a high intensity and an SSD has a high sensitivity. We were able to measure the spectrum and the photocurrent of a white beam from a beam line used for deep X-ray lithography by keeping the ring current below 0.05 mA. We evaluated the characteristics of the output beam based on the measured spectrum and photocurrent, and used them to investigate the relationship between the total exposure energy and the dose-processing depth with polymethyl methacrylate (PMMA). We found that it is possible to guess the processing depth of PMMA from the total exposure energy in deep X-ray lithography. (author)

  13. Effect of various SnO2 pH on ZnO/SnO2-composite film via immersion technique

    Science.gov (United States)

    Malek, M. F.; Mohamed, R.; Mamat, M. H.; Ismail, A. S.; Yusoff, M. M.; Rusop, M.

    2018-05-01

    ZnO/SnO2-composite film has been synthesized via immersion technique with various pH of SnO2. The pH of SnO2 were varied between 4.5 and 6.5. The optical measurements of the samples were carried out using Varian Cary 5000 UV-Vis spectrophotometer within the range from 350 nm to 800 nm at room temperature in air with a data interval of 1 nm. On the other hand, the optical photoluminescence properties were measured by a photoluminescence spectrometer (PL, model: Horiba Jobin Yvon - 79 DU420A-OE-325) using a He-Cd laser as the excitation source at 325 nm. These highly oriented ZnO/SnO2-composite film are potential for the creation of functional materials, such as the sensors, solar cells and etc.

  14. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Energy Technology Data Exchange (ETDEWEB)

    Pantenburg, F.J. E-mail: pantenburg@imt.fzk.de; Mohr, J

    2001-07-21

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 {mu}m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  15. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Science.gov (United States)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  16. Deep X-ray lithography for the fabrication of microstructures at ELSA

    International Nuclear Information System (INIS)

    Pantenburg, F.J.; Mohr, J.

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described

  17. Deep X-ray lithography for the fabrication of microstructures at ELSA

    CERN Document Server

    Pantenburg, F J

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 mu m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  18. Lithography-free nanofluidic concentrator based on droplets-on-demand system

    Science.gov (United States)

    Yu, Miao; Zhou, Hongbo; Yao, Shuhuai

    2013-11-01

    Biomarkers are usually low-abundance proteins in biofluids and below detection limit of conventional biosensors. Nanofluidic concentration devices allow efficient biomolecules trapping by utilizing ion concentration polarization near nanochannels. However, once the electric field is turned off, the electrokinetic concentration plug cannot maintain its concentration status and starts to diffuse. In order to maintain the high concentration and extract the concentrated sample for further analysis, a good approach is to encapsulate these plugs into water-in-oil droplets. Here we developed a nanofluidic concentrator based on droplet-on-demand generator to encapsulate concentrated sample in nL droplets. The lithography-free nanochannels were patterned by thermal cracking on the surface of PS Petri-dish. The resulting nanochannel arrays were 30 nm in depth. In combination with microchannels on PDMS, the micro-nano hybrid chip was developed. We used FITC solution to demonstrate that the chip significantly increased the sample concentration for more than 100 folds within 5 minutes. By tuning the pulsed pressure imposed by the solenoid valve connected to the concentration channel, the system can generate a desired volume of droplet with a target sample concentration at a prescribed time. This work was supported by the Research Grants Council of Hong Kong under General Research Fund (Grant No. 621110).

  19. Immersion and Gameplay Experience: A Contingency Framework

    Directory of Open Access Journals (Sweden)

    Daniel Örtqvist

    2010-01-01

    Full Text Available The nature of the relationship between immersion and gameplay experience is investigated, focusing primarily on the literature related to flow. In particular, this paper proposes that immersion and gameplay experience are conceptually different, but empirically positively related through mechanisms related to flow. Furthermore, this study examines gamers' characteristics to determine the influence between immersion and gameplay experiences. The study involves 48 observations in one game setting. Regression analyses including tests for moderation and simple slope analysis are used to reveal gamers' age, experience, and understanding of the game, which moderate the relationship between immersion and gameplay experience. The results suggest that immersion is more positive for gameplay experience when the gamer lacks experience and understanding of the game as well as when the gamer is relatively older. Implications and recommendations for future research are discussed at length in the paper.

  20. Lead zirconate titanate nanoscale patterning by ultraviolet-based lithography lift-off technique for nano-electromechanical system applications.

    Science.gov (United States)

    Guillon, Samuel; Saya, Daisuke; Mazenq, Laurent; Costecalde, Jean; Rèmiens, Denis; Soyer, Caroline; Nicu, Liviu

    2012-09-01

    The advantage of using lead zirconate titanate (PbZr(0.54)Ti(0.46)O(3)) ceramics as an active material in nanoelectromechanical systems (NEMS) comes from its relatively high piezoelectric coefficients. However, its integration within a technological process is limited by the difficulty of structuring this material with submicrometer resolution at the wafer scale. In this work, we develop a specific patterning method based on optical lithography coupled with a dual-layer resist process. The main objective is to obtain sub-micrometer features by lifting off a 100-nm-thick PZT layer while preserving the material's piezoelectric properties. A subsequent result of the developed method is the ability to stack several layers with a lateral resolution of few tens of nanometers, which is mandatory for the fabrication of NEMS with integrated actuation and read-out capabilities.

  1. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei; Lin, Liang; Xu, Yelong; Guo, Xu; Liu, Xiaoping; Ge, Haixiong; Lu, Minghui; Cui, Bo; Chen, Yanfeng

    2014-01-01

    manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage

  2. Reverse-absorbance-modulation-optical lithography for optical nanopatterning at low light levels

    Energy Technology Data Exchange (ETDEWEB)

    Majumder, Apratim, E-mail: apratim.majumder@utah.edu; Wan, Xiaowen; Masid, Farhana; Menon, Rajesh [Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, Utah 84112 (United States); Pollock, Benjamin J.; Andrew, Trisha L. [Department of Chemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Soppera, Olivier [Mulhouse Institute for Material Sciences, CNRS LRC 7228, BP2488, Mulhouse 68200 (France)

    2016-06-15

    Absorbance-Modulation-Optical Lithography (AMOL) has been previously demonstrated to be able to confine light to deep sub-wavelength dimensions and thereby, enable patterning of features beyond the diffraction limit. In AMOL, a thin photochromic layer that converts between two states via light exposure is placed on top of the photoresist layer. The long wavelength photons render the photochromic layer opaque, while the short-wavelength photons render it transparent. By simultaneously illuminating a ring-shaped spot at the long wavelength and a round spot at the short wavelength, the photochromic layer transmits only a highly confined beam at the short wavelength, which then exposes the underlying photoresist. Many photochromic molecules suffer from a giant mismatch in quantum yields for the opposing reactions such that the reaction initiated by the absorption of the short-wavelength photon is orders of magnitude more efficient than that initiated by the absorption of the long-wavelength photon. As a result, large intensities in the ring-shaped spot are required for deep sub-wavelength nanopatterning. In this article, we overcome this problem by using the long-wavelength photons to expose the photoresist, and the short-wavelength photons to confine the “exposing” beam. Thereby, we demonstrate the patterning of features as thin as λ/4.7 (137 nm for λ = 647 nm) using extremely low intensities (4-30 W/m{sup 2}, which is 34 times lower than that required in conventional AMOL). We further apply a rigorous model to explain our experiments and discuss the scope of the reverse-AMOL process.

  3. Fabrication of hydrophobic structures on coronary stent surface based on direct three-beam laser interference lithography

    Science.gov (United States)

    Gao, Long-yue; Zhou, Wei-qi; Wang, Yuan-bo; Wang, Si-qi; Bai, Chong; Li, Shi-ming; Liu, Bin; Wang, Jun-nan; Cui, Cheng-kun; Li, Yong-liang

    2016-05-01

    To solve the problems with coronary stent implantation, coronary artery stent surface was directly modified by three-beam laser interference lithography through imitating the water-repellent surface of lotus leaf, and uniform micro-nano structures with the controllable period were fabricated. The morphological properties and contact angle (CA) of the microstructure were measured by scanning electron microscope (SEM) and CA system. The water repellency of stent was also evaluated by the contact and then separation between the water drop and the stent. The results show that the close-packed concave structure with the period of about 12 μm can be fabricated on the stent surface with special parameters (incident angle of 3°, laser energy density of 2.2 J·cm-2 and exposure time of 80 s) by using the three-beam laser at 1 064 nm, and the structure has good water repellency with CA of 120°.

  4. Fabrication of nanoparticle and protein nanostructures using nanoimprint lithography

    NARCIS (Netherlands)

    Maury, P.A.

    2007-01-01

    Nanoimprint lithography (NIL) was used as a tool to pattern self-assembled monolayers (SAMs) on silicon substrates because of its ability to pattern in the micrometer and nanometer ranges. The resulting polymer template behaved as a physical barrier preventing the formation of a SAM in the covered

  5. Personal protective clothing against accidental immersion

    Energy Technology Data Exchange (ETDEWEB)

    Elliott, David; Tipton, Michael [Surrey Univ., Robens Inst. of Health and Safety, Guildford (United Kingdom)

    1997-12-31

    The requirements for protective clothing against accidental immersion are discussed and the advantages and limitations of the main types of immersion protection available are analysed. The variety of designs available reflects the various circumstances under which they may be used. In broad terms in the offshore industry these include the following activities: normal work without risk of immersion but with a possible need to abandon the rig or ship; work in areas where there is risk of accidentally falling into the sea; flying over the sea in a helicopter. The first response to sudden immersion in sea water, which must usually be considered to be cold, is a sudden gasp often followed by an immediate phase of uncontrolled breathing. Since control of ones breathing between and under the breaking waves is essential to staying alive, this is a critical time. After surviving this initial ``cold shock`` phase, the effects of body heat loss become hazardous. Protection against hypothermia has been the priority for those providing survival suits and protective clothing while the hazard of the immediate response to cold immersion has been unrecognised to a large extent. (UK)

  6. Patterning and photoluminescent properties of perovskite-type organic/inorganic hybrid luminescent films by soft lithography

    Science.gov (United States)

    Cheng, Z. Y.; Wang, Z.; Xing, R. B.; Han, Y. C.; Lin, J.

    2003-07-01

    Perovskite-type organic/inorganic hybrid layered compound (C 6H 5C 2H 4NH 3) 2PbI 4 was synthesized. The patterning of (C 6H 5C 2H 4NH 3) 2PbI 4 thin films on silicon substrate was realized by the micromolding in capillaries (MIMIC) process, a kind of soft lithography. Bright green luminescent stripes with different widths (50, 15, 0.8 μm) have been obtained. The structure and optical properties of (C 6H 5C 2H 4NH 3) 2PbI 4 films were characterized by X-ray diffraction (XRD), UV/Vis absorption and photoluminescence excitation and emission spectra, respectively. It is shown that the organic-inorganic layered (C 6H 5C 2H 4NH 3) 2PbI 4 film was c-axis oriented, paralleling to the substrate plane. Green exciton emission at 525 nm was observed in the film, and the explanations for it were given.

  7. L'expression orale apres treize ans d'immersion francaise (Oral Expression After Thirteen Years of French Immersion).

    Science.gov (United States)

    Pellerin, Micheline; Hammerly, Hector

    1986-01-01

    Conversations with six twelfth graders who had been in French immersion since kindergarten found a high rate of incorrect sentences, suggesting a faulty interlanguage fossilized at grade six and a need for immersion program revision. (MSE)

  8. Development of the immersed sodium flowmeter

    International Nuclear Information System (INIS)

    Chen Daolong

    1994-09-01

    An immersed sodium flowmeter of the range 3 m 3 /h is developed. It is a flowmeter of entire-sealed construction, it can be operated in sodium. Its construction, the theoretical calculation of the calibration characteristic and the pressure loss, the test facility and the calibration test are presented in detail. It analytical expression of the calibration characteristic in the temperature limit 200∼600 degree C and the error analysis are given. The basic error of this immersed sodium flowmeter is below +-2.3% of the measuring range. The immersed sodium flowmeter can be used to resolve the sodium flowrate measuring problems of the in-reactor component of LMFBR, for example, the flowrate measuring of the in-reactor sodium purification loop, the flowrate measuring of the immersed sodium pump and the flowrate measuring of the in-reactor test component

  9. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk, E-mail: gyjung@gist.ac.k, E-mail: jslee@gist.ac.k [Department of Materials Science and Engineering, Gwangju Institute of Science and Technology (GIST), 261 Cheomdan-gwagiro (Oryong-dong), Buk-gu Gwangju 500-712 (Korea, Republic of)

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  10. The pedagogical practices of a teacher of Portuguese Foreign Language (PLE in immersion and non-immersion context

    Directory of Open Access Journals (Sweden)

    Nildicéia Aparecida Rocha

    2016-05-01

    Full Text Available The aim of this paper is to present a reflection on the specifics of teaching Portuguese as a Foreign Language (PLE both in the context of immersion and outside it, from the observations carried out in two stages: first, the practice of a teacher PLE will be described in immersion situation at a university in the state of São Paulo, Brazil; and then practice the same teacher in a course of PLE out of the immersion context, at a university in Spain, in a provincial capital. In this sense, the teaching practice will be analyzed from a teacher of PLE in immersion situation and beyond when the didactic and pedagogical treatment of the inseparable relationship between language and culture within an intercultural communicative approach. It is a qualitative research in which it is a case of state, showing the practice of one teacher (research subjects in two socio-historically different contexts, but with the same approach. The survey results indicate that the teacher's practice in non-immersion context had to be re-signified to enable PLE learning in such a context. In fact, the teacher had to redefine their practice and deconstruct a belief and turn to the theory, according to their didactic and pedagogical and linguistic concerns, finding that the examination of social, historical and cultural data should always be the guiding and / or determinants as regards the teaching of a foreign language, in particular PLE out of immersion.

  11. Metal free structural colours via disordered nanostructures with nm resolution and full CYMK colour spectrum

    KAUST Repository

    Bonifazi, Marcella

    2017-02-28

    Structural colours represents a research area of great interest, due to a wide field of application ranging from micro-security to biomimetic materials. At present metallic substrate are heavily employed and only a partial spectra of colours can be realised. We propose a novel, metal-free technology that exploits the complex scattering from a disordered three-dimensional dielectric material on a silicon substrate. We reproduce experimentally the full spectrum of CMYK colours, including variations in intensity. Our resolution lies in the nm range, limited only by the electron beam lithography fabrication process. We demonstrate that this technique is extremely robust, suitable for flexible and reusable substrates. Full of these notable proprieties these nano-structures fits perfectly with the requirements of a real-world technology.

  12. Metal free structural colours via disordered nanostructures with nm resolution and full CYMK colour spectrum

    KAUST Repository

    Bonifazi, Marcella; Mazzone, Valerio; Fratalocchi, Andrea

    2017-01-01

    Structural colours represents a research area of great interest, due to a wide field of application ranging from micro-security to biomimetic materials. At present metallic substrate are heavily employed and only a partial spectra of colours can be realised. We propose a novel, metal-free technology that exploits the complex scattering from a disordered three-dimensional dielectric material on a silicon substrate. We reproduce experimentally the full spectrum of CMYK colours, including variations in intensity. Our resolution lies in the nm range, limited only by the electron beam lithography fabrication process. We demonstrate that this technique is extremely robust, suitable for flexible and reusable substrates. Full of these notable proprieties these nano-structures fits perfectly with the requirements of a real-world technology.

  13. Single-mode solid-state polymer dye laser fabricated with standard I-line UV lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Mironov, Andrej; Nilsson, Daniel

    2005-01-01

    We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G.......We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G....

  14. High Excitation Efficiency of Channel Plasmon Polaritons in Tailored, UV-Lithography-Defined V-Grooves

    DEFF Research Database (Denmark)

    Smith, Cameron; Thilsted, Anil Haraksingh; Garcia-Ortiz, Cesar E.

    2014-01-01

    We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs.......We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs....

  15. IQ-Station: A Low Cost Portable Immersive Environment

    Energy Technology Data Exchange (ETDEWEB)

    Eric Whiting; Patrick O' Leary; William Sherman; Eric Wernert

    2010-11-01

    The emergence of inexpensive 3D TV’s, affordable input and rendering hardware and open-source software has created a yeasty atmosphere for the development of low-cost immersive environments (IE). A low cost IE system, or IQ-station, fashioned from commercial off the shelf technology (COTS), coupled with a targeted immersive application can be a viable laboratory instrument for enhancing scientific workflow for exploration and analysis. The use of an IQ-station in a laboratory setting also has the potential of quickening the adoption of a more sophisticated immersive environment as a critical enabler in modern scientific and engineering workflows. Prior work in immersive environments generally required either a head mounted display (HMD) system or a large projector-based implementation both of which have limitations in terms of cost, usability, or space requirements. The solution presented here provides an alternative platform providing a reasonable immersive experience that addresses those limitations. Our work brings together the needed hardware and software to create a fully integrated immersive display and interface system that can be readily deployed in laboratories and common workspaces. By doing so, it is now feasible for immersive technologies to be included in researchers’ day-to-day workflows. The IQ-Station sets the stage for much wider adoption of immersive environments outside the small communities of virtual reality centers.

  16. Patterning lead zirconate titanate nanostructures at sub-200-nm resolution by soft confocal imprint lithography and nanotransfer molding

    NARCIS (Netherlands)

    Khan, Sajid; Göbel, Ole; Blank, David H.A.; ten Elshof, Johan E.

    2009-01-01

    Patterned sol-gel-derived lead zirconate titanate (PZT) thin films with lateral resolutions down to 100 nm on silicon are reported. Both an imprint and a transfer-molding method were employed. The formed patterns after annealing were characterized with scanning electron microscopy, atomic force

  17. Combined e-beam lithography using different energies

    Czech Academy of Sciences Publication Activity Database

    Krátký, Stanislav; Kolařík, Vladimír; Horáček, Miroslav; Meluzín, Petr; Král, Stanislav

    2017-01-01

    Roč. 177, JUN (2017), s. 30-34 ISSN 0167-9317 R&D Projects: GA TA ČR TE01020233; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : grayscale e-beam lithography * mix and match process * absorbed energy density * resist sensitivity * micro-optical elements Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Nano-processes (applications on nano-scale) Impact factor: 1.806, year: 2016

  18. Immersion Pulmonary Edema in Female Triathletes

    Directory of Open Access Journals (Sweden)

    Eric A. Carter

    2011-01-01

    Full Text Available Pulmonary edema has been reported in SCUBA divers, apnea divers, and long-distance swimmers however, no instances of pulmonary edema in triathletes exist in the scientific literature. Pulmonary edema may cause seizures and loss of consciousness which in a water environment may become life threatening. This paper describes pulmonary edema in three female triathletes. Signs and symptoms including cough, fatigue, dyspnea, haemoptysis, and rales may occur within minutes of immersion. Contributing factors include hemodynamic changes due to water immersion, cold exposure, and exertion which elevate cardiac output, causing pulmonary capillary stress failure, resulting in extravasation of fluid into the airspace of the lung. Previous history is a major risk factor. Treatment involves immediate removal from immersion and in more serious cases, hospitalization, and oxygen administration. Immersion pulmonary edema is a critical environmental illness of which triathletes, race organizers, and medical staff, should be made aware.

  19. Whole body cooling by immersion in water at moderate temperatures.

    Science.gov (United States)

    Marino, F; Booth, J

    1998-06-01

    This study investigated the potential use of whole body cooling by water immersion for lowering body temperatures prior to endurance exercise. Rectal temperature (Tre), mean skin temperature (Tsk), oxygen consumption (VO2), and ventilation (VE) were measured in 7 male and 3 female subjects who were immersed in a water bath for up to 60 min. Initial water temperature was 28.8+/-1.5 degrees C and decreased to 23.8+/-1.1 degrees C by the end of immersion. Pre-immersion Tre of 37.34+/-0.36 degrees C was not altered by 60 min water immersion but decreased to 36.64+/-0.34 degrees C at 3 min post immersion (p immersion. Reductions in Tre and Tsk resulted in reduced body heat content (Hc) of approximately 545 kJ (p immersion. VO2 and VE increased from pre-immersion values of 0.34+/-0.08 L x min(-1) and 6.2+/-1.4 L x min(-1) to 0.54+/-0.09 L x min(-) and 11.5+/-5.4 L x min(-1) at the end of immersion, respectively. Heart rate remained unchanged throughout immersion. These results indicate that whole body immersion in moderately cold water temperatures is an effective cooling maneuver for lowering body temperatures and body Hc in the absence of severe physiological responses generally associated with sudden cold stress.

  20. Finite-volume discretizations and immersed boundaries

    NARCIS (Netherlands)

    Y.J. Hassen (Yunus); B. Koren (Barry)

    2009-01-01

    htmlabstractIn this chapter, an accurate method, using a novel immersed-boundary approach, is presented for numerically solving linear, scalar convection problems. As is standard in immersed-boundary methods, moving bodies are embedded in a fixed `Cartesian' grid. The essence of the present method

  1. Finite-volume discretizations and immersed boundaries

    NARCIS (Netherlands)

    Y.J. Hassen (Yunus); B. Koren (Barry)

    2010-01-01

    textabstractIn this chapter, an accurate method, using a novel immersed-boundary approach, is presented for numerically solving linear, scalar convection problems. As is standard in immersed-boundary methods, moving bodies are embedded in a fixed Cartesian grid. The essence of the present method is

  2. Fabrication of periodically ordered diamond nanostructures by microsphere lithography

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Štolcová, L.; Proška, J.; Kromka, Alexander

    2014-01-01

    Roč. 251, č. 12 (2014), s. 2587-2592 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 Keywords : CVD growth * diamond * microsphere lithography * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  3. Immersion Ethnography of Elites

    DEFF Research Database (Denmark)

    Harrington, Brooke

    2016-01-01

    This chapter examines an innovative form of data-gathering that brings together two of the greatest methodological challenges social scientists face: conducting classical immersion ethnography and gaining access to elites. The difficulties of accessing elites for research purposes have been well......-documented (Conti and O’Neill 2007; Gilding 2010; Harrington 2003). There has been less scholarly discussion of the challenges posed by traditional ethnography, a method whose claim to scientific status is based on the length and depth of the investigator’s immersion in an organization or culture....

  4. KinImmerse: Macromolecular VR for NMR ensembles

    Directory of Open Access Journals (Sweden)

    Vinson E Claire

    2009-02-01

    Full Text Available Abstract Background In molecular applications, virtual reality (VR and immersive virtual environments have generally been used and valued for the visual and interactive experience – to enhance intuition and communicate excitement – rather than as part of the actual research process. In contrast, this work develops a software infrastructure for research use and illustrates such use on a specific case. Methods The Syzygy open-source toolkit for VR software was used to write the KinImmerse program, which translates the molecular capabilities of the kinemage graphics format into software for display and manipulation in the DiVE (Duke immersive Virtual Environment or other VR system. KinImmerse is supported by the flexible display construction and editing features in the KiNG kinemage viewer and it implements new forms of user interaction in the DiVE. Results In addition to molecular visualizations and navigation, KinImmerse provides a set of research tools for manipulation, identification, co-centering of multiple models, free-form 3D annotation, and output of results. The molecular research test case analyzes the local neighborhood around an individual atom within an ensemble of nuclear magnetic resonance (NMR models, enabling immersive visual comparison of the local conformation with the local NMR experimental data, including target curves for residual dipolar couplings (RDCs. Conclusion The promise of KinImmerse for production-level molecular research in the DiVE is shown by the locally co-centered RDC visualization developed there, which gave new insights now being pursued in wider data analysis.

  5. Programmable imprint lithography template

    Science.gov (United States)

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  6. Immersion Strategies.

    Science.gov (United States)

    Lorenz, Eileen B.

    Four classroom activities useful for language immersion instruction are described and specific applications and extensions are noted. All are best used to teach content and language at the same time. The first, entitled "Think-Pair-Share," is a cooperative learning technique that increases student participation in classroom experiences and…

  7. Development of compact synchrotron light source LUNA for x-ray lithography

    International Nuclear Information System (INIS)

    Takahashi, M.; Mandai, S.; Hoshi, Y.; Kohno, Y.

    1992-01-01

    A compact synchrotron light source LUNA has been developed by Ishikawajima-Harima Heavy Industries Co., Ltd. (IHI), especially for x-ray lithography. It consists of a 45-MeV linac as an electron injector and an 800-MeV synchrotron. The peak wavelength of synchrotron radiation is around 10 A. The installation of LUNA was completed in April 1989 at the Tsuchiura Facility of IHI. The synchrotron radiation was first observed in December 1989. A stored beam current of 50 mA at 800 MeV and a lifetime over 1 h have been achieved. At present, experiments are still continuing to increase the stored current and the lifetime. X-ray lithography testing is scheduled to begin in a clean room in this facility. This paper describes the outline of LUNA and the present status

  8. The DARPA compact Superconducting X-Ray Lithography Source features

    International Nuclear Information System (INIS)

    Heese, R.; Kalsi, S.; Leung, E.

    1991-01-01

    Under DARPA sponsorship, a compact Superconducting X-Ray Lithography Source (SXLS) is being designed and built by the Brookhaven National Laboratory (BNL) with industry participation from Grumman Corporation and General Dynamics. This source is optimized for lithography work for sub-micron high density computer chips, and is about the size of a billiard table (1.5 m x 4.0 m). The machine has a racetrack configuration with two 180 degree bending magnets being designed and built by General Dynamics under a subcontract with Grumman Corporation. The machine will have 18 photon ports which would deliver light peaked at a wave length of 10 Angstroms. Grumman is commercializing the SXLS device and plans to book orders for delivery of industrialized SXLS (ISXLS) versions in 1995. This paper will describe the major features of this device. The commercial machine will be equipped with a fully automated user-friendly control systems, major features of which are already working on a compact warm dipole ring at BNL. This ring has normal dipole magnets with dimensions identical to the SXLS device, and has been successfully commissioned

  9. Integration of plant viruses in electron beam lithography nanostructures

    International Nuclear Information System (INIS)

    Alonso, Jose M; Bittner, Alexander M; Ondarçuhu, Thierry

    2013-01-01

    Tobacco mosaic virus (TMV) is the textbook example of a virus, and also of a self-assembling nanoscale structure. This tubular RNA/protein architecture has also found applications as biotemplate for the synthesis of nanomaterials such as wires, as tubes, or as nanoparticle assemblies. Although TMV is, being a biological structure, quite resilient to environmental conditions (temperature, chemicals), it cannot be processed in electron beam lithography (eBL) fabrication, which is the most important and most versatile method of nanoscale structuring. Here we present adjusted eBL-compatible processes that allow the incorporation of TMV in nanostructures made of positive and negative tone eBL resists. The key steps are covering TMV by polymer resists, which are only heated to 50 °C, and development (selective dissolution) in carefully selected organic solvents. We demonstrate the post-lithography biochemical functionality of TMV by selective immunocoating of the viral particles, and the use of immobilized TMV as direct immunosensor. Our modified eBL process should be applicable to incorporate a wide range of sensitive materials in nanofabrication schemes. (paper)

  10. Studies of nitride- and oxide-based materials as absorptive shifters for embedded attenuated phase-shifting mask in 193 nm

    Science.gov (United States)

    Lin, Cheng-ming; Chang, Keh-wen; Lee, Ming-der; Loong, Wen-An

    1999-07-01

    Abstract-Five materials which are PdSixOy, CrAlxOy, SiNx, TiSixNy, and TiSixOyNz as absorptive shifters for attenuated phase-shifting mask in 193 nm wavelength lithography are presented. PdSixOy films were deposited by dual e-gun evaporation. CrAlxOy, TiSixNy and TiSixOyNz films were formed by plasma sputtering and SiNx films were formed with LPCVD. All of these materials are shown to be capable of achieving 4 percent - 15 percent transmittance in 193 nm with thickness that produce a 180 degrees phase shift. Under BCl3:Cl2 equals 14:70 sccm; chamber pressure 5 mtorr and RF power 1900W, the dry etching selectivity of TiSixNy over DQN positive resist and fused silica, were found to be 2:1 and 4,8:1 respectively. An embedded layer TiSixNy with 0.5 micrometers line/space was successfully patterned.

  11. The Influence of Hand Immersion Duration on Manual Performance.

    Science.gov (United States)

    Ray, Matthew; Sanli, Elizabeth; Brown, Robert; Ennis, Kerri Ann; Carnahan, Heather

    2017-08-01

    To investigate the effect of hand immersion duration on manipulative ability and tactile sensitivity. Individuals in maritime settings often work with hands that have been immersed in water. Although research has shown that hand immersion duration differentially impacts skin adhesion and tactile sensitivity, the effect of hand immersion on manipulative ability has not been directly tested. Given how critical manipulative ability is for the safety and performance of those working at sea, the effect of hand immersion duration on manual performance was investigated. Tests of manipulative ability (Purdue Pegboard, Grooved Pegboard, reef knot untying) and tactile sensitivity (Touch-Test) were completed following no-exposure, short-exposure, and long-exposure hand immersions in thermoneutral water. Compared to the no immersion condition, the Purdue Pegboard performance was reduced in both immersion conditions (short exposure, -11%; long exposure, -8%). A performance decrement was only observed in the short exposure condition (+15% in time to complete task) for the reef knot untying task. There were no statistical differences in the Grooved Pegboard or Touch-Test scores between exposure conditions. Immersing the hands in water decreases manipulative ability except for when object properties reduce the slipperiness between the hand and object. Manual performance in a wet environment may be conserved by designing tools and objects with edges and textures that can offset the slipperiness of wet hands. To maintain safety, the time requirements for working with wet hands needs to be considered.

  12. Examination for optimization of synchrotron radiation spectrum for the x ray depth lithography

    Science.gov (United States)

    Dany, Raimund

    1992-06-01

    The effect of reducing the vertical distribution of synchrotron radiation on its spectral distribution is examined through resin irradiation. The resulting filter effect is compared to that of absorption filters. Transmission coefficients of titanium, gold, and polyamide were calculated from linear absorption coefficients with the Beer law. The use of a diaphragm in X-ray depth lithography, which is the first step of the LIGA (Lithography Galvanoforming Molding) process, is discussed. A calorimetric device for determining the synchrotron radiation power and distribution was developed and tested. Measurements at the ELSA storage ring show a strong dependence of the vertical emittance on the electron current.

  13. 46 CFR 199.214 - Immersion suits and thermal protective aids.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Immersion suits and thermal protective aids. 199.214... Passenger Vessels § 199.214 Immersion suits and thermal protective aids. (a) Each passenger vessel must... an immersion suit. (c) The immersion suits and thermal protective aids required under paragraphs (a...

  14. „New approaches to atomic force microscope lithography on silicon"

    DEFF Research Database (Denmark)

    Birkelund, Karen; Thomsen, Erik Vilain; Rasmussen, Jan Pihl

    1997-01-01

    We have investigated new approaches to the formation of conducting nanowires on crystalline silicon surfaces using atomic force microscope (AFM) lithography. To increase processing speed and reduce wear of the AFM tip, large-scale structures are formed with a direct laser write setup, while the AFM...

  15. The Balancing Act of Bilingual Immersion

    Science.gov (United States)

    Hadi-Tabassum, Samina

    2005-01-01

    Hadi-Tabassum believes having a separate life context for each language she learned in childhood enabled her to switch easily among five different tongues. She states that the success of dual immersion bilingual programs is largely dependent on whether they immerse students in each of the involved languages separately and help students have a…

  16. Immersion microcalorimetry of a carbon black

    International Nuclear Information System (INIS)

    Mendelbaum, Georges

    1966-01-01

    This research thesis first reports a detailed bibliographical study on various topics (fabrication of carbon black, oxidation, immersion heat, adsorptions, main existing theories, and thermodynamics) and then the development of immersion and adsorption microcalorimetry apparatuses aimed at studying the surface of a carbon black and the influence of the oxidation of this carbon black on the adsorption of polar and non-polar solvents. Immersion heats of a raw or oxidised carbon black have been measured in water, in cyclohexane and in methanol. The adsorption of methanol at 20 C and that of nitrogen at -196 C have also been measured. The author outlines that degassing conditions had to be taken into account before performing measurements [fr

  17. Effects of Aspect Ratio on Water Immersion into Deep Silica Nanoholes.

    Science.gov (United States)

    Zheng, Jing; Zhang, Junqiao; Tan, Lu; Li, Debing; Huang, Liangliang; Wang, Qi; Liu, Yingchun

    2016-08-30

    Understanding the influence of aspect ratio on water immersion into silica nanoholes is of significant importance to the etching process of semiconductor fabrication and other water immersion-related physical and biological processes. In this work, the processes of water immersion into silica nanoholes with different height/width aspect ratios (ϕ = 0.87, 1.92, 2.97, 4.01, 5.06) and different numbers of water molecules (N = 9986, 19972, 29958, 39944) were studied by molecular dynamics simulations. A comprehensive analysis has been conducted about the detailed process of water immersion and the influence of aspect ratios on water immersion rates. Five distinguishable stages were identified for the immersion process with all studied models. The results reveal that water can easily immerse into the silica nanoholes with larger ϕ and smaller N. The calculation also suggests that aspect ratios have a greater effect on water immersion rates for larger N numbers. The mechanism of the water immersion process is discussed in this work. We also propose a mathematical model to correlate the complete water immersion process for different aspect ratios.

  18. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  19. Fast thermal nanoimprint lithography by a stamp with integrated heater

    DEFF Research Database (Denmark)

    Tormen, Massimo; Malureanu, Radu; Pedersen, Rasmus Haugstrup

    2008-01-01

    We propose fast nanoimprinting lithography (NIL) process based on the use of stamps with integrated heater. The latter consists of heavily ion implantation n-type doped silicon layer buried below the microstructured surface of the stamp. The stamp is heated by Joule effect, by 50 μs 25 Hz...

  20. Story Immersion in a Health Videogame for Childhood Obesity Prevention.

    Science.gov (United States)

    Lu, Amy Shirong; Thompson, Debbe; Baranowski, Janice; Buday, Richard; Baranowski, Tom

    2012-02-15

    Stories can serve as powerful tools for health interventions. Story immersion refers to the experience of being absorbed in a story. This is among the first studies to analyze story immersion's role in health videogames among children by addressing two main questions: Will children be more immersed when the main characters are similar to them? Do increased levels of immersion relate to more positive health outcomes? Eighty-seven 10-12-year-old African-American, Caucasian, and Hispanic children from Houston, TX, played a health videogame, "Escape from Diab" (Archimage, Houston, TX), featuring a protagonist with both African-American and Hispanic phenotypic features. Children's demographic information, immersion, and health outcomes (i.e., preference, motivation, and self-efficacy) were recorded and then correlated and analyzed. African-American and Hispanic participants reported higher immersion scores than Caucasian participants ( P = 0.01). Story immersion correlated positively ( P values videogame characters and players enhanced immersion and several health outcomes. Effectively embedding characters with similar phenotypic features to the target population in interactive health videogame narratives may be important when motivating children to adopt obesity prevention behaviors.

  1. Flat super-oscillatory lens for heat-assisted magnetic recording with sub-50 nm resolution.

    Science.gov (United States)

    Yuan, Guanghui; Rogers, Edward T F; Roy, Tapashree; Shen, Zexiang; Zheludev, Nikolay I

    2014-03-24

    Heat-assisted magnetic recording (HAMR) is a future roadmap technology to overcome the superparamagnetic limit in high density magnetic recording. Existing HAMR schemes depend on a simultaneous magnetic stimulation and light-induced local heating of the information carrier. To achieve high-density recorded data, near-field plasmonic transducers have been proposed as light concentrators. Here we suggest and investigate in detail an alternative approach exploiting a far-field focusing device that can focus light into sub-50 nm hot-spots in the magnetic recording layer using a laser source operating at 473 nm. It is based on a recently introduced super-oscillatory flat lens improved with the use of solid immersion, giving an effective numerical aperture as high as 4.17. The proposed solution is robust and easy to integrate with the magnetic recording head thus offering a competitive advantage over plasmonic technology.

  2. Linear Fresnel zone plate based two-state alignment system for 0.25 micron x-ray lithography

    International Nuclear Information System (INIS)

    Chen, G.

    1993-01-01

    X-ray lithography has proven to be a cost effective and promising technique for fabricating Integrated Circuits (ICs) with minimum feature sizes of less than 0.25 μm. Since IC fabrication is a multilevel process, to preserve the functionality of devices, circuit patterns printed at each lithography level must match existing patterns on the wafer with an accuracy of less than 1/3 ∼ 1/5 of the minimum feature size. An alignment system is used to position the mask relative to the wafer so that mask circuit patterns can be printed on the wafer at the designed position. As the minimum printed feature size shrinks, the overlay requirements of a lithography tool become more stringent. A stepper for 0.25 μm feature device fabrication requires an overlay accuracy of 0.075 μm, of which only 0.05 μm (mean + 3σ) is allocated to its alignment system. This thesis presents the development of a linear Fresnel zone late based two-state alignment (TSA) method for a 0.25 μm x-ray lithography tool. The authors first analyze the overlay requirement in a lithography process and the error allocation to the alignment system for a 0.25 μ feature x-ray lithography tool. They then describe the principle of the two-state alignment, its computer simulation and the optimal alignment mark design. They carried out an optical bench test for the one-axes alignment setup and experimentally evaluated the performance of the system. They developed a three-axes TSA system and integrated the system with the ES-3 x-ray beamline to construct the CXrL aligner, an experimental x-ray exposure system in CXrL. They measured the alignment accuracy of the exposure system to be better than 0.035 μm (3σ) on both metal and dielectric alignment mark substrates. They also studied the effect of processing coatings on the alignment signal with different wafer mark substrates. They successfully printed the 0.5 μm gate level patterns for the first NMOS test chip at CXrL

  3. Fabrication and Optical Characterization of Silicon Nanostructure Arrays by Laser Interference Lithography and Metal-Assisted Chemical Etching

    Directory of Open Access Journals (Sweden)

    P. Heydari

    2014-10-01

    Full Text Available In this paper metal-assisted chemical etching has been applied to pattern porous silicon regions and silicon nanohole arrays in submicron period simply by using positive photoresist as a mask layer. In order to define silicon nanostructures, Metal-assisted chemical etching (MaCE was carried out with silver catalyst. Provided solution (or materiel in combination with laser interference lithography (LIL fabricated different reproducible pillars, holes and rhomboidal structures. As a result, Submicron patterning of porous areas and nanohole arrays on Si substrate with a minimum feature size of 600nm was achieved. Measured reflection spectra of the samples present different optical characteristics which is dependent on the shape, thickness of metal catalyst and periodicity of the structure. These structures can be designed to reach a photonic bandgap in special range or antireflection layer in energy harvesting applications. The resulted reflection spectra of applied method are comparable to conventional expensive and complicated dry etching techniques.

  4. Preparing patterned carbonaceous nanostructures directly by overexposure of PMMA using electron-beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Duan Huigao; Zhao Jianguo; Zhang Yongzhe; Xie Erqing [School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Han Li [Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China)], E-mail: duanhg@gmail.com, E-mail: xieeq@lzu.edu.cn

    2009-04-01

    The overexposure process of poly(methyl methacrylate) (PMMA) was studied in detail using electron-beam lithography. It was found that PMMA films could be directly patterned without development due to the electron-beam-induced collapse of PMMA macromolecular chains. By analyzing the evolution of surface morphologies and compositions of the overexposed PMMA films, it was also found that the transformation of PMMA from positive to negative resist was a carbonization process, so patterned carbonaceous nanostructures could be prepared directly by overexposure of PMMA using electron-beam lithography. This simple one-step process for directly obtaining patterned carbonaceous nanostructures has promising potential application as a tool to make masks and templates, nanoelectrodes, and building blocks for MEMS and nanophotonic devices.

  5. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    Science.gov (United States)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  6. Immersive Training Systems: Virtual Reality and Education and Training.

    Science.gov (United States)

    Psotka, Joseph

    1995-01-01

    Describes virtual reality (VR) technology and VR research on education and training. Focuses on immersion as the key added value of VR, analyzes cognitive variables connected to immersion, how it is generated in synthetic environments and its benefits. Discusses value of tracked, immersive visual displays over nonimmersive simulations. Contains 78…

  7. Story Immersion in a Health Videogame for Childhood Obesity Prevention

    Science.gov (United States)

    Thompson, Debbe; Baranowski, Janice; Buday, Richard; Baranowski, Tom

    2012-01-01

    Abstract Objective Stories can serve as powerful tools for health interventions. Story immersion refers to the experience of being absorbed in a story. This is among the first studies to analyze story immersion's role in health videogames among children by addressing two main questions: Will children be more immersed when the main characters are similar to them? Do increased levels of immersion relate to more positive health outcomes? Subjects and Methods Eighty-seven 10–12-year-old African-American, Caucasian, and Hispanic children from Houston, TX, played a health videogame, “Escape from Diab” (Archimage, Houston, TX), featuring a protagonist with both African-American and Hispanic phenotypic features. Children's demographic information, immersion, and health outcomes (i.e., preference, motivation, and self-efficacy) were recorded and then correlated and analyzed. Results African-American and Hispanic participants reported higher immersion scores than Caucasian participants (P=0.01). Story immersion correlated positively (P valuesvideogame characters and players enhanced immersion and several health outcomes. Effectively embedding characters with similar phenotypic features to the target population in interactive health videogame narratives may be important when motivating children to adopt obesity prevention behaviors. PMID:24066276

  8. Exploring the Design Space of Immersive Urban Analytics

    OpenAIRE

    Chen, Zhutian; Wang, Yifang; Sun, Tianchen; Gao, Xiang; Chen, Wei; Pan, Zhigeng; Qu, Huamin; Wu, Yingcai

    2017-01-01

    Recent years have witnessed the rapid development and wide adoption of immersive head-mounted devices, such as HTC VIVE, Oculus Rift, and Microsoft HoloLens. These immersive devices have the potential to significantly extend the methodology of urban visual analytics by providing critical 3D context information and creating a sense of presence. In this paper, we propose an theoretical model to characterize the visualizations in immersive urban analytics. Further more, based on our comprehensiv...

  9. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura

    2017-01-13

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  10. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura; Alabastri, Alessandro; Bonanni, Simon; Majewska, Roksana; Dattoli, Elisabetta; Barberio, Marianna; Candeloro, Patrizio; Perozziello, Gerardo; Mollace, Vincenzo; Di Fabrizio, Enzo M.; Gentile, Francesco

    2017-01-01

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  11. Image grating metrology using phase-stepping interferometry in scanning beam interference lithography

    Science.gov (United States)

    Li, Minkang; Zhou, Changhe; Wei, Chunlong; Jia, Wei; Lu, Yancong; Xiang, Changcheng; Xiang, XianSong

    2016-10-01

    Large-sized gratings are essential optical elements in laser fusion and space astronomy facilities. Scanning beam interference lithography is an effective method to fabricate large-sized gratings. To minimize the nonlinear phase written into the photo-resist, the image grating must be measured to adjust the left and right beams to interfere at their waists. In this paper, we propose a new method to conduct wavefront metrology based on phase-stepping interferometry. Firstly, a transmission grating is used to combine the two beams to form an interferogram which is recorded by a charge coupled device(CCD). Phase steps are introduced by moving the grating with a linear stage monitored by a laser interferometer. A series of interferograms are recorded as the displacement is measured by the laser interferometer. Secondly, to eliminate the tilt and piston error during the phase stepping, the iterative least square phase shift method is implemented to obtain the wrapped phase. Thirdly, we use the discrete cosine transform least square method to unwrap the phase map. Experiment results indicate that the measured wavefront has a nonlinear phase around 0.05 λ@404.7nm. Finally, as the image grating is acquired, we simulate the print-error written into the photo-resist.

  12. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    Science.gov (United States)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  13. Photoinhibition superresolution lithography

    Science.gov (United States)

    Forman, Darren Lawrence

    While the prospect of nanoscale manufacturing has generated tremendous excitement, arbitrary patterning at nanometer length scales cannot be brought about with current photolithography---the technology that for decades has driven electronics miniaturization and enabled mass production of digital logic, memory, MEMS and flat-panel displays. This is due to the relatively long wavelength of light and diffraction, which imposes a physical not technological limit on the resolution of a far-field optical pattern. Photoinhibited superresolution (PInSR) lithography is a new scheme designed to beat the diffraction limit through two-color confinement of photopolymerization and, via efficient single-photon absorption kinetics, also be high-throughput capable. This thesis describes development of an integrated optical and materials system for investigating spatiotemporal dynamics of photoinhibited superresolution lithography, with a demonstrated 3x superresolution beyond the diffraction limit. The two-color response, arising from orthogonal photogeneration of species that participate in competing reactions, is shown to be highly complex. This is both a direct and indirect consequence of mobility. Interesting trade-offs arise: thin-film resins (necessitated by single-photon absorption kinetics) require high viscosity for film stability, but the photoinhibition effect is suppressed in viscous resins. Despite this apparent suppression, which can be overcome with high excitation of the photoinhibition system, the low mobility afforded by viscous materials is beneficial for confinement of active species. Diffusion-induced blurring of patterned photoinhibition is problematic in a resin with viscosity = 1,000 cP, and overcome in a resin with viscosity eta = 500,000 cP. Superresolution of factor 3x beyond the diffraction limit is demonstrated at 0.2 NA, with additional results indicating superresolution ability at 1.2 NA. Investigating the effect of diminished photoinhibition efficacy

  14. Lubricated immersed boundary method in two dimensions

    Science.gov (United States)

    Fai, Thomas G.; Rycroft, Chris H.

    2018-03-01

    Many biological examples of fluid-structure interaction, including the transit of red blood cells through the narrow slits in the spleen and the intracellular trafficking of vesicles into dendritic spines, involve the near-contact of elastic structures separated by thin layers of fluid. Motivated by such problems, we introduce an immersed boundary method that uses elements of lubrication theory to resolve thin fluid layers between immersed boundaries. We demonstrate 2nd-order accurate convergence for simple two-dimensional flows with known exact solutions to showcase the increased accuracy of this method compared to the standard immersed boundary method. Motivated by the phenomenon of wall-induced migration, we apply the lubricated immersed boundary method to simulate an elastic vesicle near a wall in shear flow. We also simulate the dynamics of a vesicle traveling through a narrow channel and observe the ability of the lubricated method to capture the vesicle motion on relatively coarse fluid grids.

  15. Immersive Earth: Teaching Earth and Space with inexpensive immersive technology

    Science.gov (United States)

    Reiff, P. H.; Sumners, C.; Law, C. C.; Handron, K.

    2003-12-01

    In 1995 we pioneered "Space Update", the Digital Library for the rest of us", software that was so simple that a child could use it without a keyboard and yet would allow one-click updating of the daily earth and space science images without the dangers of having an open web browser on display. Thanks to NASA support, it allowed museums and schools to have a powerful exhibit for a tiny price. Over 40,000 disks in our series have been distributed so far to educators and the public. In 2003, with our partners we are again revolutionizing educational technology with a low-cost hardware and software solution to creating and displaying immersive content. Recently selected for funding as part of the REASoN competition, Immersive Earth is a partnership of scientists, museums, educators, and content providers. The hardware consists of a modest projector with a special fisheye lens to be used in an inflatable dome which many schools already have. This, coupled with a modest personal computer, can now easily project images and movies of earth and space, allows training students in 3-D content at a tiny fraction of the cost of a cave or fullscale dome theater. Another low-cost solution is the "Imove" system, where spherical movies can play on a personal computer, with the user changing the viewing direction with a joystick. We were the first to create immersive earth science shows, remain the leader in creating educational content that people want to see. We encourage people with "allsky" images or movies to bring it and see what it looks like inside a dome! Your content could be in our next show!

  16. Intrapericardial Denervation: Responses to Water Immersion in Rhesus Monkeys

    Science.gov (United States)

    McKeever, Kenneth H.; Keil, Lanny C.; Sandler, Harold

    1995-01-01

    Eleven anesthetized rhesus monkeys were used to study cardiovascular, renal, and endocrine alterations associated with 120 min of head-out water immersion. Five animals underwent complete intrapericardial denervation using the Randall technique, while the remaining six monkeys served as intact controls. Each animal was chronically instrumented with an electromagnetic flow probe on the ascending aorta, a strain gauge pressure transducer implanted in the apex of the left ventricle (LV), and electrocardiogram leads anchored to the chest wall and LV. During immersion, LV end-diastolic pressure, urine flow, glomerular filtration rate, sodium excretion, and circulating atrial natriuretic peptide (ANP) each increased (P less than 0.05) for intact and denervated monkeys. There were no alterations in free water clearance in either group during immersion, yet fractional excretion of free water increased (P less than 0.05) in the intact monkeys. Plasma renin activity (PRA) decreased (P less than 0.05) during immersion in intact monkeys but not the denervated animals. Plasma vasopressin (PVP) concentration decreased (P less than 0.05) during the first 30 min of immersion in both groups but was not distinguishable from control by 60 min of immersion in denervated monkeys. These data demonstrate that complete cardiac denervation does not block the rise in plasma ANP or prevent the natriuresis associated with head-out water immersion. The suppression of PVP during the first minutes of immersion after complete cardiac denervation suggests that extracardiac sensing mechanisms associated with the induced fluid shifts may be responsible for the findings.

  17. EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution

    NARCIS (Netherlands)

    Es, M.H. van; Sadeghian Marnani, H.

    2016-01-01

    Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting

  18. One-step sol-gel imprint lithography for guided-mode resonance structures.

    Science.gov (United States)

    Huang, Yin; Liu, Longju; Johnson, Michael; C Hillier, Andrew; Lu, Meng

    2016-03-04

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol-gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol-gel thin film in a single step. An organic-inorganic hybrid sol-gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol-gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol-gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol-gel thin film.

  19. One-step sol–gel imprint lithography for guided-mode resonance structures

    International Nuclear Information System (INIS)

    Huang, Yin; Liu, Longju; Lu, Meng; Johnson, Michael; C Hillier, Andrew

    2016-01-01

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol–gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol–gel thin film in a single step. An organic–inorganic hybrid sol–gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol–gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol–gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol–gel thin film. (paper)

  20. The ALIVE Project: Astronomy Learning in Immersive Virtual Environments

    Science.gov (United States)

    Yu, K. C.; Sahami, K.; Denn, G.

    2008-06-01

    The Astronomy Learning in Immersive Virtual Environments (ALIVE) project seeks to discover learning modes and optimal teaching strategies using immersive virtual environments (VEs). VEs are computer-generated, three-dimensional environments that can be navigated to provide multiple perspectives. Immersive VEs provide the additional benefit of surrounding a viewer with the simulated reality. ALIVE evaluates the incorporation of an interactive, real-time ``virtual universe'' into formal college astronomy education. In the experiment, pre-course, post-course, and curriculum tests will be used to determine the efficacy of immersive visualizations presented in a digital planetarium versus the same visual simulations in the non-immersive setting of a normal classroom, as well as a control case using traditional classroom multimedia. To normalize for inter-instructor variability, each ALIVE instructor will teach at least one of each class in each of the three test groups.