WorldWideScience

Sample records for nitrogen implantation effects

  1. Cytological effect of nitrogen ion implantation into Stevia

    International Nuclear Information System (INIS)

    Shen Mei; Wang Cailian; Chen Qiufang; Lu Ting; Shu Shizhen

    1997-01-01

    Dry seeds of Stevia were implanted by 35∼150 keV nitrogen ion with various doses. The cytological effect on M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with the increased with the increase of ion beam energy and dose. However, there was no significant linear regression relationship between ion dose and aberration rate. The cytological effect of nitrogen ion implantation was lower than that of γ-rays

  2. Nitrogen ion implantation effect on friction coefficient of tool steel

    International Nuclear Information System (INIS)

    Velichko, N.I.; Udovenko, V.F.; Markus, A.M.; Presnyakova, G.N.; Gamulya, G.D.

    1988-01-01

    Effect of nitrogen molecular ion implantation into KhVSG steel on the friction coefficient in the air and vacuum is investigated. Irradiation is carried out by the N 2 + beam with energy 120 keV and flux density 5 μ/cm 2 at room temperature in vacuum 5x10 -4 Pa. The integral dose of irradiation is 10 17 particle/cm 2 . Nitrogen ion implantation is shown to provide the formation of the modified layer changing friction properties of steel. The friction coefficient can either increase or decrease depending on implantation and test conditions. 4 refs.; 2 figs

  3. Long-range effect in nitrogen ion-implanted AISI 316L stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Budzynski, P., E-mail: p.budzynski@pollub.pl

    2015-01-01

    The effect of nitrogen ion implantation on AISI 316L stainless steel was investigated. The microstructure and composition of an N implanted layer were studied by RBS, GIXRD, SEM, and EDX measurements. Friction and wear tests were also performed. The discrepancy between the measured and calculated stopped ion maximum range does not exceed 0.03 μm. After nitrogen implantation with a fluence of 5 × 10{sup 17} ion/cm{sup 2}, additional phases of expanded austenite were detected. At a 5-fold larger depth than the maximum ion range, improvement in the coefficient of friction and wear was detected. We have shown, for the first time, the long-range effect in tribological investigations. The long-range effect is caused by movement of not only defects along the depth of the sample, as assumed so far, but also nitrogen atoms.

  4. Long-range effect in nitrogen ion-implanted AISI 316L stainless steel

    Science.gov (United States)

    Budzynski, P.

    2015-01-01

    The effect of nitrogen ion implantation on AISI 316L stainless steel was investigated. The microstructure and composition of an N implanted layer were studied by RBS, GIXRD, SEM, and EDX measurements. Friction and wear tests were also performed. The discrepancy between the measured and calculated stopped ion maximum range does not exceed 0.03 μm. After nitrogen implantation with a fluence of 5 × 1017 ion/cm2, additional phases of expanded austenite were detected. At a 5-fold larger depth than the maximum ion range, improvement in the coefficient of friction and wear was detected. We have shown, for the first time, the long-range effect in tribological investigations. The long-range effect is caused by movement of not only defects along the depth of the sample, as assumed so far, but also nitrogen atoms.

  5. Biological effect of nitrogen ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Shu Shizhen

    1997-10-01

    Dry seed of stevia were implanted by 35∼150 keV nitrogen ions with various doses. The biological effect in M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam energy and dose added, but there was on significant linear regression relationship between ion dose and aberration rate. The results indicated the seedling height reduced with the increasing of dose for ion beam. The biological effect of nitrogen ion beam on M 1 stevia was lower than that of γ-rays. (6 refs., 1 fig., 4 tabs.)

  6. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  7. Experimental identification of nitrogen-vacancy complexes in nitrogen implanted silicon

    Science.gov (United States)

    Adam, Lahir Shaik; Law, Mark E.; Szpala, Stanislaw; Simpson, P. J.; Lawther, Derek; Dokumaci, Omer; Hegde, Suri

    2001-07-01

    Nitrogen implantation is commonly used in multigate oxide thickness processing for mixed signal complementary metal-oxide-semiconductor and System on a Chip technologies. Current experiments and diffusion models indicate that upon annealing, implanted nitrogen diffuses towards the surface. The mechanism proposed for nitrogen diffusion is the formation of nitrogen-vacancy complexes in silicon, as indicated by ab initio studies by J. S. Nelson, P. A. Schultz, and A. F. Wright [Appl. Phys. Lett. 73, 247 (1998)]. However, to date, there does not exist any experimental evidence of nitrogen-vacancy formation in silicon. This letter provides experimental evidence through positron annihilation spectroscopy that nitrogen-vacancy complexes indeed form in nitrogen implanted silicon, and compares the experimental results to the ab initio studies, providing qualitative support for the same.

  8. Ferromagnetism appears in nitrogen implanted nanocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Remes, Zdenek [Institute of Physics ASCR v.v.i., Cukrovarnicka 10, 162 00 Prague 6 (Czech Republic); Sun, Shih-Jye, E-mail: sjs@nuk.edu.tw [Department of Applied Physics, National University of Kaohsiung, Kaohsiung 811, Taiwan (China); Varga, Marian [Department of Applied Physics, National University of Kaohsiung, Kaohsiung 811, Taiwan (China); Chou, Hsiung [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan (China); Hsu, Hua-Shu [Department of Applied Physics, National Pingtung University of Education, Pingtung 900, Taiwan (China); Kromka, Alexander [Department of Applied Physics, National University of Kaohsiung, Kaohsiung 811, Taiwan (China); Horak, Pavel [Nuclear Physics Institute, 250 68 Rez (Czech Republic)

    2015-11-15

    The nanocrystalline diamond films turn to be ferromagnetic after implanting various nitrogen doses on them. Through this research, we confirm that the room-temperature ferromagnetism of the implanted samples is derived from the measurements of magnetic circular dichroism (MCD) and superconducting quantum interference device (SQUID). Samples with larger crystalline grains as well as higher implanted doses present more robust ferromagnetic signals at room temperature. Raman spectra indicate that the small grain-sized samples are much more disordered than the large grain-sized ones. We propose that a slightly large saturated ferromagnetism could be observed at low temperature, because the increased localization effects have a significant impact on more disordered structure. - Highlights: • Nitrogen implanted nanocrystalline diamond films exhibit ferromagnetism at room temperature. • Nitrogen implants made a Raman deviation from the typical nanocrystalline diamond films. • The ferromagnetism induced from the structure distortion is dominant at low temperature.

  9. Tribological properties of nitrogen implanted and boron implanted steels

    International Nuclear Information System (INIS)

    Kern, K.T.

    1996-01-01

    Samples of a steel with high chrome content was implanted separately with 75 keV nitrogen ions and with 75 keV boron ions. Implanted doses of each ion species were 2-, 4-, and 8 x 10 17 /cm 2 . Retained doses were measured using resonant non-Rutherford Backscattering Spectrometry. Tribological properties were determined using a pin-on-disk test with a 6-mm diameter ruby pin with a velocity of 0.94 m/min. Testing was done at 10% humidity with a load of 377 g. Wear rate and coefficient of friction were determined from these tests. While reduction in the wear rate for nitrogen implanted materials was observed, greater reduction (more than an order of magnitude) was observed for boron implanted materials. In addition, reduction in the coefficient of friction for high-dose boron implanted materials was observed. Nano-indentation revealed a hardened layer near the surface of the material. Results from grazing incidence x-ray diffraction suggest the formation of Fe 2 N and Fe 3 N in the nitrogen implanted materials and Fe 3 B in the boron implanted materials. Results from transmission electron microscopy will be presented

  10. Thermal behaviour of nitrogen implanted into zirconium

    International Nuclear Information System (INIS)

    Miyagawa, S.; Ikeyama, M.; Saitoh, K.; Nakao, S.; Niwa, H.; Tanemura, S.; Miyagawa, Y.

    1994-01-01

    Zirconium films were implanted with 15 N ions of energy 50keV to a total fluence of 1x10 18 ionscm -2 in an attempt to study the formation process and thermal stability of ZrN layers produced by high fluence implantation of nitrogen. Subsequent to the implantation at room temperature, samples were annealed at temperatures of 300 C-900 C. The depth profiles of the implanted nitrogen were measured by nuclear reaction analysis using the 15 N(p,αγ) 12 C at E R =429keV, and the surfaces were examined by thin film X-ray diffraction (XRD) and scanning electron microscopy. There were many blisters 0.2-0.4μm in diameter on the surface of the as-implanted samples and double peaks were observed in the nitrogen depth profiles; they were in both sides of the mean projected range. It was found that most of the blisters became extinct after annealing above 400 C, and the XRD peak (111) intensity was increased with the increase in the annealing temperature. Moreover, 14 N and 15 N implantations were superimposed on Zr samples in order to study the atomic migration of nitrogen at each stage of high fluence implantation. It was found that the decrease in the peak at the deeper layers was related to blister extinction and nitrogen diffusion into underling zirconium which could be correlated with radiation damage induced by post-implanted ions. ((orig.))

  11. Tribological studies of ultrahigh dose nitrogen-implanted iron and stainless steel

    International Nuclear Information System (INIS)

    Wei, R.; Wilbur, P.J.; Ozturk, O.; Williamson, D.L.

    1991-01-01

    The effects of nitrogen implantation to doses as high as 1x10 19 ions/cm 2 on the sliding wear resistance and nitrogen concentration depth profiles are examined experimentally. By maintaining the proper implantation temperature, increases in dose induce the formation of thicker nitrogen-rich, wear-resistant layers. Several microns thick layers are demonstrated for both iron and stainless steel. (orig.)

  12. Nitrogen implantation in steel with an impulsive ion implanter

    International Nuclear Information System (INIS)

    Feugeas, J.N.; Gonzalez, C.O.; Hermida, J.; Nieto, M.; Peyronel, M.F.; Sanchez, G.

    1990-01-01

    This work describes the results of steel implantation with nitrogen, with a pulsed accelerator which provides a continuous ion energy spectrum giving a uniform profile of nitrogen without changing its operative conditions. (Author)

  13. Influence of nitrogen ion implantation on hydrogen permeation in an extra mild steel

    International Nuclear Information System (INIS)

    Brass, A.M.; Chene, J.; Pivin, J.C.

    1989-01-01

    This paper presents the first results on the effect of nitrogen implantation on hydrogen permeation in steels. Nitrogen can modify superficially the steel's chemistry and/or microstructure depending on the fluence and thereby affect the processes of hydrogen diffusion and trapping. The implantations were performed on low carbon steel specimens with different nominal doses (1% to 10% and 33% nitrogen in a superficial layer of approximately 100 to 120 nm). The corresponding microstructures were characterized and permeation tests were conducted at room temperature in a double electrolytic cell. The nitrogen implanted layers on iron affects the electrochemical behaviour of the surface and the permeation in the material. This effect depends on the nitrogen concentration in the layer and on the corresponding microstructure. A continuous Fe 2 N layer acts as an efficient barrier to hydrogen entry and permeation when the layer is located on the entry face of the permeation membrane. This effect is stronger when the implanted layer is on the downstream face of the membrane. The low permeability values are mainly attributed to a lower hydrogen solubility in the implanted layer, whereas hydrogen trapping on defects and nitride precipitates delay hydrogen penetration. (author)

  14. Hydrogenation of stainless steels implanted with nitrogen

    International Nuclear Information System (INIS)

    Silva Ramos, L.E. da.

    1989-01-01

    In the present work the effects of both ion implantation and hydrogenation on the fatigue behaviour of an AISI-304 type unstable stainless steel was studied. The material was tested under the following microstructural conditions: annealed; annealed plus hydrogenated; annealed plus ion-implanted; annealed, ion-implanted and hydrogeneted. The hydrogen induced phase transformations were also studied during the outgassing of the samples. The ion implanted was observed to retard the kinetics of the hydrogen induced phase transformations. It was also observed that the nitrogen ion implantation followed by both natural (for about 4 months) and artificial (100 0 C for 6 hours) aging treatments was beneficial to the fatigue life of both non hydrogenated and severely hydrogenated samples. (author) [pt

  15. Nitrogen implantation with a scanning electron microscope.

    Science.gov (United States)

    Becker, S; Raatz, N; Jankuhn, St; John, R; Meijer, J

    2018-01-08

    Established techniques for ion implantation rely on technically advanced and costly machines like particle accelerators that only few research groups possess. We report here about a new and surprisingly simple ion implantation method that is based upon a widespread laboratory instrument: The scanning electron microscope. We show that it can be utilized to ionize atoms and molecules from the restgas by collisions with electrons of the beam and subsequently accelerate and implant them into an insulating sample by the effect of a potential building up at the sample surface. Our method is demonstrated by the implantation of nitrogen ions into diamond and their subsequent conversion to nitrogen vacancy centres which can be easily measured by fluorescence confocal microscopy. To provide evidence that the observed centres are truly generated in the way we describe, we supplied a 98% isotopically enriched 15 N gas to the chamber, whose natural abundance is very low. By employing the method of optically detected magnetic resonance, we were thus able to verify that the investigated centres are actually created from the 15 N isotopes. We also show that this method is compatible with lithography techniques using e-beam resist, as demonstrated by the implantation of lines using PMMA.

  16. Tribological effects of yttrium and nitrogen ion implantation on a precipitation hardening stainless steel

    International Nuclear Information System (INIS)

    Alonso, F.; Arizaga, A.; Garcia, A.; Onate, J.I.

    1994-01-01

    Yttrium, nitrogen and combined yttrium and nitrogen implantations have been carried out on an ASTM A286 precipitation hardening iron base alloy to evaluate the benefits in their tribological behaviour. Microindentation tests have shown a significant 20%-60% increment in hardness on the nitrogen implanted material, with a limited improvement in elastic recovery of the indentation. An abrasive test on the same material has also produced a 50% reduction in scratch depth. Y + and Y + +N + implantations also hardened the material but to a lesser extent. Reciprocating ball on disk friction and wear testing at 400 C resulted in very severe damage in all cases. X-ray photoelectron spectroscopy analyses combined with Ar sputtering have disclosed that nitrogen is mainly in a nitrided form, yttrium remains oxidized at the surface, below which there is an apparent increase in the metallic bond. ((orig.))

  17. XPS study of the passive films formed on nitrogen-implanted austenitic stainless steels

    International Nuclear Information System (INIS)

    Marcus, P.; Bussell, M.E.

    1992-01-01

    Austenitic stainless steels (304-type) have been implanted with nitrogen ions in order to investigate the effects of implanted nitrogen on their electrochemical behaviour and on the nature of the passive film formed on the steels in acid (0.5M H 2 SO 4 ). Alloys with two nitrogen doses have been prepared (2.5x10 16 and 2x10 17 N atoms/cm 2 ). The implanted alloys have been characterized by 15 N-NRA (nuclear reaction analysis) and XPS (X-ray photoelectron spectroscopy). Alloy surfaces with well-defined N concentrations were prepared, prior to the electrochemical measurements, by argon-ion sputtering of the implanted material for a fixed time in order to reach a well-defined point on the nitrogen depth profile. The samples were then transferred without exposure to air to an electrochemical cell mounted in an inert gas glove box. The implanted nitrogen modifies the electrochemical behaviour of the alloy. The anodic dissolution in the active state is enhanced, and the current density in the passive state is increased. Surface analysis of the alloys by XPS after passivation shows that implanted nitrogen is enriched on the surface during dissolution and passivation of the alloys. The process by which N is enriched on the surface is anodic segregation, which was first observed and characterized for S on Ni and Ni-Fe alloys. The passive films formed on both the unimplanted and implanted alloys have a bilayer structure with an inner oxide layer and an outer hydroxide layer, but on the nitrogen-implanted alloy, a chromium nitride phase is formed at the expense of the chromium oxide. After passivation of the implanted alloys, three chemical states of nitrogen are detected in the N 1s spectrum. The high binding energy (399.4 eV) peak corresponds to a nitrogen species located on the surface of the passive film, which is produced by reaction of the implanted nitrogen with the solution. (orig./WL)

  18. Study of the effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation on stainless steel samples

    Science.gov (United States)

    Pillaca, E. J. D. M.; Ueda, M.; Oliveira, R. M.; Pichon, L.

    2014-08-01

    Effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation (PIII) have been investigated. This magnetic configuration when used in PIII allows obtaining high nitrogen plasma density close to the ion implantation region. Consequently, high ions dose on the target is possible to be achieved compared with standard PIII. In this scenario, nitrogen and carbon ions were implanted simultaneously on stainless steel, as measured by GDOES and detected by X-ray diffraction. Carbon-tape disposed on the sample-holder was sputtered by intense bombardment of nitrogen ions, being the source of carbon atoms in this experiment. The implantation of both N and C caused changes on sample morphology and improvement of the tribological properties of the stainless steel.

  19. Chemical characterization of 4140 steel implanted by nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Nino, Ely Dannier V.; Duran, Fernando [Grupo de Investigacion en Tecnologia del Plasma (GINTEP), Departamento de Ciencias Basicas, Universidad Pontificia Bolivariana, Bucaramanga (Colombia); Pinto, Jose L.C. [Grupo de Investigacion en Quimica Estructural (GIQUE), Universidad Industrial de Santander, Bucaramanga (Colombia); Dugar-Zhabon, V.; Garnica, Hernan [Grupo de Fisica y Tecnologia del Plasma (FITEK), Universidad Industrial de Santander, Bucaramanga (Colombia)

    2010-07-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10{sup 17} ions/cm{sup 2} through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  20. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Nino, Ely Dannier V.; Duran, Fernando; Pinto, Jose L.C.; Dugar-Zhabon, V.; Garnica, Hernan

    2010-01-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10"1"7 ions/cm"2 through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  1. The influence of nitrogen implantation on the electrical properties of amorphous IGZO

    Science.gov (United States)

    Zhan, S. L.; Zhao, M.; Zhuang, D. M.; Fu, E. G.; Cao, M. J.; Guo, L.; Ouyang, L. Q.

    2017-09-01

    In this study, nitrogen (N) implantation was adopted to regulate the carrier concentration and the Hall mobility of amorphous Indium Gallium Zinc Oxide (a-IGZO) films. The Hall Effect measurement demonstrates that the increase of implantation fluence can decrease the carrier concentration of a-IGZO by three orders to 1016 cm-3, which attributes to the reduction of oxygen defects. The addition of nitrogen atoms can result in the increase of Hall mobility to 9.93 cm2/V s with the subsequent decrease to 6.49 cm2/V s, which reflects the reduction of the average potential barrier height (φ0) to be 22.0 meV with subsequent increase to 74.8 meV in the modified percolation model. The results indicate that nitrogen can serve as an effective p-type dopants and oxygen defect suppressors. N-implantation with an appropriate fluence can effectively improve the Hall mobility and reduce the carrier concentration simultaneously.

  2. Research on nitrogen implantation energy dependence of the properties of SIMON materials

    International Nuclear Information System (INIS)

    Zhang, E.X.; Sun, J.Y.; Chen, J.; Chen, M.; Zhang, Zh.X.; Li, N.; Zhang, G.Q.; Wang, X.

    2006-01-01

    With different implantation energies, nitrogen ions were implanted into SIMOX wafers in our work. And then the wafers were subsequently annealed to form separated by implantation of oxygen and nitrogen (SIMON) wafers. Secondary ion mass spectroscopy (SIMS) was used to observe the distribution of nitrogen and oxygen in the wafers. The result of electron paramagnetic resonance (EPR) was suggested by the dandling bonds densities in the wafers changed with N ions implantation energies. SIMON-based SIS capacitors were made. The results of the C-V test confirmed that the energy of nitrogen implantation affects the properties of the wafers, and the optimum implantation energy was determined

  3. Generation of Nitrogen-Vacancy Centers in Diamond with Ion Implantation

    International Nuclear Information System (INIS)

    Cui Jin-Ming; Chen Xiang-Dong; Gong Zhao-Jun; Sun Fang-Wen; Han Zheng-Fu; Guo Guang-Can; Fan Le-Le; Zou Chong-Wen

    2012-01-01

    Nitrogen-vacancy defect color centers are created in a high purity single crystal diamond by nitrogen-ion implantation. Both optical spectrum and optically detected magnetic resonance are measured for these artificial quantum emitters. Moreover, with a suitable mask, a lattice composed of nitrogen-vacancy centers is fabricated. Rabi oscillation driven by micro-waves is carried out to show the quality of the ion implantation and potential in quantum manipulation. Along with compatible standard lithography, such an implantation technique shows high potential in future to make structures with nitrogen-vacancy centers for diamond photonics and integrated photonic quantum chip

  4. Effects of nitrogen ion implantation time on tungsten films deposited by DC magnetron sputtering on AISI 410 martensitic stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Malau, Viktor, E-mail: malau@ugm.ac.id; Ilman, Mochammad Noer, E-mail: noer-ilman@yahoo.com; Iswanto, Priyo Tri, E-mail: priyatri@yahoo.com; Jatisukamto, Gaguk, E-mail: gagukjtsk@yahoo.co.id [Mechanical and Industrial Engineering Department, Gadjah Mada University Jl. Grafika 2, Yogyakarta, 55281 (Indonesia)

    2016-03-29

    Nitrogen ion implantation time on tungsten thin film deposited on surface of AISI 410 steel has been performed. Tungsten thin film produced by dc magnetron sputtering method was deposited on AISI 410 martensitic stainless steel substrates, and then the nitrogen ions were implanted on tungsten thin film. The objective of this research is to investigate the effects of implantation deposition time on surface roughness, microhardness, specific wear and corrosion rate of nitrogen implanted on tungsten film. Magnetron sputtering process was performed by using plasma gas of argon (Ar) to bombardier tungsten target (W) in a vacuum chamber with a pressure of 7.6 x 10{sup −2} torr, a voltage of 300 V, a sputter current of 80 mA for sputtered time of 10 minutes. Nitrogen implantation on tungsten film was done with an initial pressure of 3x10{sup −6} mbar, a fluence of 2 x 10{sup 17} ions/cm{sup 2}, an energy of 100 keV and implantation deposition times of 0, 20, 30 and 40 minutes. The surface roughness, microhardness, specific wear and corrosion rate of the films were evaluated by surfcorder test, Vickers microhardness test, wear test and potentiostat (galvanostat) test respectively. The results show that the nitrogen ions implanted deposition time on tungsten film can modify the surface roughness, microhardness, specific wear and corrosion rate. The minimum surface roughness, specific wear and corrosion rate can be obtained for implantation time of 20 minutes and the maximum microhardness of the film is 329 VHN (Vickers Hardness Number) for implantation time of 30 minutes. The specific wear and corrosion rate of the film depend directly on the surface roughness.

  5. Structural changes and tribological behaviors of nitrogen ion-implanted tantalum

    International Nuclear Information System (INIS)

    Wang, W.J.; Wang, T.M.; Wang, X.J.

    1996-01-01

    Single-crystal tantalum sheets were implanted by 110 keV nitrogen ions to a dose of 5 x 10 17 ions/cm 2 at a temperature less than 100 C. The structural changes and the concentration depth profiles of the implanted layers were characterized by glancing-angle X-ray diffraction (GXRD), selected area diffraction (SAD) and Auger electron spectroscopy (AES), respectively. The microhardness, the friction coefficient and the wear rate of the specimens against hardened GCr15 steel balls were also determined before and after the implantation. Scanning electron microscopy (SEM) and electron probe micro-analyzer (EPMA) were used to analyze the wear tracks. The results showed that there exist deviations between the characterization results of AES and GXRD or SAD. The AES measurement suggests the formation of the tantalum nitrides with a maximum N/Ta ratio of 1/2, while the GXRD and SAD reveal the formation of bcc Ta(N), fcc TaN and a trace amount of hcp Ta 2 N. This can be explained by considering the inhomogeneous distribution of nitrogen atoms in micro-regions: the enrichment of nitrogen atoms in local micro-regions leads to the formation of fcc TaN; however, the unfavorable structural compatibility between bcc Ta and hcp Ta 2 N hinders the formation of hcp Ta 2 N in the regions where the N/Ta ratio reaches 1/2. The detected trace amount of the hcp Ta 2 N phase in the implanted layers can be considered as an after effect of nitrogen loss from the originally formed nitrides. The results also showed that the tribological properties of the Ta surfaces were improved due to the implantation. It is believed that the implantation-induced Ta(N), fcc TaN, and hcp Ta 2 N phases are responsible for this improvement. (orig.)

  6. Studies on biological effects of nitrogen ion implantation in different genotype rice

    International Nuclear Information System (INIS)

    Xie Jiahua; Xia Yingwu; Shu Qingyao

    1994-01-01

    The biological effects of nitrogen ion implantation on different genotype rice (Oryza sativa L) were studied. The results showed that there were obvious differences in physiological damages for the M 1 generation, mutation frequencies and mutagenic efficiencies of chlorophyll, heading date and plant height for M 2 generation of different genotypes. Treated by nitrogen ions, the varieties with high mutation frequency and mutagenic efficiency of chlorophyll in the M 2 generation were not necessarily high in those of heading date and plant height. Moreover, the radiation sensitivity of Fu8530 and Fuxian No.6 which were bred by using early maturing and semidwarf mutants as maternal plant was low. The early maturing and high stature mutation were not induced with these two varieties

  7. Effects of Nitrogen Implantation on the Resistance to Localized Corrosion of Zircaloy-4 in a Chloride Solution

    International Nuclear Information System (INIS)

    Lee, Sung Joon; Kwon, Hyuk Sang; Kim, Wan; Choi, Byung Ho

    1996-01-01

    The influences of ion dose and substrate temperature on the resistance to localized corrosion of nitrogen-implanted Zircaloy-4 are examined in terms of potentiodynamic anodic polarization tests in deaerated 4M NaCl solution at 80 .deg. C. Nitrogen implantations into the Zircaloy-4 were performed under conditions of varying the ion dose from 3 x 10 17 to 1.2 x 10 18 ions/cm 2 and of maintaining the substrate temperatures respectively at 100, 200, and 300 .deg. C by controlling the current density of ion beam. The resistance to localized corrosion of Zircaloy-4 was significantly increased with increasing the ion dose when implanted at substrate temperatures above 200 .deg. C. However, it was not almost improved by implantation at 100 .deg. C. Specifically, the pitting potential increased from 350mV (vs. SCE) for the unimplanted to values of 900 to about 1400mV (vs. SCE) for the implanted alloy depending on the nitrogen dose. This significant improvement in the resistance to localized corrosion of the implanted Zircaloy-4 was found to be associate with the formation of compound layers of ZrO 2 + ZrN during the implantation. The galvanostatic anodization tests on the nitrogen-implanted Zircaloy-4 in 1M H 2 SO 4 at 20 .deg. C demonstrated that an increase in the ion dose and also in the substrate temperature increased the thickness of the compound layer of ZrO 2 + ZrN, and hence increased the pitting potential of the alloy. The low resistance to localized and general corrosion of the alloy implanted at 100 .deg. C was attributed to the increase in surface defect density and also to thinner implanted layer compared with those formed at higher temperatures

  8. Effect of annealing on properties of sputtered and nitrogen-implanted ZnO:Ga thin films

    Directory of Open Access Journals (Sweden)

    Vojs M.

    2012-07-01

    Full Text Available Thin films of gallium-doped zinc oxide (ZnO:Ga were deposited on Corning glass substrates by rf diode sputtering and then implanted with 180 keV nitrogen ions in the dose range of 1 × 1015 ÷ 2 × 1016 cm-2. After the ion implantation, the films were annealed under oxygen and nitrogen ambient, at different temperatures and time, and the effect on their microstructure, type and range of conductivity, and optical properties was investigated. Post-implantation annealing at 550 °C resulted in n-type conductivity films with the highest electron concentration of 1.4 × 1020 cm-3. It was found that the annealing parameters had a profound impact on the film’s properties. A p-type conductivity (a hole concentration of 2.8 × 1019 cm-3, mobility of 0.6 cm2/V s was observed in a sample implanted with 1 × 1016 cm-2 after a rapid thermal annealing (RTA in N2 at 400 °C. Optical transmittance of all films was >84% in the wavelength range of 390–1100 nm. The SIMS depth profile of the complex 30NO− ions reproduces well a Gaussian profile of ion implantation. XRD patterns reveal a polycrystalline structure of N-implanted ZnO:Ga films with a c-axis preferred orientation of the crystallites. Depending on the annealing conditions, the estimated crystallite size increased 25 ÷ 42 nm and average micro-strains decreased 1.19 × 10-2 ÷ 6.5 × 10-3 respectively.

  9. Titanium Nitride and Nitrogen Ion Implanted Coated Dental Materials

    Directory of Open Access Journals (Sweden)

    David W. Berzins

    2012-07-01

    Full Text Available Titanium nitride and/or nitrogen ion implanted coated dental materials have been investigated since the mid-1980s and considered in various applications in dentistry such as implants, abutments, orthodontic wires, endodontic files, periodontal/oral hygiene instruments, and casting alloys for fixed restorations. Multiple methodologies have been employed to create the coatings, but detailed structural analysis of the coatings is generally lacking in the dental literature. Depending on application, the purpose of the coating is to provide increased surface hardness, abrasion/wear resistance, esthetics, and corrosion resistance, lower friction, as well as greater beneficial interaction with adjacent biological and material substrates. While many studies have reported on the achievement of these properties, a consensus is not always clear. Additionally, few studies have been conducted to assess the efficacy of the coatings in a clinical setting. Overall, titanium nitride and/or nitrogen ion implanted coated dental materials potentially offer advantages over uncoated counterparts, but more investigation is needed to document the structure of the coatings and their clinical effectiveness.

  10. Nitrogen ion implantation: Barriers to industrial acceptance and prospects for the future

    International Nuclear Information System (INIS)

    Alexander, R.B.

    1989-01-01

    Nitrogen ion implantation has been used to improve the wear and fatigue resistance of metals in industrial applications since the process was developed at the UK Harwell Laboratory in the 1970s. However, implantation service companies like Ion Surface Technology have found so far that the market for nitrogen implantation is limited. Both market and technical barriers exist to more widespread acceptance in industry. Market factors include cost, industrial conservatism, and production priorities in manufacturing. Technical factors include the size of available implanters, the line-of-sight limitation of ion implantation, sputtering, and other process limitations such as shallow penetration depth. Several recent technical developments that should greatly increase market acceptance are described: 1. large-scale nitrogen implanters, 2. the non-line-of-sight plasma source ion implantation process, and 3. ion assisted coating techniques. (orig.)

  11. Effects of high energy nitrogen implantation on stainless steel microstructure

    Science.gov (United States)

    Pelletier, H.; Mille, P.; Cornet, A.; Grob, J. J.; Stoquert, J. P.; Muller, D.

    1999-01-01

    Low energy ion implantation is known to improve chemical and mechanical surface properties of metals. This treatment is often used to enhance wear and corrosion resistance or mechanical life-time of fatigue test of stainless steel or titanium alloys. The aim of this work is to investigate these effects at higher energy, for which deeper (and still not well understood) modifications occur. High fluence (10 18 cm -2) 15N and 14N implantations at 1 MeV have been performed in the 316LL stainless steel and some specimen have been annealed in the 200-500°C temperature range. Nitrogen concentration distribution, structure, morphology and microhardness have been examined with Nuclear Resonance Analysis, Grazing Incidence X-Ray Diffraction and Nanoindentation, respectively. Precipitates of steel and chromium nitride phases and a superficial martensitic transformation can be observed, leading to a significant increase of hardness. The best result is obtained after one hour annealing at 425°C, due to a larger and more homogeneous repartition of nitride species. In this case, a near surface accumulation is observed and explained in terms of diffusion and precipitation mechanisms.

  12. In situ EELS and TEM observation of Al implanted with nitrogen ions

    International Nuclear Information System (INIS)

    Hojou, K.; Furuno, S.; Kushita, K.N.; Otsu, H.; Izui, K.

    1995-01-01

    Formation processes of Aluminum nitride (AIN) in Aluminum (AI) implanted with nitrogen were examined by in situ EELS and TEM observations during nitrogen ion implantation in an electron microscope at room temperature and 400 deg C. AIN phase was identified both by EDP and EELS after nitrogen ion implantation to 6 x 10 20 (N + )/m 2 . The observed peak (20.8 eV) in EELS spectra was identified as plasmon loss peak of AIN formed in AI. The binding energy of N ls in AI was found to shift by about 4 eV to the lower side with increasing nitrogen-ion fluence. Unreacted AI was also found to remain in the AIN films after high fluence implantation both at room temperature and 400 deg C. (authors). 11 refs., 5 figs., 2 tabs

  13. Depth distribution of nitrogen in silicon from plasma ion implantation

    International Nuclear Information System (INIS)

    Vajo, J.J.; Williams, J.D.; Wei, R.; Wilson, R.G.; Matossian, J.N.

    1994-01-01

    Plasma Ion Implantation (PII) is an ion implantation technique that eliminates the line-of-sight restriction of conventional ion-beam implantation and therefore allows for cost effective surface modification of large-scale objects or large-number of small-scale objects. In PII, a part to be implanted is immersed in a low-pressure (10 -4 --10 -5 Torr), partially-ionized plasma that surrounds the part with a plasma sheath. The part is negatively pulse biased up to 100 keV using a repetitive train (100--1,000 Hz) of short-duration (10--40 μsec) voltage pulses. The applied voltage develops across the sheath and accelerates plasma ions into the surface, implanting them omnidirectionally and simultaneously over the entire surface of the part. The depth distribution of the implanted ions influences the extent and type of surface modification achieved and depends upon many factors. These include three rise and fall time of the voltage-pulse waveform, the voltage-pulse amplitude, the ion specie, the ion density, and the temperature of the target. Understanding the contributions to the depth distribution from each of these factors will enable prediction of conditions that will be useful for implantation of large complex parts. To investigate the contributions to the measured depth distributions from these factors nitrogen, predominantly as N + 2 , has been implanted into silicon using PII at 50 and 100 keV (25 and 50 keV per N atom). The implanted depth distributions have been determined using secondary ion mass spectroscopy and Auger electron spectroscopy depth profiling. The distributions differ from the typical, approximately Gaussian, profiles that result from conventional mass selected monoenergetic ion beam implantation. In comparison with ion beam implants and numerical simulations the profiles appear ''filled-in'' with an approximately constant nitrogen concentration for depths less than the expected average ion range

  14. Improved generation of single nitrogen-vacancy centers in diamond by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Naydenov, Boris; Beck, Johannes; Steiner, Matthias; Balasubramanian, Gopalakrishnan; Jelezko, Fedor; Wrachtrup, Joerg [3. Institute of Physics, University of Stuttgart (Germany); Richter, Vladimir; Kalish, Rafi [Solid State Institute, Technion City, Haifa (Israel); Achard, Jocelyn [Laboratoire d' Ingenieurie des Materiaux et des Hautes Pressions, CNRS, Villetaneuse (France)

    2010-07-01

    Nitrogen-vacancy (NV) centers in diamond have recently attracted the attention of many research groups due to their possible application as quantum bits (qubits), ultra low magnetic field sensors and single photon sources. These color centers can be produced by nitrogen ion implantation, although the yield is usually below 5 % at low ion energies. Here we report an increase of the NV production efficiency by subsequently implanting carbon ions in the area of implanted nitrogen ions. This method improves the production yield by more than 50 %. We also show that very low nitrogen concentration (below 0.1 ppb) in diamond can be determined by converting the intrinsic nitrogen atoms to single NV centers and detecting the latter using a confocal microscope.

  15. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Niño, E D V; Dugar-Zhabon, V; Pinto, J L; Henao, J A

    2012-01-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 10 17 ions/cm 2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  16. Chemical characterization of 4140 steel implanted by nitrogen ions

    Science.gov (United States)

    Niño, E. D. V.; Pinto, J. L.; Dugar-Zhabon, V.; Henao, J. A.

    2012-06-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 1017 ions/cm2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  17. Effect of nitrogen ion implantation on the structural and optical properties of indium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sethi, Riti; Aziz, Anver; Siddiqui, Azher M., E-mail: amsiddiqui@jmi.ac.in [Department of Physics, Jamia Millia Islamia, New Delhi-110025 (India); Kumar, Pravin [Inter University Accelerator Center, Aruna Asaf Ali Marg, New Delhi-110067 (India); Khan, Sameen Ahmed [Department of Mathematics and Sciences, College of Arts and Applied Sciences (CAAS) Dhofar University, Salalah, Sultanate of Oman (Oman)

    2016-06-10

    : We report here synthesis and subsequent nitrogen ion implantation of indium oxide (In{sub 2}O{sub 3}) thin films. The films were implanted with 25 keV N{sup +} beam for different ion doses between 3E15 to 1E16 ions/cm{sup 2}. The resulting changes in structural and optical properties were investigated using XRD, SEM-EDAX and UV-Vis Spectrometry. XRD studies reveal decrease in crystallite size from 20.06 to 12.42 nm with increase in ion dose. SEM micrographs show an increase in the grain size from 0.8 to 1.35 µm with increase in ion dose because of the agglomeration of the grains. Also, from EDAX data on pristine and N-implanted thin films the presence of indium and oxygen without any traces of impurity elements could be seen. However, at lower ion doses such as 3E15 and 5E15 ions/cm{sup 2}, no evidence of the presence of nitrogen ion was seen. However, for the ion dose of 1E16 ions/cm{sup 2}, evidence of presence of nitrogen can be seen in the EDAX data. Band gap calculations reveal a decrease in band gap from 3.54 to 3.38 eV with increasing ion dose. However, the band gap was found to again show an increase to 3.58 eV at the highest ion dose owing to quantum confinement effect.

  18. Enhanced light extraction efficiency of GaN-based light-emittng diodes by nitrogen implanted current blocking layer

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Yong Deok; Oh, Seung Kyu; Park, Min Joo; Kwak, Joon Seop, E-mail: jskwak@sunchon.ac.kr

    2016-10-15

    Highlights: • A nitrogen implanted current-blocking layer was successfully demonstrated. • Light-extraction efficiency and radiant intensity was increased by more than 20%. • Ion implantation was successfully implemented in GaN based light-emitting diodes. - Abstract: GaN-based light emitting diodes (LEDs) with a nitrogen implanted current-blocking layer (CBL) were successfully demonstrated for improving the light extraction efficiency (LEE) and radiant intensity. The LEE and radiant intensity of the LEDs with a shallow implanted CBL with nitrogen was greatly increased by more than 20% compared to that of a conventional LED without the CBL due to an increase in the effective current path, which reduces light absorption at the thick p-pad electrode. Meanwhile, deep implanted CBL with a nitrogen resulted in deterioration of the LEE and radiant intensity because of formation of crystal damage, followed by absorption of the light generated at the multi-quantum well(MQW). These results clearly suggest that ion implantation method, which is widely applied in the fabrication of Si based devices, can be successfully implemented in the fabrication of GaN based LEDs by optimization of implanted depth.

  19. Mechanical response of nitrogen ion implanted NiTi shape memory alloy

    International Nuclear Information System (INIS)

    Kucharski, S.; Levintant-Zayonts, N.; Luckner, J.

    2014-01-01

    Highlights: • The effect of ion implantation process on shape memory alloy was investigated. • In the implantation process both surface layer and bulk material are modified. • The microstructure is modified and superelastic effect is destroyed in surface layer. • The parameters of superelastic phenomena are changed in bulk material. - Abstract: In the paper a change of material (mechanical) parameters of NiTi shape memory alloy subjected to ion implantation treatment is investigated. The spherical indentation tests in micro- and nano-scale and tension test have been performed to study an evolution of local superelastic effect in different volumes of nonimplanted and nitrogen ion implanted NiTi alloy. The differential scanning calorimetry has been applied to measure the change of characteristic temperatures due to ion implantation treatment. The structure of implanted material has been investigated using electron microscopy technique. It has been found that the ion implantation process changes the properties not only in a thin surface layer but also in bulk material. In the layer the pseudoelastic effect is destroyed, and in the substrate is preserved, however its parameters are changed. The characteristic phase transformation temperatures in substrate are also modified

  20. Hall effect mobility for SiC MOSFETs with increasing dose of nitrogen implantation into channel region

    Science.gov (United States)

    Noguchi, Munetaka; Iwamatsu, Toshiaki; Amishiro, Hiroyuki; Watanabe, Hiroshi; Kita, Koji; Yamakawa, Satoshi

    2018-04-01

    The Hall effect mobility (μHall) of the Si-face 4H-SiC metal–oxide–semiconductor field effect transistor (MOSFET) with a nitrogen (N)-implanted channel region was investigated by increasing the N dose. The μHall in the channel region was systematically examined regarding channel structures, that is, the surface and buried channels. It was experimentally demonstrated that increasing the N dose results in an improvement in μHall in the channel region due to the formation of the buried channel. However, further increase in N dose was found to decrease the μHall in the channel region, owing to the decrease in the electron mobility in the N-implanted bulk region.

  1. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  2. The characteristics of surface oxidation and corrosion resistance of nitrogen implanted zircaloy-4

    International Nuclear Information System (INIS)

    Tang, G.; Choi, B.H.; Kim, W.; Jung, K.S.; Kwon, H.S.; Lee, S.J.; Lee, J.H.; Song, T.Y.; Shon, D.H.; Han, J.G.

    1997-01-01

    This work is concerned with the development and application of ion implantation techniques for improving the corrosion resistance of zircaloy-4. The corrosion resistance in nitrogen implanted zircaloy-4 under a 120 keV nitrogen ion beam at an ion dose of 3 x 10 17 cm -2 depends on the implantation temperature. The characteristics of surface oxidation and corrosion resistance were analyzed with the change of implantation temperature. It is shown that as implantation temperature rises from 100 to 724 C, the colour of specimen surface changes from its original colour to light yellow at 100 C, golden at 175 C, pink at 300 C, blue at 440 C and dark blue at 550 C. As the implantation temperature goes above 640 C, the colour of surface changes to light black, and the surface becomes a little rough. The corrosion resistance of zircaloy-4 implanted with nitrogen is sensitive to the implantation temperature. The pitting potential of specimens increases from 176 to 900 mV (SCE) as the implantation temperature increases from 100 to 300 C, and decreases from 900 to 90 mV(SCE) as the implantation temperature increases from 300 to 640 C. The microstructure, the distribution of oxygen, nitrogen and carbon elements, the oxide grain size and the feature of the precipitation in the implanted surface were investigated by optical microscope, TEM, EDS, XRD and AES. The experimental results reveal that the ZrO 2 is distributed mainly on the outer surface. The ZrN is distributed under the ZrO 2 layer. The characteristics of the distribution of ZrO 2 and ZrN in the nitrogen-implanted zircaloy-4 is influenced by the implantation temperature of the sample, and in turn the corrosion resistance is influenced. (orig.)

  3. Plasma-polymerized hexamethyldisilazane treated by nitrogen plasma immersion ion implantation technique

    Energy Technology Data Exchange (ETDEWEB)

    Honda, R Y; Mota, R P; Batocki, R G S; Santos, D C R; Nicoleti, T; Kostov, K G; Kayama, M E; Algatti, M A [Laboratorio de Plasma, Faculdade de Engenharia, UNESP, Av. Dr Ariberto Pereira da Cunha-333, 12516-410, Guaratingueta, SP (Brazil); Cruz, N C [Laboratorio de Plasmas Tecnologicos, Unidade Diferenciada, UNESP, Av. Tres de Marco-511, 18085-180, Sorocaba, SP (Brazil); Ruggiero, L, E-mail: honda@feg.unesp.b [Faculdade de Ciencias, UNESP, Av. Luis E. Carrijo Coube 14-1, 17033-360, Bauru, SP (Brazil)

    2009-05-01

    This paper describes the effect of nitrogen Plasma Immersion Ion Implantation (PIII) on chemical structure, refraction index and surface hardness of plasma-polymerized hexamethyldisilazane (PPHMDSN) thin films. Firstly, polymeric films were deposited at 13.56 MHz radiofrequency (RF) Plasma Enhanced Chemical Vapour Deposition (PECVD) and then, were treated by nitrogen PIII from 15 to 60 min. Fourier Transformed Infrared (FTIR) spectroscopy was employed to analyse the molecular structure of the samples, and it revealed that vibrations modes at 3350 cm{sup -1}, 2960 cm{sup -1}, 1650 cm{sup -1}, 1250 cm{sup -1} and 1050 cm{sup -1} were altered by nitrogen PIII. Visible-ultraviolet (vis-UV) spectroscopy was used to evaluate film refractive index and the results showed a slight increase from 1.6 to 1.8 following the implantation time. Nanoindentation revealed a surface hardness rise from 0.5 to 2.3 GPa as PIII treatment time increased. These results indicate nitrogen PIII is very promising in improving optical and mechanical properties of PPHMDSN films.

  4. Microhardness of boron, titanium, and nitrogen implanted steel

    International Nuclear Information System (INIS)

    Sowa, M.; Szyszko, W.; Sielanko, J.; Glusiec, L.

    1989-01-01

    Mechanically polished steel (1H18N9T) and (15GTM) samples are implanted with boron, titanium, and nitrogen ions, with dose ranging from 10 16 to 10 17 ions/cm 2 . The implantation energy varied from 100 to 250 keV. Implanted samples are heat-treated at 400 to 800 0 C in vacuum. The microhardness of implanted samples is measured by using a Hanneman tester with loads ranging from 2 to 40 g. The influence of annealing temperature on microhardness of the implanted layers is determined. The diffusion of boron from the implanted layers is also investigated by using the secondary ion mass spectrometer. The diffusion coefficients of boron in steel are determined. (author)

  5. Microstructural and corrosivity changes induced by nitrogen ion implantation on chromium films

    International Nuclear Information System (INIS)

    Shokouhy, A.; Larijani, M.M.; Ghoranneviss, M.; Hosseini G, S.H. Haji; Yari, M.; Sari, A.H.; Shahraki, M. Gholipur

    2006-01-01

    The chromium thin films were prepared using ion beam deposition on stainless steel 304. The chromium films were implanted by nitrogen ions after deposition at doses in the range of 4.5 x 10 17 to 2.7 x 10 18 N + /cm 2 and energy of 30 keV. The formation of nitride phases and corrosion behavior after nitrogen implantation were characterized by XRD and corrosion test, respectively. The results show that corrosion resistance rise, reach to a maximum at dose of 1.8 x 10 18 , and then fall down at higher doses. In addition, the effect of corrosion tests was analyzed using scanning electron microscopy (SEM)

  6. Nitrogen implantation of type 303 stainless steel gears for improved wear and fatigue resistance

    International Nuclear Information System (INIS)

    Kustas, F.M.; Misra, M.S.; Tack, W.T.

    1987-01-01

    Fine-positioning mechanisms are responsible for accurate and reproducible control of aerospace system devices, i.e. filter grading wheels. Low wear and fatigue resistance of mechanism components, such as pinions and gears, can reduce system performance and reliability. Surface modification using ion implantation with nitrogen was used on type 303 stainless steel pinions and gears to increase tribological performance. Wear-life tests of untreated, nitrogen-implanted and nitrogen-implanted-and-annealed gears were performed in a fine-positioning mechanism under controlled environmental conditions. Wear and fatigue resistance were monitored at selected time intervals which were a percentage of the predicted failure life as determined by a numerical stress analysis. Surface analyses including scanning electron microscopy and Auger electron spectroscopy were performed to establish the wear and fatigue mechanisms and the nitrogen concentration-depth distributions respectively. Nitrogen implantation resulted in a significant improvement in both surface wear and fatigue spalling resistance over those of untreated gears. A 40% reduction in surface wear and a 44% reduction in dedendum spalling was observed. In contrast, the nitrogen-implanted-and-annealed gears showed a 46% increase in sliding wear area and an 11% increase in spall density compared with those of untreated gears, indicating that the post-implantation anneal was detrimental to wear and fatigue resistance. (orig.)

  7. Adhesion, friction and wear between polytetrafluoroethylene and nitrogen-implanted stainless steel

    International Nuclear Information System (INIS)

    Yang, E.; Hirvonen, J.P.; Raesaenen, M.; Toivanen, R.O.

    1992-01-01

    Adhesion, friction and wear of polytetrafluoroethylene (PTFE), carbon-reinforced PTFE, and glass-reinforced PTFE in sliding contact with nitrogen-implanted and unimplanted AISI 316 stainless steel were determined. The transfer of PTFE within the first 10 unidirectional traverses was investigated using the 19 F(p,αγ) 16 O nuclear reaction. External proton beam induced X-ray emission (PIXE) was used to determine the metal transfer from AISI 316 to pin heads. Nitrogen implantation considerably reduced the transfer of PTFE to the steel surface, and the transfer of the metallic elements from stainless steel to the PTFE-based composites. Furthermore, a lower friction coefficient was observed for nitrogen-implanted samples within the first 400 revolutions. The wear of PTFE, glass-reinforced and carbon-reinforced PTFE pins was only slightly reduced on the nitrogen-implanted surface, although a significant improvement in the wear of the steel was observed. Transmission electron microscopy (TEM) examination of wear debris revealed that PTFE was amorphized during the transfer process. However, no change in the structure of the pin head prior to the transfer was detected with an IR spectrophotometer. (orig.)

  8. Nitrogen implantation of Ti and Ti+Al films deposited on tool steel

    International Nuclear Information System (INIS)

    Huang, C.-T.; Duh, J.-G.

    1995-01-01

    Titanium and aluminum thin films were deposited onto A2 steel by rf magnetron sputtering with various Al contents. The coated assembly was then implanted with nitrogen ions at 92 kV and 1 mA for 4.5 h. The thickness of the implanted Ti and Ti+Al films deposited for 1 h was around 0.4-0.5 μm. With the aid of X-ray diffraction by the grazing-incidence technique, secondary ion mass spectrum (SIMS) and X-ray photoelectron spectroscopy (XPS), the titanium oxide and titanium nitride were identified on the top and inner surface in the implanted Ti film. For Ti+Al films after nitrogen implantation, Ti 3 O 5 was formed on the top surface beneath which is a (Ti, Al) N solid solution. There was Ti 2 N compound formed in the implanted Ti film, while only a minor amount of Ti 2 N phase was observed in the inner region in the implanted Ti+Al film. The nitrogen distribution was flattened and spread in the implanted Ti film, while a concentration gradient was observed in the Ti+Al film after implantation. The measured surface hardness of implanted Ti film was higher than those of Ti+Al films and the hardness of implanted Ti+39%Al film was enhanced as compared to the Ti+50%Al film. (Author)

  9. Changes of surface layer of nitrogen-implanted AISI316L stainless steel

    International Nuclear Information System (INIS)

    Budzynski, P.; Polanski, K.; Kobzev, A.P.

    2007-01-01

    The effects of nitrogen ion implantation into AISI316L stainless steel on friction, wear, and microhardness have been investigated at an energy level of 125 keV at a fluence of 1·10 17 - 1·10 18 N/cm 2 . The composition of the surface layer was investigated by RBS, XRD (GXRD), SEM and EDX. The friction coefficient and abrasive wear rate of the stainless steel were measured in the atmospheres of air, oxygen, argon, and in vacuum. As follows from the investigations, there is an increase in resistance to frictional wear in the studied samples after implantation; however, these changes are of different characters in various atmospheres. The largest decrease in wear was observed during tests in the air, and the largest reduction in the value of the friction coefficient for all implanted samples was obtained during tests in the argon atmosphere. Tribological tests revealed larger contents of nitrogen, carbon, and oxygen in the products of surface layer wear than in the surface layer itself of the sample directly after implantation

  10. Characterization of Nitride Layers Formed by Nitrogen Ion Implantation into Surface Region of Iron

    International Nuclear Information System (INIS)

    Sudjatmoko; Subki, M. Iyos R.

    2000-01-01

    Ion implantation is a convenient means of modifying the physical and chemical properties of the near-surface region of materials. The nitrogen implantation into pure iron has been performed at room temperature with ion dose of 1.310 17 to 1.310 18 ions/cm 2 and ion energy of 20 to 100 keV. The optimum dose of nitrogen ions implanted into pure iron was around 2.2310 17 ions/cm 2 in order to get the maximum wear resistant. SEM micrographs and EDX show that the nitride layers were found on the surface of substrate. The nitrogen concentration profile was measured using EDX in combination with spot technique, and it can be shown that the depth profile of nitrogen implanted into substrate was nearly Gaussian. (author)

  11. Effect of reactive O+ implantation on the pearlite evolution

    International Nuclear Information System (INIS)

    Li Shuchen; Chen Yuanru; Radjabov, T.D.; Muchadadiev, R.E.; Zhang Pingyu; Liu Hong

    1993-01-01

    In the experiment the Fe-0.45wt%C alloy was implanted by Ar+, N+, and by Ar+, N+, O+ ions separately. Beneath the surface implanted by Ar+ and N+ an Auger peak of nitrogen is apparent. After implanting O+, however, the oxygen profile along the depth takes the Gaussian distribution and the nitrogen level is very low. TEM observation shows that the cementite laminae of the pearlite are distorted severely and even broken into rods or spheroid particles. The pearlite evolutions may be interpreted by the thermal spike effect of ion-implantation and preferential combination of C and O

  12. Interaction of nitrogen with vacancy defects in N+-implanted ZnO studied using a slow positron beam

    International Nuclear Information System (INIS)

    Chen, Z.Q.; Maekawa, M.; Kawasuso, A.; Suzuki, R.; Ohdaira, T.

    2005-01-01

    ZnO crystals were implanted with N + , O + , and Al + , and co-implanted with O + /N + and Al + /N + ions. Positron annihilation measurements indicate introduction of vacancy clusters upon implantation. In the N + -implanted and Al + /N + co-implanted samples, these vacancy clusters are only partially annealed at 800 deg. C, as compared with their entire recovery in the O + - and Al + -implanted samples at 800-900 deg. C, suggesting a strong interaction between nitrogen and vacancy clusters. However, in the O + /N + co-implanted sample, most vacancy clusters disappear at 800 deg. C. Probably oxygen scavenges nitrogen to enhance the annealing of the vacancy clusters. Upon further annealing at 1000-1100 deg. C, nitrogen also forms stable complexes with thermally generated vacancies. These nitrogen-related vacancy complexes need high-temperature annealing at 1200-1250 deg. C to be fully removed

  13. Distribution of species and Ga–N bonds in silicon co-implanted with gallium and nitrogen ions

    International Nuclear Information System (INIS)

    Surodin, S. I.; Nikolitchev, D. E.; Kryukov, R. N.; Belov, A. I.; Korolev, D. S.; Mikhaylov, A. N.; Tetelbaum, D. I.

    2016-01-01

    The concentration profiles of species in silicon subjected to gallium and nitrogen co-implantation and subsequent annealing have been investigated by the method of X-ray photoelectron spectroscopy combined with the layer-by-layer ion etching of the implanted layer. It is shown that practically entire implanted gallium undergoes out-diffusion, but the preliminary implantation of nitrogen for the synthesis of a barrier SiN_x layer makes it possible to avoid the essential loss of gallium. In this case, about 14 % of implanted gallium bond to nitrogen. The obtained data are discussed from the viewpoint of the possibility of ion synthesis of GaN inclusions in silicon matrix.

  14. Distribution of species and Ga–N bonds in silicon co-implanted with gallium and nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Surodin, S. I., E-mail: surodin.bsn@mail.ru; Nikolitchev, D. E.; Kryukov, R. N.; Belov, A. I.; Korolev, D. S.; Mikhaylov, A. N.; Tetelbaum, D. I., E-mail: tetelbaum@phys.unn.ru [Lobachevsky University, 23 Prospekt Gagarina, Nizhny Novgorod, 603950 (Russian Federation)

    2016-06-17

    The concentration profiles of species in silicon subjected to gallium and nitrogen co-implantation and subsequent annealing have been investigated by the method of X-ray photoelectron spectroscopy combined with the layer-by-layer ion etching of the implanted layer. It is shown that practically entire implanted gallium undergoes out-diffusion, but the preliminary implantation of nitrogen for the synthesis of a barrier SiN{sub x} layer makes it possible to avoid the essential loss of gallium. In this case, about 14 % of implanted gallium bond to nitrogen. The obtained data are discussed from the viewpoint of the possibility of ion synthesis of GaN inclusions in silicon matrix.

  15. Improved cell viability and hydroxyapatite growth on nitrogen ion-implanted surfaces

    Science.gov (United States)

    Shafique, Muhammad Ahsan; Murtaza, G.; Saadat, Shahzad; Uddin, Muhammad K. H.; Ahmad, Riaz

    2017-08-01

    Stainless steel 306 is implanted with various doses of nitrogen ions using a 2 MV pelletron accelerator for the improvement of its surface biomedical properties. Raman spectroscopy reveals incubation of hydroxyapatite (HA) on all the samples and it is found that the growth of incubated HA is greater in higher ion dose samples. SEM profiles depict uniform growth and greater spread of HA with higher ion implantation. Human oral fibroblast response is also found consistent with Raman spectroscopy and SEM results; the cell viability is found maximum in samples treated with the highest (more than 300%) dose. XRD profiles signified greater peak intensity of HA with ion implantation; a contact angle study revealed hydrophilic behavior of all the samples but the treated samples were found to be lesser hydrophilic compared to the control samples. Nitrogen implantation yields greater bioactivity, improved surface affinity for HA incubation and improved hardness of the surface.

  16. Enhanced wear resistance of production tools and steel samples by implantation of nitrogen and carbon ions

    International Nuclear Information System (INIS)

    Mikkelsen, N.J.; Straede, C.A.

    1992-01-01

    In recent years ion implantation has become a feasible technique for obtaining improved wear resistance of production tools. However, basic knowledge of how and in which cases ion implantation is working at its best is still needed. The present paper discusses structural and tribological investigations of carbon and nitrogen implanted steels. The nitrogen data were obtained mainly from field tests and the investigation of carbon implantations took place mainly in the laboratory. A study was made of how the tribological behaviour of implanted steels changes with different implantation parameters. The tribological laboratory investigations were carried out using pin-on-disc equipment under controlled test conditions, and deal with high dose carbon implantation (approximately (1-2)x10 18 ions cm -2 ). The wear resistance of steels was enhanced dramatically, by up to several orders of magnitude. The field test results cover a broad range of ion implanted production tools, which showed a marked improvement in wear resistance. Nitrogen implanted tools are also compared with carbon and titanium implanted tools. (orig.)

  17. Luminescence of natural IIa diamond implanted with nitrogen ions

    International Nuclear Information System (INIS)

    Varichenko, V.S.; Zaitsev, A.M.; Stelmakh, V.F.

    1986-01-01

    Investigations on the influence of defect environment in the irradiated diamond lattice are presented. The N + implantation with energies from 60 keV up to 60 MeV was used both as method of introducing nitrogen containing defects into the diamond and as a good tool to create different environment of these defects. Photoluminescence, cathodoluminescence, and annealing measurements show that the action of defect environment is revealed through the effective pressure affecting the thermal stability of defects and their inhomogeneous distortion

  18. Elastic properties of sub-stoichiometric nitrogen ion implanted silicon

    Energy Technology Data Exchange (ETDEWEB)

    Sarmanova, M.F., E-mail: marina.sarmanova@iom-leipzig.de [Leibniz Institute of Surface Modification, D-04318 Leipzig (Germany); Karl, H. [University Augsburg, Institute of Physics, D-86135 Augsburg (Germany); Mändl, S.; Hirsch, D. [Leibniz Institute of Surface Modification, D-04318 Leipzig (Germany); Mayr, S.G.; Rauschenbach, B. [Leibniz Institute of Surface Modification, D-04318 Leipzig (Germany); University Leipzig, Institute for Experimental Physics II, D-04103 Leipzig (Germany)

    2015-04-15

    Elastic properties of sub-stoichiometric nitrogen implanted silicon were measured with nanometer-resolution using contact resonance atomic force microscopy (CR-AFM) as function of ion fluence and post-annealing conditions. The determined range of indentation moduli was between 100 and 180 GPa depending on the annealing duration and nitrogen content. The high indentation moduli can be explained by formation of Si–N bonds, as verified by X-ray photoelectron spectroscopy.

  19. Wear behaviour of nitrogen-implanted and nitrided Ti-6Al-4V alloy

    International Nuclear Information System (INIS)

    Martinella, R.; Giovanardi, S.; Chevallard, G.; Villani, M.; Molinari, A.; Tosello, C.

    1985-01-01

    The comparison between the wear behaviour of nitrogen-implanted Ti-6Al-4V alloy and that of nitrided Ti-6Al-4V alloy is reported. Both treatments were carried out at temperatures from 573 to 973 K on lapped surfaces; in order to compare roughness effects, nitriding was also carried out on rougher samples. An improvement in wear resistance for lapped surfaces was noted after implantation at 573 K or higher temperatures and after nitriding at temperatures over 773 K only; however, at 873 K, nitriding was more effective than implantation. Rough nitrided surfaces showed better wear resistance than lapped nitrided surfaces or lapped implanted surfaces. Most probably the improvement in wear resistance on implanted samples is due to a reduction in friction induced by chemical modification of the surface as a result of oxide and TiN. Scanning electron microscopy observations which show subsurface voids and coalescence are in good agreement with a wear model previously reported. As implantation preserves the surface finish, a possible application is suggested. (Auth.)

  20. In-vitro evaluation of corrosion resistance of nitrogen ion implanted titanium simulated body fluid

    International Nuclear Information System (INIS)

    Subbaiyan, M.; Sundararajian, T.; Rajeswari, S.; Kamachi Mudali, U.; Nair, K.G.M.; Thampi, N.S.

    1997-01-01

    Titanium and its alloy Ti6Al4V enjoy widespread use in various biomedical applications because of favourable local tissue response, higher corrosion resistance and fatigue strength than the stainless steels and cobalt-chromium alloy previously used. The study reported in this paper aims to optimize the conditions of nitrogen ion implantation on commercially pure titanium and to correlate the implantation parameters to the corrosion resistance. X-ray photoelectron spectroscopy was used to analyse surface concentration and the implantation processes. An improvement in the electrochemical behaviour of the passive film was shown to occur with nitrogen ion implantation on titanium, in simulated body fluids. (UK)

  1. X-ray photoelectron spectroscopic depth profilometry of nitrogen implanted in materials for modification of their surface properties

    International Nuclear Information System (INIS)

    Sarkissian, A.H.; Paynter, R.; Stansfield, B.L.

    1996-01-01

    The modification of the surface properties of materials has a wide range of industrial applications. For example, the authors change the electrical characteristics of semiconductors, improve surface hardness, decrease friction, increase resistance to corrosion, improve adhesion, etc. Nitriding is one of the most common processes used in industry for surface treatment. Nitrogen ion implantation is one technique often used to achieve this goal. Ion implantation offers the power to control the deposition profile, and can be achieved by either conventional ion beam implantation or plasma assisted ion implantation. They have used the technique of plasma assisted ion implantation to implant nitrogen in several materials, including titanium, silicon and stainless steel. The plasma source is a surface ECR source developed at INRS-Energie et Materiaux. The depth profile of the implanted ions has been measured by X-ray photoelectron spectroscopy. They have also conducted simulations using the TRIM-95 code to predict the depth profile of the implanted ions. Comparisons of the measured results with those from simulations are used to deduce information regarding the plasma composition and the collisional effects in the plasma. A fast responding, current and voltage measuring circuit with fiber optic links is being developed, which allows more accurate quantitative measurements. Further experiments to study the characteristics of the plasma, and their effects on the characteristics of the implanted surfaces are in progress, and the results are presented at this meeting

  2. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    International Nuclear Information System (INIS)

    Xu Gang; Wang Xiaoteng; Gan Cailing; Fang Yanqiong; Zhang Meng

    2012-01-01

    Highlights: ► We analyzed biological effects of N + implantation on dry Jatropha curcas seed. ► N + implantation greatly decreased seedling survival rate. ► At doses beyond 15 × 10 16 ion cm −2 , biological repair took place. ► CAT was essential for H 2 O 2 removal. POD mainly functioned as seed was severely hurt. ► HAsA–GSH cycle mainly contributed to the regeneration of HAsA. - Abstract: To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N + with energy of 25 keV was applied to treat the dry seed at six different doses. N + beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 10 16 to 15 × 10 16 ions cm −2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 10 16 ion cm −2 , biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 10 16 ions cm −2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA–GSH cycle appeared to be for regeneration of HAsA.

  3. Influence of nitrogen ion implantation on wear studied by a new laboratory wear test

    Energy Technology Data Exchange (ETDEWEB)

    Szilagyi, E.; Paszti, F.; Vertessy, Z. (Central Research Inst. for Physics, Hungarian Academy of Sciences, Budapest (Hungary))

    1991-05-01

    A new laboratory wear test is developed in which the wear trace is measured by Rutherford backscattering spectrometry. The advantage of the new test is that the wear rate is directly determinable. The new test setup has been used to study the effects of nitrogen implantation on the wear processes on 115CrV3 steel. The wear rate decreases by a factor of 2 at 4x10{sup 17} N{sup +}/cm{sup 2} implanted dose. (orig.).

  4. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  5. Electrical activation of nitrogen heavily implanted 3C-SiC(1 0 0)

    Energy Technology Data Exchange (ETDEWEB)

    Li, Fan, E-mail: f.li.1@warwick.ac.uk [School of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom); Sharma, Yogesh; Shah, Vishal; Jennings, Mike [School of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom); Pérez-Tomás, Amador [ICN2 – Institut Catala de Nanociència i Nanotecnologia, Campus UAB, 08193 Bellaterra, Barcelona (Spain); Myronov, Maksym [Physics Department, University of Warwick, Coventry CV4 7AL (United Kingdom); Fisher, Craig [School of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom); Leadley, David [Physics Department, University of Warwick, Coventry CV4 7AL (United Kingdom); Mawby, Phil [School of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom)

    2015-10-30

    Highlights: • Nitrogen is fully activated by 1175 °C annealing for 1.5 × 10{sup 19} cm{sup −3} doped 3C-SiC. • Free donor concentration is found to readily saturate in 3C-SiC at ∼7 × 10{sup 19} cm{sup −3}. • 3C-SiC is found to have complete donor thermal ionization above 150 K. • Donor in 1.5 × 10{sup 19} cm{sup −3} nitrogen implanted 3C-SiC has an energy level ∼15 meV. • The SiO{sub 2} cap is found to have a bigger influence on low and medium doped samples. - Abstract: A degenerated wide bandgap semiconductor is a rare system. In general, implant levels lie deeper in the band-gap and carrier freeze-out usually takes place at room temperature. Nevertheless, we have observed that heavily doped n-type degenerated 3C-SiC films are achieved by nitrogen implantation level of ∼6 × 10{sup 20} cm{sup −3} at 20 K. According to temperature dependent Hall measurements, nitrogen activation rates decrease with the doping level from almost 100% (1.5 × 10{sup 19} cm{sup −3}, donor level 15 meV) to ∼12% for 6 × 10{sup 20} cm{sup −3}. Free donors are found to saturate in 3C-SiC at ∼7 × 10{sup 19} cm{sup −3}. The implanted film electrical performances are characterized as a function of the dopant doses and post implantation annealing (PIA) conditions by fabricating Van der Pauw structures. A deposited SiO{sub 2} layer was used as the surface capping layer during the PIA process to study its effect on the resultant film properties. From the device design point of view, the lowest sheet resistivity (∼1.4 mΩ cm) has been observed for medium doped (4 × 10{sup 19} cm{sup −3}) sample with PIA 1375 °C 2 h without a SiO{sub 2} cap.

  6. Shallow nitrogen ion implantation: Evolution of chemical state and defect structure in titanium

    Energy Technology Data Exchange (ETDEWEB)

    Manojkumar, P.A., E-mail: manoj@igcar.gov.in [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Chirayath, V.A.; Balamurugan, A.K.; Krishna, Nanda Gopala; Ilango, S.; Kamruddin, M.; Amarendra, G.; Tyagi, A.K. [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Raj, Baldev [National Institute of Advanced Studies, Bangalore 560 012 (India)

    2016-09-15

    Highlights: • Low energy nitrogen ion implantation in titanium was studied. • Chemical and defect states were analyzed using SIMS, XPS and PAS. • SIMS and depth resolved XPS data showed good agreement. • Depth resolved defect and chemical states information were revealed. • Formation of 3 layers of defect states proposed to fit PAS results. - Abstract: Evolution of chemical states and defect structure in titanium during low energy nitrogen ion implantation by Plasma Immersion Ion Implantation (PIII) process is studied. The underlying process of chemical state evolution is investigated using secondary ion mass spectrometry and X-ray photoelectron spectroscopy. The implantation induced defect structure evolution as a function of dose is elucidated using variable energy positron annihilation Doppler broadening spectroscopy (PAS) and the results were corroborated with chemical state. Formation of 3 layers of defect state was modeled to fit PAS results.

  7. Nitrogen implantation in tungsten and migration in the fusion experiment ASDEX upgrade

    International Nuclear Information System (INIS)

    Meisl, Gerd Korbinian

    2015-01-01

    The implantation of nitrogen ions into tungsten was studied in laboratory experiments to understand the interaction of nitrogen containing fusion plasmas with tungsten walls. The resulting model of W-N interaction was tested by experiments in the tokamak ASDEX Upgrade. Using the measurements from these experiments as boundary condition, nitrogen transport and re-distribution in the plasma were modeled by self-consistent WallDYN-DIVIMP simulations.

  8. Sliding wear characteristics of carburized steels and thermally refined steels implanted with nitrogen ions

    International Nuclear Information System (INIS)

    Terashima, Keiichi; Koda, Hiroyuki; Takeuchi, Eiichi.

    1995-01-01

    In order to concretely examine the application of surface reforming by ion implantation, nitrogen ion implantation was applied to the thermally refined steels S45C and SCM440 and the carburized steel SCM415, which are high versatile steels for mechanical structures, and their friction and wear characteristics were examined. The results are summarized as follows. In the surface-reformed material, in which nitrogen was implanted for the purpose of improving the seizure durability of the carburized steel, the load-frictional coefficient curve in lubricated sliding friction was similar to that of the material without implantation, but it was recognized that the load at which seizure occurred reached 2000 kgf or more, and as the amount of implantation was more, the material withstood higher load. In the lubricated sliding friction using a pin-ring type wear testing machine of the thermally refined steels and those to which implantation was applied, it was recognized that the specific wear amount was less in the implanted steels than in those without implantation. The results of the analysis of the implanted surface layers and the friction surfaces are reported. (K.I.)

  9. Mutagenic effects of nitrogen and carbon ions on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Chen Qiufang; Shen Mei; Lu Ting; Shu Shizhen

    1998-06-01

    Dry seeds of stevia were implanted by 60∼100 keV nitrogen ion and 75 keV carbon ion with various doses. The biological effects in M 1 and mutation in M 2 were studied. The results showed that ion beam was able to induce variation on chromosome structure and inhibited mitosis action in root tip cells. The rate of cells with chromosome aberration was increased with the increase of ion beam energy and dose. Energy effects of mitosis were presented between 75 keV and 60, 100 keV. As compared with γ-rays, the effects of ion beam were lower on chromosomal aberration but were higher on frequency of the mutation. The rate of cell with chromosome aberration and M 2 useful mutation induced by implantation of carbon ion was higher than those induced by implantation of nitrogen ion. Mutagenic effects of Feng 1 x Ri Yuan and of Ri Yuan x Feng 2 are higher than that of Ji Ning and Feng 2

  10. Etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon

    International Nuclear Information System (INIS)

    Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R.; Acero, M.C. Esteve, J.; Montserrat, J.; El-Hassani, A.

    1996-01-01

    In this work the etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon is studied as a function of the processing parameters, the implantation dose and temperature, and the presence of capping layers during implantation. Etching characteristics have been probed using tetramethylammonium hydroxide or KOH solutions for different times up to 6 h. Results show that, after annealing, the minimum dose required for the formation of an efficient etch-stop layer is about 4 x 10 17 cm -2 , for an implantation energy of 75 keV. This is defined as a layer with an efficient etch selectivity in relation to Si of s ≥ 100. For larger implantation doses efficient etch selectivities larger than 100 are obtained. However, for these doses a considerable density of pits is observed in the etch-stop layer. These are related to the presence of nitrogen poor Si regions in the buried layer after annealing, due to a partial separation of silicon and silicon nitride phases during the annealing process. The influence of this separation of phases as well as nitrogen gettering in the buried layer on the etch-stop behavior is discussed as a function of the processing parameters

  11. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    Energy Technology Data Exchange (ETDEWEB)

    Xu Gang, E-mail: xg335300@yahoo.com.cn [Center for Research and Development of Fine Chemicals, Guizhou University, Guiyang 550025 (China); Institute of Entomology, Guizhou University, Guiyang 550025 (China); Wang Xiaoteng [Department of Agricultural Resources and Environment, College of Agricultural, Guizhou University, Guiyang 550025 (China); Gan Cailing; Fang Yanqiong; Zhang Meng [College of Life Sciences, Guizhou University, Guiyang 550025 (China)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer We analyzed biological effects of N{sup +} implantation on dry Jatropha curcas seed. Black-Right-Pointing-Pointer N{sup +} implantation greatly decreased seedling survival rate. Black-Right-Pointing-Pointer At doses beyond 15 Multiplication-Sign 10{sup 16} ion cm{sup -2}, biological repair took place. Black-Right-Pointing-Pointer CAT was essential for H{sub 2}O{sub 2} removal. POD mainly functioned as seed was severely hurt. Black-Right-Pointing-Pointer HAsA-GSH cycle mainly contributed to the regeneration of HAsA. - Abstract: To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N{sup +} with energy of 25 keV was applied to treat the dry seed at six different doses. N{sup +} beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 Multiplication-Sign 10{sup 16} to 15 Multiplication-Sign 10{sup 16} ions cm{sup -2} severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 Multiplication-Sign 10{sup 16} ion cm{sup -2}, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 Multiplication-Sign 10{sup 16} ions cm{sup -2} may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  12. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    International Nuclear Information System (INIS)

    Acero, M.C.; Esteve, J.; Montserrat, J.; Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R.

    1993-01-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10 17 cm -2 to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10 17 cm -2 , layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author)

  13. Microstructure and properties of nitrogen ion implantation/AlN/CrAlN/MoS{sub 2}-phenolic resin duplex coatings on magnesium alloys

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Zhiwen [University of Science and Technology Liaoning, Anshan 114051 (China); Chongqing Institute of Green and Intelligent Technology, Chinese Academy of Sciences, Chongqing 400714 (China); Chen, Qiang, E-mail: 2009chenqiang@163.com [Southwest Technique and Engineering Research Institute, Chongqing 400039 (China); Chen, Tian [Chongqing Institute of Green and Intelligent Technology, Chinese Academy of Sciences, Chongqing 400714 (China); Gao, Xu; Yu, Xiaoguang; Song, Hua; Feng, Yongjun [University of Science and Technology Liaoning, Anshan 114051 (China)

    2015-06-15

    The novel nitrogen ion implantation/AlN/CrAlN/MoS{sub 2}-phenolic resin duplex coatings are fabricated on the AM60 magnesium alloys. The microstructure, tribological and electrochemical properties of the duplex coatings are characterized by X-ray diffraction, X-ray photoelectron spectroscopy, scanning electron microscopy, Fourier transform infrared spectroscopy, Raman spectroscopy, nano-indenter, electrochemical corrosion and wear tester. These studies reveal that the MoS{sub 2}-phenolic resin coating has a two-phase microstructure crystalline MoS{sub 2} particles embedded in the amorphous phenolic resin matrix. The single-layer MoS{sub 2}-phenolic resin enhances the corrosion resistance of magnesium alloys, but shows poor wear resistance due to the low substrate's load bearing capacity. The addition of nitrogen ion implantation/AlN/CrAlN interlayer in the MoS{sub 2}-phenolic resin/substrate system greatly enhances the substrate's load bearing capacity. The AlN/CrAlN/MoS{sub 2}-phenolic resin duplex coating with a high load bearing capacity demonstrates super wear resistance (i.e., long wear life and low friction coefficient). In addition, the nitrogen ion implantation/AlN interlayer greatly depresses the effect of galvanic corrosion because its potential is close to that of the magnesium alloys, but the nitrogen ion implantation/AlN/CrAlN interlayer is inefficient in reducing the galvanic corrosion due to the large potential difference between the CrN phase and the substrate. As a result, the nitrogen ion implantation/AlN/MoS{sub 2}-phenolic resin duplex coating shows a better corrosion resistance compared to the nitrogen ion implantation/AlN/CrAlN/MoS{sub 2}-phenolic resin. - Highlights: • Ion implantation/AlN/CrAlN/MoS{sub 2}-phenolic resin duplex coatings were presented. • Ion implantation/AlN/CrAlN interlayer greatly enhanced the load bearing capacity. • Ion implantation/AlN interlayer greatly depressed the effect of galvanic corrosion. • The

  14. Mutagenic effects of ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Lu Ting; Shu Shizhen

    1998-01-01

    Dry seeds of Stevia were implanted by 75 keV nitrogen and carbon ions with various doses. The biological effects in M 1 and mutation in M 2 were studied. The results showed that ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam dose. The rate of cells with chromosomal aberration was lower than that induced with γ-rays. Frequency of the mutation induced by implantation of N + and C + ions were higher than those induced by γ-rays. The rate of cell with chromosome aberration and in M 2 useful mutation induced by implantation of C + ion was higher than those induced by implantation of N + ion. Mutagenic effects Feng 1 x Riyuan and Riyuan x Feng 2 by implantation of N + and C + were higher than that of Jining and Feng 2

  15. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Acero, M.C.; Esteve, J.; Montserrat, J. (Centro Nacional de Microelectronica (CNM-CSIC), Bellaterra (Spain)); Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R. (Barcelona Univ. (Spain). Dept. Fisica Aplicada i Electronica)

    1993-09-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10[sup 17] cm[sup -2] to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10[sup 17] cm[sup -2], layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author).

  16. Generation of Nitrogen-Vacancy Center Pairs in Bulk Diamond by Molecular Nitrogen Implantation

    International Nuclear Information System (INIS)

    Zhao-Jun Gong; Xiang-Dong Chen; Cong-Cong Li; Shen Li; Bo-Wen Zhao; Fang-Wen Sun

    2016-01-01

    The coupled negatively charged nitrogen-vacancy (NV − ) center system is a promising candidate for scalable quantum information techniques. In this work, ionized nitrogen molecules are implanted into bulk diamond to generate coupled NV − center pairs. The two-photon autocorrelation measurement and optically detected magnetic resonance measurement are carried out to confirm the production of the NV − center pair. Also, both 1.3 μs decoherence time and 4.9 kHz magnetic coupling strength of the NV − center pair are measured by controlling and detecting the spin states. Along with nanoscale manipulation and detection methods, such coupled NV − centers through short distance dipole-dipole interaction would show high potential in scalable quantum information processes. (paper)

  17. Microstructure and tribology of carbon, nitrogen, and oxygen implanted ferrous materials

    International Nuclear Information System (INIS)

    Williamson, D.L.

    1993-01-01

    Nitrogen, carbon, and oxygen ions have been implanted into ferrous materials under unusual conditions of elevated temperatures and very high dose rates. The tribological durabilities of the resulting surfaces are examined with a special type of pin-on-disc wear test apparatus and found in most cases to be dramatically improved compared to surfaces prepared with conventional implantation conditions. Near-surface microstructures and compositions are characterized after implantation and after wear testing by backscatter Moessbauer spectroscopy, X-ray diffraction, scanning electron microscopy, and Auger electron spectroscopy. These data provide evidence for the predominant mechanisms responsible for the observed sliding wear behavior induced by each of the three species. (orig.)

  18. Significantly enhanced visible light response in single TiO2 nanowire by nitrogen ion implantation

    Science.gov (United States)

    Wu, Pengcheng; Song, Xianyin; Si, Shuyao; Ke, Zunjian; Cheng, Li; Li, Wenqing; Xiao, Xiangheng; Jiang, Changzhong

    2018-05-01

    The metal-oxide semiconductor TiO2 shows enormous potential in the field of photoelectric detection; however, UV-light absorption only restricts its widespread application. It is considered that nitrogen doping can improve the visible light absorption of TiO2, but the effect of traditional chemical doping is far from being used for visible light detection. Herein, we dramatically broadened the absorption spectrum of the TiO2 nanowire (NW) by nitrogen ion implantation and apply the N-doped single TiO2 NW to visible light detection for the first time. Moreover, this novel strategy effectively modifies the surface states and thus regulates the height of Schottky barriers at the metal/semiconductor interface, which is crucial to realizing high responsivity and a fast response rate. Under the illumination of a laser with a wavelength of 457 nm, our fabricated photodetector exhibits favorable responsivity (8 A W-1) and a short response time (0.5 s). These results indicate that ion implantation is a promising method in exploring the visible light detection of TiO2.

  19. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    Science.gov (United States)

    Xu, Gang; Wang, Xiao-teng; Gan, Cai-ling; Fang, Yan-qiong; Zhang, Meng

    2012-09-01

    To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N+ with energy of 25 keV was applied to treat the dry seed at six different doses. N+ beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 1016 to 15 × 1016 ions cm-2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 1016 ion cm-2, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 1016 ions cm-2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  20. Mechanism of mechanical property enhancement in nitrogen and titanium implanted 321 stainless steel

    International Nuclear Information System (INIS)

    Xu Ming; Li Liuhe; Liu Youming; Cai Xun; Chen Qiulong; Chu, Paul K.

    2006-01-01

    Ion implantation is a well-known method to modify surface mechanical properties. The improvement of the mechanical properties can usually be attributed to the formation of new strengthening phases, solution strengthening, dislocation strengthening, or grain refinement. However, in many cases, the roles of individual factors are not clear. In this study, we implanted nitrogen and titanium into 321 stainless steel samples to investigate the enhancement mechanism of the mechanical properties. Nano-indentation experiments were conducted to measure the hardness under various loadings. The N and Ti implanted 321 stainless steel samples were found to behave differently in the hardness (GPa) versus depth (nm) diagram. The effects of the radiation damage, solution strengthening, and dispersion strengthening phase were analyzed. Characterization of the modified layers was performed using techniques such as Auger electron spectroscopy (AES) and grazing incidence X-ray diffraction (GIXRD). Transmission electron microscopy (TEM) and X-ray diffraction were also applied to reveal the structure of the untreated 321 stainless steel

  1. The microstructure and surface hardness of Ti6Al4V alloy implanted with nitrogen ions at an elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Vlcak, Petr, E-mail: petr.vlcak@fs.cvut.cz [Department of Physics, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic); Cerny, Frantisek [Department of Physics, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic); Drahokoupil, Jan [Department of Metals, Institute of Physics, AS CR, v.v.i., Na Slovance 2, 182 21 Prague (Czech Republic); Sepitka, Josef [Department of Mechanics, Biomechanics and Mechatronics, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic); Tolde, Zdenek [Department of Materials Engineering, Faculty of Mechanical Engineering, Czech Technical University in Prague, Technicka 4, 16607 Prague (Czech Republic)

    2015-01-25

    Highlights: • The Ti6Al4V samples were implanted with 90 keV nitrogen ions. • The samples were annealed at 500 °C during the ion implantation process. • An elevated temperature increases the mobility of the atoms and the quantity of TiN. • The hardness showed a significant increase compared to room temperature implantation. - Abstract: The effect of an elevated temperature during nitrogen ion implantation on the microstructure and on the surface hardness of Ti6Al4V titanium alloy was examined. The implantation process was carried out at fluences of 1 ⋅ 10{sup 17}, 2.7 ⋅ 10{sup 17} and 6 ⋅ 10{sup 17} cm{sup −2} and at ion energy 90 keV. The implanted samples were annealed at 500 °C during the implantation process. X-ray diffraction analysis was performed to obtain a phase characterization and a phase quantification in the implanted sample surface. The surface hardness was investigated by nanoindentation testing, and the nitrogen depth distribution was measured by Rutherford Backscattering Spectroscopy. Elevated temperature led to increased formation of a TiN compound. It was found that a mixture of TiN and an α-Ti(+N) solid solution had a predominant amount of TiN for samples with fluence of 2.7 ⋅ 10{sup 17} cm{sup −2} or higher. Elevated temperature during ion implantation caused an increase in surface hardening more towards the depth of the substrate in comparison with room temperature implantation. The hardness showed a remarkably significant increase at a fluence of 1 ⋅ 10{sup 17} and 2.7 ⋅ 10{sup 17} cm{sup −2} compared to samples implanted at the same fluences and at room temperature. There is a discussion of such mechanisms that explain the observed hardening more towards the depth of the substrate, and the increase in hardness.

  2. 3DII implantation effect on corrosion properties of the AISI/SAE 1020 steel

    Energy Technology Data Exchange (ETDEWEB)

    Dulce M., H.J.; Rueda V., Alejandro [Universidad Francisco de Paula Santander, A.A. 1055, Cucuta (Colombia); Dougar-Jabon, Valeri [Universidad Industrial de Santander, A.A. 678, Bucaramanga (Colombia)

    2005-08-01

    The three dimensional ion implantation technology (3DII) is one of the methods of improving the tribological characteristics and resistance to hydrogen embrittlement processes in metals. In this report, some results concerning the resistance effect of nitrogen ion implantation to oxidation of the sample, made of AISI/SAE 1020 steel, are given. The nitrogen ions were implanted in the discharge chamber of the JUPITER reactor. Both the treated and untreated samples were tested through potential-static measurements, which permitted to determine the corrosion current, the slopes that characterise the braking level of anode and cathode reactions. The polarization resistance near the corrosion potential is calculated. The results of the study encourage to consider the nitrogen ion implantation in high voltage and low pressure discharges as one of the methods of anticorrosive protection which do not change the geometric configuration of the treated steel pieces. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Study of ionic movements during anodic oxidation of nitrogen-implanted aluminium

    International Nuclear Information System (INIS)

    Terwagne, G.; Lucas, S.; Bodart, F.; Sorensen, G.; Jensen, H.

    1990-01-01

    In recent years there has been a considerable interest in synthesizing aluminium nitrides by ion implantation in order to modify the tribological properties of aluminium. The growth of an oxide layer by anodic process on these synthesized aluminium nitrides gives an interesting oxide-on-semiconductor material with surprising dynamic and decorative properties. During the anodic oxidation, ionic movements are involved in the near-surface region of the aluminium material; these ionic movements have been studied by Rutherford backscattering spectrometry (RBS) and nuclear reaction analysis (NRA) on thin aluminium foils (7000 A) preimplanted with nitrogen and post-oxidized in an ammonium pentaborate solution. The growth of the oxide layer is reduced when the aluminium is preimplanted with nitrogen: the speed of oxidation depends on the implantation conditions (energy and fluence). Moreover, the aluminium nitride can be dissolved when all metallic aluminium staying between the surface and the AlN are consumed by the anodic process. (orig.)

  4. Ultra-shallow arsenic implant depth profiling using low-energy nitrogen beams

    International Nuclear Information System (INIS)

    Fearn, Sarah; Chater, Richard; McPhail, David

    2004-01-01

    Sputtering of silicon by low-energy nitrogen primary ion beams has been studied by a number of authors to characterize the altered layer, ripple formation and the sputtered yields of secondary ions [Surf. Sci. 424 (1999) 299; Appl. Phys. A: Mater. Sci. Process 53 (1991) 179; Appl. Phys. Lett. 73 (1998) 1287]. This study examines the application of low-energy nitrogen primary ion beams for the possible depth profiling of ultra-shallow arsenic implants into silicon. The emphasis of this work is on the matrix silicon signals in the pre-equilibrium surface region that are used for dose calibration. Problems with these aspects of the concentration depth profiling can give significant inconsistencies well outside the error limits of the quoted dose for the arsenic implantation as independently verified by CV profiling. This occurs during depth profiling with either oxygen primary ion beams (with and without oxygen leaks) or cesium primary ion beams

  5. Nitrogen implantation into silicon at 700-1100 deg C

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Tyschenko, I.E.; Popov, V.P.; Tijs, S.A.; Plotnikov, A.E.

    1989-01-01

    Nitrogen ions 130-140 kW potential accelerated were implanted in silicon heated up to Ti=700-1100 deg C. Densities of ion current were 1-5 mcA/cm 2 , doses did not exceed 5x10 17 cm -2 . Initial stages of nitride formation in buried layers are investigated by means of Rutherford backscattering, layer-by-layer Augerspctroscopy and electron microscopy. It is determind, that Ti growth from 700 up to 900 deg C is accompanied by essential reduction of defectiveness of silicon near-the-surface layer at nitrogen retention within the limits of the calculation profile of ion ranges. At Ti=900 deg C nitrogen is rather mobile and at ∼5x10 16 cm -2 dose it is drown to α-Si 3 N 4 crystalling extraction in R p range. Beginning from Ti ≅1000 deg C nitrogen is not retained in the furied layer and diffuses to the surface. No essenstial losses of nitrogen due to evaporation or inside diffusion are observed. It is noted, that critical Ti, when nitrogen is accumulated in the buried layer, correspond to critical temperatures, when light ions introduce essential structure distortions. Conclusion is made, that irradition-introduced distortions of structure represent centres of initiation and growth of nitride phase. At 1150 deg C additional annaling during 3 hs nitrogen, occurring outside the stable extraction, is redistributed between th surface and furied layers, sintering in narrow concentration peaks

  6. Physical and chemical characterization of surfaces of nitrogen implanted steels

    International Nuclear Information System (INIS)

    Moncoffre, N.

    1986-01-01

    The studied steels are of industrial type (42CD4, 100C6, Z200C13). Very often, the low carbon steel XCO6 has been used as a reference material. The aim of the research is to understand and to explain the mechanisms of wear resistance to improvement. A good characterization of the implanted layer is thus necessary. It implies to establish the distribution profiles of the implanted ions to identify the chemical and structural state of the phases created during implantation as a function of various implantation parameters (dose, temperature). Temperature is the particularly parameter. Its influence is put in evidence both during implantation and during annealings under vacuum. Nitrogen distribution profiles are performed thanks to the non destructive 15 N(p,αγ) 12 C nuclear reaction. The chemical state of the Fe-N phases formed by implantation is determined using first Electron Conversion Moessbauer Spectroscopy and secondly, as a complement, using grazing angle X ray diffraction. The detected compounds are ε-nitrides, ε-carbonitrides, (N) - martensite and α-Fe 16 N 2 whose evolution is carefully followed versus temperature. The diffraction technique reveals a texture of the implanted layer. This preferentiel orientation is found to be temperature dependent but dose independent. The carbon presence at the surface is studied as a function of implantation conditions (vacuum, temperature, dose). Carbon profiling is obtained using α backscattering ( 12 C(α,α') reaction at 5,7 MeV). Thus is achieved a complete characterization of the implanted zone whose evolution as a function of implantation parameters (especially temperature) is correlated with tribological results [fr

  7. Nitrogen implantation of steels: A treatment which can initiate sustained oxidative wear

    International Nuclear Information System (INIS)

    Hale, E.B.; Reinbold, R.; Missouri Univ., Rolla; Kohser, R.A.

    1987-01-01

    Falex wear tests on mild (SAE 3135) steel samples treated by either nitrogen implantation (2.5x10 17 N 2 + cm -2 at 180 keV) or low temperature (about 315 0 C) oxidation are reported. The results show that both treatments lead to about an order-of-magnitude reduction in the long-term wear rate of the steel. In addition to the wear rate measurements, the wear member asymmetry behavior, scanning electron microscopy studies, Auger spectra and sputter profiles all indicate that the wear modes induced by both treatments are the same and are oxidative wear. These results confirm the previously proposed initiator-sustainer wear model in which implanted nitrogen simply acts as an initiator of favorable oxidative wear but is not directly involved in maintaining the sustained wear resistance. Possible mechanisms for both the initiation process and the sustained wear process are reviewed and discussed. (orig.)

  8. Laser annealing effects of the Raman laser on nitrogen implanted glassy carbon

    International Nuclear Information System (INIS)

    Barbara, D.; Prawer, S.; Jamieson, D.N.

    1996-01-01

    Raman analysis is a popular method of investigating crystallite sizes, ordering and the types of bonds that exist in ion irradiated carbon materials, namely graphite, diamond and glassy carbon (G.C.). In particular Raman spectroscopy is used in determining the tetrahedral bonding required for the elusive and potentially important new material called carbon nitride. Carbon nitride, β-C 3 N 4 , is predicted to exist in several forms. Forming the tetrahedral bond between C and N has proved troublesome bain of many experimenters. A proven method for synthesizing novel materials is ion implantation. Thus G.C. was implanted with N at low temperatures so that diffusion of the implanted N would be hindered. G.C. is a relatively hard, chemically inert, graphitic material. The opaque property of G.C. means that Raman spectroscopy will only give information about the structures that exist at the surface and near surface layers. It was decided, after observing conflicting Raman spectra at different laser powers, that an investigation of the laser annealing effects of the Raman laser on the N implanted G.C. was warranted. The results of the preliminary investigation of the effects of increasing the Raman laser power and determining a power density threshold for high dose N implanted G.C. are discussed. 4 refs., 4 figs

  9. Radio-frequency plasma nitriding and nitrogen plasma immersion ion implantation of Ti-6Al-4V alloy

    International Nuclear Information System (INIS)

    Wang, S.Y.; Chu, P.K.; Tang, B.Y.; Zeng, X.C.; Wang, X.F.; Chen, Y.B.

    1997-01-01

    Nitrogen ion implantation improves the wear resistance of Ti-6Al-4V alloys by forming a hard TiN superficial passivation layer. However, the thickness of the layer formed by traditional ion implantation is typically 100-200 nm and may not be adequate for many industrial applications. We propose to use radio-frequency (RF) plasma nitriding and nitrogen plasma immersion ion implantation (PIII) to increase the layer thickness. By using a newly designed inductively coupled RF plasma source and applying a series of negative high voltage pulses to the Ti-6Al-4V samples. RF plasma nitriding and nitrogen PIII can be achieved. Our process yields a substantially thicker modified layer exhibiting more superior wear resistance characteristics, as demonstrated by data from micro-hardness testing, pin-on-disc wear testing, scanning electron microscopy (SEM), as well as Auger electron spectroscopy (AES). The performance of our newly developed inductively coupled RF plasma source which is responsible for the success of the experiments is also described. (orig.)

  10. Design of a nitrogen-implanted titanium-based superelastic alloy with optimized properties for biomedical applications

    International Nuclear Information System (INIS)

    Gordin, D.M.; Busardo, D.; Cimpean, A.; Vasilescu, C.; Höche, D.; Drob, S.I.; Mitran, V.; Cornen, M.; Gloriant, T.

    2013-01-01

    In this study, a superelastic Ni-free Ti-based biomedical alloy was treated in surface by the implantation of nitrogen ions for the first time. The N-implanted surface was characterized by X-ray diffraction, X-ray photoelectron spectroscopy, and secondary ion mass spectroscopy, and the superficial mechanical properties were evaluated by nano-indentation and by ball-on-disk tribological tests. To investigate the biocompatibility, the corrosion resistance of the N-implanted Ti alloy was evaluated in simulated body fluids (SBF) complemented by in-vitro cytocompatibility tests on human fetal osteoblasts. After implantation, surface analysis methods revealed the formation of a titanium-based nitride on the substrate surface. Consequently, an increase in superficial hardness and a significant reduction of friction coefficient were observed compared to the non-implanted sample. Also, a better corrosion resistance and a significant decrease in ion release rates have been obtained. Cell culture experiments indicated that the cytocompatibility of the N-implanted Ti alloy was superior to that of the corresponding non-treated sample. Thus, this new functional N-implanted titanium-based superelastic alloy presents the optimized properties that are required for various medical devices: superelasticity, high superficial mechanical properties, high corrosion resistance and excellent cytocompatibility. - Highlights: • A superelastic Ni-free Ti-based biomedical alloy was treated in surface by implantation of nitrogen ions. • Much higher superficial hardness and wear resistance were obtained. • A clear enhancement of the corrosion resistance in SBF was observed. • In-vitro tests performed on human fetal osteoblasts indicated an excellent level of cytocompatibility

  11. Design of a nitrogen-implanted titanium-based superelastic alloy with optimized properties for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Gordin, D.M. [INSA de Rennes, Laboratoire Chimie-Métallurgie, UMR CNRS 6226 Institut des Sciences Chimiques de Rennes, 20 avenue des Buttes de Coësmes, 35708 Rennes Cedex 7 (France); Busardo, D. [Quertech Ingénierie, 9 rue de la Girafe, 14000 Caen (France); Cimpean, A. [University of Bucharest, Department of Biochemistry and Molecular Biology, Spl. Independentei 91-95, 050095 Bucharest (Romania); Vasilescu, C. [Institute of Physical Chemistry «Ilie Murgulescu» of Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania); Höche, D. [Institute of Materials Research, Helmholtz-Zentrum Geesthacht -Zentrum für Material- und Küstenforschung GmbH Max-Planck-Straße 1, D-21502 Geesthacht (Germany); Drob, S.I. [Institute of Physical Chemistry «Ilie Murgulescu» of Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania); Mitran, V. [University of Bucharest, Department of Biochemistry and Molecular Biology, Spl. Independentei 91-95, 050095 Bucharest (Romania); Cornen, M. [INSA de Rennes, Laboratoire Chimie-Métallurgie, UMR CNRS 6226 Institut des Sciences Chimiques de Rennes, 20 avenue des Buttes de Coësmes, 35708 Rennes Cedex 7 (France); Gloriant, T., E-mail: Thierry.Gloriant@insa-rennes.fr [INSA de Rennes, Laboratoire Chimie-Métallurgie, UMR CNRS 6226 Institut des Sciences Chimiques de Rennes, 20 avenue des Buttes de Coësmes, 35708 Rennes Cedex 7 (France)

    2013-10-15

    In this study, a superelastic Ni-free Ti-based biomedical alloy was treated in surface by the implantation of nitrogen ions for the first time. The N-implanted surface was characterized by X-ray diffraction, X-ray photoelectron spectroscopy, and secondary ion mass spectroscopy, and the superficial mechanical properties were evaluated by nano-indentation and by ball-on-disk tribological tests. To investigate the biocompatibility, the corrosion resistance of the N-implanted Ti alloy was evaluated in simulated body fluids (SBF) complemented by in-vitro cytocompatibility tests on human fetal osteoblasts. After implantation, surface analysis methods revealed the formation of a titanium-based nitride on the substrate surface. Consequently, an increase in superficial hardness and a significant reduction of friction coefficient were observed compared to the non-implanted sample. Also, a better corrosion resistance and a significant decrease in ion release rates have been obtained. Cell culture experiments indicated that the cytocompatibility of the N-implanted Ti alloy was superior to that of the corresponding non-treated sample. Thus, this new functional N-implanted titanium-based superelastic alloy presents the optimized properties that are required for various medical devices: superelasticity, high superficial mechanical properties, high corrosion resistance and excellent cytocompatibility. - Highlights: • A superelastic Ni-free Ti-based biomedical alloy was treated in surface by implantation of nitrogen ions. • Much higher superficial hardness and wear resistance were obtained. • A clear enhancement of the corrosion resistance in SBF was observed. • In-vitro tests performed on human fetal osteoblasts indicated an excellent level of cytocompatibility.

  12. Laser annealing effects of the Raman laser on nitrogen implanted glassy carbon

    Energy Technology Data Exchange (ETDEWEB)

    Barbara, D.; Prawer, S.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    Raman analysis is a popular method of investigating crystallite sizes, ordering and the types of bonds that exist in ion irradiated carbon materials, namely graphite, diamond and glassy carbon (G.C.). In particular Raman spectroscopy is used in determining the tetrahedral bonding required for the elusive and potentially important new material called carbon nitride. Carbon nitride, {beta}-C{sub 3}N{sub 4}, is predicted to exist in several forms. Forming the tetrahedral bond between C and N has proved troublesome bain of many experimenters. A proven method for synthesizing novel materials is ion implantation. Thus G.C. was implanted with N at low temperatures so that diffusion of the implanted N would be hindered. G.C. is a relatively hard, chemically inert, graphitic material. The opaque property of G.C. means that Raman spectroscopy will only give information about the structures that exist at the surface and near surface layers. It was decided, after observing conflicting Raman spectra at different laser powers, that an investigation of the laser annealing effects of the Raman laser on the N implanted G.C. was warranted. The results of the preliminary investigation of the effects of increasing the Raman laser power and determining a power density threshold for high dose N implanted G.C. are discussed. 4 refs., 4 figs.

  13. Laser annealing effects of the Raman laser on nitrogen implanted glassy carbon

    Energy Technology Data Exchange (ETDEWEB)

    Barbara, D; Prawer, S; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    Raman analysis is a popular method of investigating crystallite sizes, ordering and the types of bonds that exist in ion irradiated carbon materials, namely graphite, diamond and glassy carbon (G.C.). In particular Raman spectroscopy is used in determining the tetrahedral bonding required for the elusive and potentially important new material called carbon nitride. Carbon nitride, {beta}-C{sub 3}N{sub 4}, is predicted to exist in several forms. Forming the tetrahedral bond between C and N has proved troublesome bain of many experimenters. A proven method for synthesizing novel materials is ion implantation. Thus G.C. was implanted with N at low temperatures so that diffusion of the implanted N would be hindered. G.C. is a relatively hard, chemically inert, graphitic material. The opaque property of G.C. means that Raman spectroscopy will only give information about the structures that exist at the surface and near surface layers. It was decided, after observing conflicting Raman spectra at different laser powers, that an investigation of the laser annealing effects of the Raman laser on the N implanted G.C. was warranted. The results of the preliminary investigation of the effects of increasing the Raman laser power and determining a power density threshold for high dose N implanted G.C. are discussed. 4 refs., 4 figs.

  14. Stoichiometric carbon nitride synthesized by ion beam sputtering and post nitrogen ion implantation

    International Nuclear Information System (INIS)

    Valizadeh, R.; Colligon, J.S.; Katardiev, I.V.; Faunce, C.A.; Donnelly, S.E.

    1998-01-01

    Full text: Carbon nitride films have been deposited on Si (100) by ion beam sputtering a vitreous graphite target with nitrogen and argon ions with and without concurrent N2 ion bombardment at room temperature. The sputtering beam energy was 1000 eV and the assisted beam energy was 300 eV with ion / atom arrival ratio ranging from 0.5 to 5. The carbon nitride films were deposited both as single layer directly on silicon substrate and as multilayer between two layers of stoichiometric amorphous silicon nitride and polycrystalline titanium nitride. The deposited films were implanted ex-situ with 30 keV nitrogen ions with various doses ranging from 1E17 to 4E17 ions.cm -2 and 2 GeV xenon ion with a dose of 1E12 ions.cm -2 . The nitrogen concentration of the films was measured with Rutherford Backscattering (RBS), Secondary Neutral Mass Spectrometry (SNMS) and Parallel Electron Energy Loss Spectroscopy (PEELS). The nitrogen concentration for as deposited sample was 34 at% and stoichiometric carbon nitride C 3 N 4 was achieved by post nitrogen implantation of the multi-layered films. Post bombardment of single layer carbon nitride films lead to reduction in the total nitrogen concentration. Carbon K edge structure obtained from PEELS analysis suggested that the amorphous C 3 N 4 matrix was predominantly sp 2 bonded. This was confirmed by Fourier Transforrn Infra-Red Spectroscopy (FTIR) analysis of the single CN layer which showed the nitrogen was mostly bonded with carbon in nitrile (C≡N) and imine (C=N) groups. The microstructure of the film was determined by Transmission Electron Microscopy (TEM) which indicated that the films were amorphous

  15. Microhardness tests of stainless steel 52100 implanted with nitrogen and carbon dioxide

    CERN Document Server

    Mardanian, M; Taheri, Z

    2003-01-01

    In this research work, samples of stainless steel 52100 disks were implanted with nitrogen and carbon dioxide ions at the energy of 90 keV. Microhardness measurement were performed to determine the hardness of the surface. The N-2 sup + implanted steels at the doses of 1x10 sup 1 8 ions cm sup sub 2 gave the highest hardness of 49.70%, while for the CO sub 2 sup + ions implantation, the hardness of 17% and 5% were obtained at the doses of 3x10 sup 1 8 and 1x10 sup 1 9 ions cm sup - 2, respectively. To support the interpretation of our microhardness results the implanted surface were analyzed by the use of XRD method. Our results indicated that the hardness of the N sub 2 sup + implanted samples are due to formation of beta-Cr N phase in the surface layer, while in the CO sub 2 + implanted samples no observation of carbon as graphite or carbide was made. In addition, the absence of any hump in the XRD spectrum indicating that carbon is not in the amorphous phase either.

  16. Large magnetoresistance effect in nitrogen-doped silicon

    Directory of Open Access Journals (Sweden)

    Tao Wang

    2017-05-01

    Full Text Available In this work, we reported a large magnetoresistance effect in silicon by ion implantation of nitrogen atoms. At room temperature, the magnetoresistance of silicon reaches 125 % under magnetic field 1.7 T and voltage bias -80 V. By applying an alternating magnetic field with a frequency (f of 0.008 Hz, we find that the magnetoresistance of silicon is divided into f and 2f two signal components, which represent the linear and quadratic magnetoresistance effects, respectively. The analysis based on tuning the magnetic field and the voltage bias reveals that electric-field-induced space-charge effect plays an important role to enhance both the linear and quadratic magnetoresistance effects. Observation as well as a comprehensive explanation of large MR in silicon, especially based on semiconductor CMOS implantation technology, will be an important progress towards magnetoelectronic applications.

  17. Implantation and annealing effects in molecular organic films

    CERN Document Server

    Pakhomov, G L; Shashkin, V I; Tura, J M; Ribo, J M; Ottaviano, L

    2002-01-01

    Ion implantation and annealing effects on the surface of phthalocyanine thin films have been studied by means of atomic force microscopy and electron spectroscopy for chemical analysis. Both the topology and the chemical composition of the surface are affected by irradiation. The influence of the irradiation dose is shown. The chemical degradation of the layer results mainly in the decrease of atomic concentration of nitrogen and chlorine, and in the increase of atomic concentration of oxygen. At highest dose, carbonization becomes important. Furthermore, N 1s, C 1s and Cl 2p core levels testify that the formation of new chemical species occurs in implanted pthalocyanine films. All these processes are modified by subsequent heat treatment in different ways, depending on the applied implantation fluence.

  18. Application of nitrogen plasma immersion ion implantation to titanium nasal implants with nanonetwork surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Ying-Sui; Yang, Wei-En [Department of Dentistry, National Yang-Ming University, Taipei 112, Taiwan (China); Zhang, Lan [State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University, Xi' an 710049 (China); Zhu, Hongqin [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Lan, Ming-Ying [Division of Rhinology, Department of Otolaryngology Head and Neck Surgery, Taipei Veterans General Hospital, Taipei 112, Taiwan and School of Medicine, National Yang-Ming University, Taipei 112, Taiwan (China); Lee, Sheng-Wei [Institute of Materials Science and Engineering, National Central University, Taoyuan 320, Taiwan (China); Huang, Her-Hsiung, E-mail: hhhuang@ym.edu.tw [Department of Dentistry, National Yang-Ming University, Taipei 112, Taiwan (China); Institute of Oral Biology, National Yang-Ming University, Taipei 112, Taiwan (China); Graduate Institute of Basic Medical Science, China Medical University, Taichung 404, Taiwan (China); Department of Medical Research, China Medical University Hospital, Taichung 407, Taiwan (China); Department of Bioinformatics and Medical Engineering, Asia University, Taichung 413, Taiwan (China); Department of Stomatology, Taipei Veterans General Hospital, Taipei 112, Taiwan (China)

    2016-07-15

    In nasal reconstruction, the response of cells to titanium (Ti) implants is mainly determined by surface features of the implant. In a pilot study, the authors applied electrochemical anodization to Ti surfaces in an alkaline solution to create a network of nanoscale surface structures. This nanonetwork was intended to enhance the responses of primary human nasal epithelial cell (HNEpC) to the Ti surface. In this study, the authors then treated the anodized, nanonetwork-structured Ti surface using nitrogen plasma immersion ion implantation (NPIII) in order to further improve the HNEpC response to the Ti surface. Subsequently, surface characterization was performed to elucidate morphology, roughness, wettability, and chemistry of specimens. Cytotoxicity, blood, and HNEpC responses were also evaluated. Our results demonstrate that NPIII treatment led to the formation of a noncytotoxic TiN-containing thin film (thickness <100 nm) on the electrochemically anodized Ti surface with a nanonetwork-structure. NPIII treatment was shown to improve blood clotting and the adhesion of platelets to the anodized Ti surface as well as the adhesion and proliferation of hNEpC. This research spreads our understanding of the fact that a TiN-containing thin film, produced using NPIII treatment, could be used to improve blood and HNEpC responses to anodized, nanonetwork-structured Ti surfaces in nasal implant applications.

  19. Damaging Effect of Low Energy N+ Implantation on Aspergillus niger Spores

    International Nuclear Information System (INIS)

    Wang Lisheng; Cai Kezhou; Cheng Maoji; Chen Lijuan; Liu Xuelan; Zhang Shuqing; Yu Zengliang

    2007-01-01

    The mutant effects of a keV range nitrogen ion (N + ) beam on enzyme-producing probiotics were studied, particularly with regard to the induction in the genome. The electron spin resonance (ESR) results showed that the signal of ESR spectrum existed in both implanted and non-implanted spores, and the yields of free radicals increased in a dose-dependent manner. The ionic etching and dilapidation of cell wall could be observed distinctly through the scanning electron microscope (SEM). The mutagenic effect on genome indicated that N + implantation could make base mutation. This study provided an insight into the roles low-energy ions might play in inducing mutagenesis of micro-organisms

  20. Formation of aluminium nitride and segregation of Cu impurity atoms in aluminium implanted by high dose nitrogen ions

    International Nuclear Information System (INIS)

    Lin Chenglu; Hemment, P.L.F.; Li Jinhua; Zou Shichang

    1994-01-01

    Aluminium films with a thickness of 7000 A (containing 0.85% copper) were deposited on silicon substrates. 400 keV N 2 + or 350 keV N + ions were implanted into the aluminium films or at the interface between the aluminium and silicon, respectively. Automatic spreading resistance (ASR), Fourier transform infrared spectroscopy (FTIR) and Rutherford backscattering (RBS) and channelling were used to characterize the formation of aluminium nitride and the depth distribution of the Cu impurity in the aluminium films after ion implantation and post-annealing. The formation of a stoichiometric AlN layer with high resistance was evident from ASR, RBS analysis and FTIR measurements by the presence of the absorption band at 650 cm -1 . When the implanted nitrogen is near the interface between the aluminium and silicon, a multilayer structure can be obtained, which consists of aluminium, aluminium nitride and the silicon substrate. Cu, which is a background impurity in the deposited aluminium films, segregated into the synthesised aluminium nitride during high dose nitrogen ion implantation. This is due to irradiation-induced segregation during ion implantation. (orig.)

  1. Application of ion implantation in stevia breeding

    International Nuclear Information System (INIS)

    Wang Cailian; Chen Qiufang; Jin Wei; Lu Ting; Shu Shizhen

    1999-08-01

    Dry seed of stevia were implanted with 60-100 keV nitrogen ion and 75 keV carbon ion of various doses, and the effects of the composition and yield of stevioside were studied. The results showed that ion beam could induce variation in total stevioside yield and the composition of the plant. The best treatment was 75 keV nitrogen ion with 5 x 10 14 N + /cm 2 , the stevioside yield and Rebaudioside A (R-A) content were increased by 4.74% and 14.08% respectively. The effects induced by implantation of carbon ion were higher than those induced by implantation of nitrogen ion. Effects of Feng 1 x Ri Yuan and Ri Yuan x Feng 2 are higher than those of Ji Ning and Feng 2 . Seven mutation lines were selected from the mutation progenies. The stevioside composition of these lines were previously improved. The results suggest a potential application of ion implantation in stevia breeding

  2. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation.

    Science.gov (United States)

    Kondyurin, Alexey

    2018-04-24

    Ethylene-propylene diene monomer rubber (EPDM) was treated by plasma immersion ion implantation (PIII) with nitrogen ions of 20 keV energy and fluence from 10 13 to 10 16 ions/cm². The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive.

  3. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Alexey Kondyurin

    2018-04-01

    Full Text Available Ethylene-propylene diene monomer rubber (EPDM was treated by plasma immersion ion implantation (PIII with nitrogen ions of 20 keV energy and fluence from 1013 to 1016 ions/cm2. The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive.

  4. Improvements of anti-corrosion and mechanical properties of NiTi orthopedic materials by acetylene, nitrogen and oxygen plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Poon, Ray W.Y.; Ho, Joan P.Y.; Liu Xuanyong; Chung, C.Y.; Chu, Paul K.; Yeung, Kelvin W.K.; Lu, William W.; Cheung, Kenneth M.C.

    2005-01-01

    Nickel-titanium shape memory alloys (NiTi) are useful materials in orthopedics and orthodontics due to their unique super-elasticity and shape memory effects. However, the problem associated with the release of harmful Ni ions to human tissues and fluids has been raising safety concern. Hence, it is necessary to produce a surface barrier to impede the out-diffusion of Ni ions from the materials. We have conducted acetylene, nitrogen and oxygen plasma immersion ion implantation (PIII) into NiTi alloys in an attempt to improve the surface properties. All the implanted and annealed samples surfaces exhibit outstanding corrosion and Ni out-diffusion resistance. Besides, the implanted layers are mechanically stronger than the substrate underneath. XPS analyses disclose that the layer formed by C 2 H 2 PIII is composed of mainly TiC x with increasing Ti to C concentration ratios towards the bulk. The nitrogen PIII layer is observed to be TiN, whereas the oxygen PIII layer is composed of oxides of Ti 4+ , Ti 3+ and Ti 2+

  5. Method for Providing Semiconductors Having Self-Aligned Ion Implant

    Science.gov (United States)

    Neudeck, Philip G. (Inventor)

    2014-01-01

    A method is disclosed that provides a self-aligned nitrogen-implant particularly suited for a Junction Field Effect Transistor (JFET) semiconductor device preferably comprised of a silicon carbide (SiC). This self-aligned nitrogen-implant allows for the realization of durable and stable electrical functionality of high temperature transistors such as JFETs. The method implements the self-aligned nitrogen-implant having predetermined dimensions, at a particular step in the fabrication process, so that the SiC junction field effect transistors are capable of being electrically operating continuously at 500.degree. C. for over 10,000 hours in an air ambient with less than a 10% change in operational transistor parameters.

  6. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  7. Damaging Effect of Low Energy N{sup +} Implantation on Aspergillus niger Spores

    Energy Technology Data Exchange (ETDEWEB)

    Lisheng, Wang [Department of Animal Science and Technology, Anhui Agricultural University, Hefei 230036 (China); Kezhou, Cai [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China); Maoji, Cheng [Department of Animal Science and Technology, Anhui Agricultural University, Hefei 230036 (China); Lijuan, Chen [Department of Animal Science and Technology, Anhui Agricultural University, Hefei 230036 (China); Xuelan, Liu [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China); Shuqing, Zhang [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China); Zengliang, Yu [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China)

    2007-06-15

    The mutant effects of a keV range nitrogen ion (N{sup +}) beam on enzyme-producing probiotics were studied, particularly with regard to the induction in the genome. The electron spin resonance (ESR) results showed that the signal of ESR spectrum existed in both implanted and non-implanted spores, and the yields of free radicals increased in a dose-dependent manner. The ionic etching and dilapidation of cell wall could be observed distinctly through the scanning electron microscope (SEM). The mutagenic effect on genome indicated that N{sup +} implantation could make base mutation. This study provided an insight into the roles low-energy ions might play in inducing mutagenesis of micro-organisms.

  8. Influence of temperature on properties of nitrogen plasma source ion implantation (N-PSII) of Ti6A14V alloy

    CERN Document Server

    Geng Man; Zhao Qing

    2001-01-01

    Specimens of Ti6Al4V alloy were implanted with nitrogen plasma source ion implantation (N-PSII) at temperatures between 100 degree C and 600 degree C to a ion dose of 4 x 10 sup 1 sup 7 cm sup - sup 2. Auger Electron Spectroscopy (AES) was used to determine the nitrogen concentration depth profiles. Microhardness measurements and pin-on-disk wear test were performed to evaluate the improvements of the surface modification. Glancing angle X-ray diffraction (XRD) was employed to determine the phases presented in the surface modified layer. The thickness of implanted layer increased by about an order of magnitude when the temperature was elevated from 100 degree C to 600 degree C. Higher surface hardness and wear resistance was also obtained at higher temperature. Scanning electron microscopy (SEM) showed distinct microstructural changes and the presence of titanium nitrides in the implanted surface

  9. The role of nitrogen in luminescent Si nanoprecipitate formation during annealing of Si ion-implanted SiO sub 2 layers

    CERN Document Server

    Kachurin, G A; Zhuravlev, K S; Ruault, M O

    2001-01-01

    SiO sub 2 layers were implanted with 25 keV Si sup + and 13 keV N sup + ions with the doses of (1-4) x 10 sup 1 sup 6 cm sup - sup 2 and (0.2-2) x 10 sup 1 sup 6 cm sup - sup 2 , respectively. Then the samples were annealed at 900-1100 deg C to form luminescent silicon nanoprecipitates. The nitrogen effect on the process is controlled by photoluminescence spectra. It is found out that the photoluminescence intensity increases considerably at the appropriate ratio between silicon and nitrogen. It has been concluded that the interaction of nitrogen with excessive silicon results in increasing the number of precipitation centers. This raises the nanocrystals number and reduces their mean size

  10. Amorphous carbon nitrogenated films prepared by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Durrant, Steven F.; Rangel, Rita C.C.; Kayama, Milton E.; Landers, Richard; Cruz, Nilson C. da

    2006-01-01

    In this work, an investigation was conducted on amorphous hydrogenated-nitrogenated carbon films prepared by plasma immersion ion implantation and deposition. Glow discharge was excited by radiofrequency power (13.56 MHz, 40 W) whereas the substrate-holder was biased with 25 kV negative pulses. The films were deposited from benzene, nitrogen and argon mixtures. The proportion of nitrogen in the chamber feed (R N ) was varied against that of argon, while keeping the total pressure constant (1.3 Pa). From infrared reflectance-absorbance spectroscopy it was observed that the molecular structure of the benzene is not preserved in the film. Nitrogen was incorporated from the plasma while oxygen arose as a contaminant. X-ray photoelectron spectroscopy revealed that N/C and O/C atomic ratios change slightly with R N . Water wettability decreased as the proportion of N in the gas phase increased while surface roughness underwent just small changes. Nanoindentation measurements showed that film deposition by means of ion bombardment was beneficial to the mechanical properties of the film-substrate interface. The intensity of the modifications correlates well with the degree of ion bombardment

  11. Influence of cold work on the diffusion of ion-implanted nitrogen in D9 steel using nuclear reaction analysis

    International Nuclear Information System (INIS)

    Arunkumar, J.; David, C.; Panigrahi, B.K.; Nair, K.G.M.

    2014-01-01

    D9 steels and their modified versions are envisaged for use as fuel cladding and wrapper materials in the Indian fast breeder reactor (FBR) programme. The role played by interstitially dissolved nitrogen in steel matrices for the stabilization of austenitic phase, increase of strength and corrosion resistance is well known. Various factors: the role of grain boundaries and the their orientation, stress mediation, grain fragmentation and production of short circuit paths as a result of compressive stress are known to affect the diffusion of nitrogen. Basically, cold working produces plethora of defects throughout the sample as compared to its solution annealed state. Our earlier studies on 1 x10"1"5 and 5x10"1"5 N"1"5 implanted Solution Annealed D9 (SAD9) showed significant vacancy-nitrogen complexes for higher fluence. Hence, thermal diffusion behaviour of nitrogen was studied in 5 x10"1"5 -N"1"5 implanted samples in solution annealed state. In the present study, to understand the influence of cold work, similar thermal diffusion behaviour of nitrogen has been studied in Cold Worked D9 steel (CWD9) using nuclear reaction analysis

  12. Layer-by-layer composition and structure of silicon subjected to combined gallium and nitrogen ion implantation for the ion synthesis of gallium nitride

    Energy Technology Data Exchange (ETDEWEB)

    Korolev, D. S.; Mikhaylov, A. N.; Belov, A. I.; Vasiliev, V. K.; Guseinov, D. V.; Okulich, E. V. [Nizhny Novgorod State University (Russian Federation); Shemukhin, A. A. [Moscow State University, Skobeltsyn Institute of Nuclear Physics (Russian Federation); Surodin, S. I.; Nikolitchev, D. E.; Nezhdanov, A. V.; Pirogov, A. V.; Pavlov, D. A.; Tetelbaum, D. I., E-mail: tetelbaum@phys.unn.ru [Nizhny Novgorod State University (Russian Federation)

    2016-02-15

    The composition and structure of silicon surface layers subjected to combined gallium and nitrogen ion implantation with subsequent annealing have been studied by the X-ray photoelectron spectroscopy, Rutherford backscattering, electron spin resonance, Raman spectroscopy, and transmission electron microscopy techniques. A slight redistribution of the implanted atoms before annealing and their substantial migration towards the surface during annealing depending on the sequence of implantations are observed. It is found that about 2% of atoms of the implanted layer are replaced with gallium bonded to nitrogen; however, it is impossible to detect the gallium-nitride phase. At the same time, gallium-enriched inclusions containing ∼25 at % of gallium are detected as candidates for the further synthesis of gallium-nitride inclusions.

  13. The Effect of Nitrogen Ion Implantation on the Surface Properties of Ti6Al4V Alloy Coated by a Carbon Nanolayer

    Directory of Open Access Journals (Sweden)

    Petr Vlcak

    2013-01-01

    Full Text Available The ion beam assisted deposition (IBAD method was chosen for preparing a carbon thin film with a mixing area on a substrate of Ti6Al4V titanium alloy. Nitrogen ions with energy 90 keV were used. These form a broad ion beam mixing area at the interface between the carbon film and the substrate. We investigated the chemical composition by the glow discharge optical emission spectroscopy (GD-OES method and the phases by the X-ray diffraction (XRD method. The measured concentration profiles indicate the mixing of the carbon film into the substrate, which may have an effect on increasing the adhesion of the deposited film. The nanohardness and the coefficient of friction were measured. We found that the modified samples had a markedly lower coefficient of friction even after damage to the carbon film, and they also had higher nanohardness than the unmodified samples. The increased nanohardness is attributed to the newly created phases that arose with ion implantation of nitrogen ions.

  14. Adhesive, abrasive and oxidative wear in ion-implanted metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1985-01-01

    Ion implantation is increasingly being used to provide wear resistance in metals and cemented tungsten carbides. Field trials and laboratory tests indicate that the best performance is achieved in mild abrasive wear. This can be understood in terms of the classification of wear modes (adhesive, abrasive, oxidative etc.) introduced by Burwell. Surface hardening and work hardenability are the major properties to be enhanced by ion implantation. The implantation of nitrogen or dual implants of metallic and interstitial species are effective. Recently developed techniques of ion-beam-enhanced deposition of coatings can further improve wear resistance by lessening adhesion and oxidation. In order to support such hard coatings, ion implantation of nitrogen can be used as a preliminary treatment. There is thus emerging a versatile group of related hard vacuum treatments involving intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (Auth.)

  15. Effect of low-energy hydrogen ion implantation on dendritic web silicon solar cells

    Science.gov (United States)

    Rohatgi, A.; Meier, D. L.; Rai-Choudhury, P.; Fonash, S. J.; Singh, R.

    1986-01-01

    The effect of a low-energy (0.4 keV), short-time (2-min), heavy-dose (10 to the 18th/sq cm) hydrogen ion implant on dendritic web silicon solar cells and material was investigated. Such an implant was observed to improve the cell open-circuit voltage and short-circuit current appreciably for a number of cells. In spite of the low implant energy, measurements of internal quantum efficiency indicate that it is the base of the cell, rather than the emitter, which benefits from the hydrogen implant. This is supported by the observation that the measured minority-carrier diffusion length in the base did not change when the emitter was removed. In some cases, a threefold increase of the base diffusion length was observed after implantation. The effects of the hydrogen implantation were not changed by a thermal stress test at 250 C for 111 h in nitrogen. It is speculated that hydrogen enters the bulk by traveling along dislocations, as proposed recently for edge-defined film-fed growth silicon ribbon.

  16. Wear behaviour of the couple polyethylene Ti6Al4V: Effects of the metallic surface preparation and nitrogen implantation

    Science.gov (United States)

    Martinella, R.; Giovanardi, S.; Palombarini, G.; Corchia, M.; Delogu, P.; Giorgi, R.; Tosello, C.

    The wear behaviour improvement of the tribological couple Ti6Al4V-UHMWPE is of great interest to the medical field. Wear tests were carried out in water on a reciprocating UHMWPE annulus on implanted Ti6Al4V disc tribotestcr, with loads and velocities simulating those of hip joints. A comparison of wear behaviours was also carried out between untreated Ti6Al4V samples and Ti6Al4V subjected to a special lapping procedure. UHMWPE worn against ion-implanted and especially lapped Ti alloy showed the lowest wear rate, while, the highest (about one order of magnitude) was shown by the UHMWPE against untreated Ti6Al4V samples. XPS and AES surface analyses were carried out on metallic discs to examine the chemical composition of the surface before wear tests. Moreover depth distribution of nitrogen in implanted samples was determined using the same techniques. SEM observations displayed a polyethylene transfer film on all metallic surfaces, particularly on untreated Ti6Al4V samples. A discussion about uselessness of more conventional surface treatments for the Ti alloy is also reported.

  17. High density nitrogen-vacancy sensing surface created via He{sup +} ion implantation of {sup 12}C diamond

    Energy Technology Data Exchange (ETDEWEB)

    Kleinsasser, Ed E., E-mail: edklein@uw.edu [Department of Electrical Engineering, University of Washington, Seattle, Washington 98195-2500 (United States); Stanfield, Matthew M.; Banks, Jannel K. Q. [Department of Physics, University of Washington, Seattle, Washington 98195-1560 (United States); Zhu, Zhouyang; Li, Wen-Di [HKU-Shenzhen Institute of Research and Innovation (HKU-SIRI), Shenzhen 518000 (China); Department of Mechanical Engineering, The University of Hong Kong, Pokfulam, Hong Kong (China); Acosta, Victor M. [Department of Physics and Astronomy, Center for High Technology Materials, University of New Mexico, Albuquerque, New Mexico 87106 (United States); Watanabe, Hideyuki [Correlated Electronics Group, Electronics and Photonics Research Institute, National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba Central 5, 1-1-1, Higashi, Tsukuba, Ibaraki 305-8565 (Japan); Itoh, Kohei M. [School of Fundamental Science and Technology, Keio University, 3-14-1 Hiyoshi, Kohoku-ku, Yokohama 223-8522 (Japan); Fu, Kai-Mei C., E-mail: kaimeifu@uw.edu [Department of Electrical Engineering, University of Washington, Seattle, Washington 98195-2500 (United States); Department of Physics, University of Washington, Seattle, Washington 98195-1560 (United States)

    2016-05-16

    We present a promising method for creating high-density ensembles of nitrogen-vacancy centers with narrow spin-resonances for high-sensitivity magnetic imaging. Practically, narrow spin-resonance linewidths substantially reduce the optical and RF power requirements for ensemble-based sensing. The method combines isotope purified diamond growth, in situ nitrogen doping, and helium ion implantation to realize a 100 nm-thick sensing surface. The obtained 10{sup 17 }cm{sup −3} nitrogen-vacancy density is only a factor of 10 less than the highest densities reported to date, with an observed 200 kHz spin resonance linewidth over 10 times narrower.

  18. Tribological studies of ion-implanted steel constituents

    International Nuclear Information System (INIS)

    Wei, Ronghau.

    1990-01-01

    Tribological properties of ion-implanted ferrite and austenite were studied systematically using a unique oscillating pin-on-disc wear tester. Results show that nitrogen implantation at elevated temperatures to high doses dramatically improves the adhesive wear resistance of ferrite and the critical load at which the adhesive wear mechanism changes from mild to severe for austenite. The wear resistance of nitrogen-implanted ferrite is determined by the nitride formed. Extremely hard solid solutions of nitrogen develop on the implanted austenite surfaces and induce three orders of magnitude reductions in wear rates. The implantation conditions that should be used to produce deep, wear-resistant layers for both steels are discussed in detail. Oscillating pin-on-disc wear tests demonstrate that nitrogen does not diffuse during the wearing process although tests conducted using conventional fixed pin-on-disc test equipment could erroneously suggest this occurs. Taken together, the results show that high-dose-rate implantation at low energies yields very-high-quality implanted surfaces at low cost

  19. Sliding properties of coevaporated and nitrogen-implanted Pt50Ti50 films on AISI 304 stainless steel

    International Nuclear Information System (INIS)

    Zheng, L.R.; Hung, L.S.; Mayer, J.W.

    1988-01-01

    Thin Pt 50 Ti 50 films were deposited on a AISI 304 stainless steel substrate by co-evaporation. Dry sliding tests and wear track measurements revealed some improvement in sliding properties compared with the bare substrate. Implantation of the coated substrate with xenon ions did not produce any further improvement in friction and wear but a dramatic improvement resulted from nitrogen ion implantation. This was accompanied by a change in microstructure arising from an amorphous to crystalline phase transformation in the alloy film. (U.K.)

  20. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  1. Pitting corrosion studies on nitrogen implanted 316L SS for biomedical applications

    International Nuclear Information System (INIS)

    Subbaiyan, M.; Veerabadran, K.M.; Thampi, N.S.; Kanwar Krishnan; Kamachi Mudali, U.; Dayal, R.K.

    1997-01-01

    Traditionally, human bone fracture and defects have been corrected using metal and alloy fixing devices. Austenitic stainless steels (such as 316L alloy studied here) are favoured because of low cost, compared to titanium alloys, ease of fabrication and fair corrosion resistance. Localized attack on 316l stainless steel, however, results in iron, chromium and nickel ions leaching into surrounding body fluids. This study reports on the successful use of nitrogen ion implantation into 316lSS to evaluate the optimum dose needed to minimise this localised attack, in a physiological saline solution. (UK)

  2. Surface modification by nitrogen plasma immersion ion implantation into new steel 460Li–21Cr in a capacitively coupled radio frequency discharge

    International Nuclear Information System (INIS)

    Bhuyan, H.; Mändl, S.; Bora, B.; Favre, M.; Wyndham, E.; Maze, J.R.; Walczak, M.; Manova, D.

    2014-01-01

    Highlights: • Nitriding of a novel steel has been done in a RF plasma by PIII technique. • Improved hardness and wear behavior have been observed. • Hardness was improved by a factor 4 and the wear by 2 orders of magnitude. • Fast, anomalous diffusion, similar to nitrogen in expanded austenite is observed. - Abstract: A novel steel 460Li–21Cr belonging to a new generation of superferritic grade steel has been implanted with nitrogen in a low power 13.56 MHz radio frequency plasma by the plasma immersion ion implantation (PIII) technique in order to study its physical and chemical properties under different experimental conditions. We observed improved hardness and wear behavior of 460Li–21Cr steel with a layer thickness between 1.5 and 4.0 μm after 60 min implantation in the temperature range from 350 to 550 °C. The modified surface layer containing nitrogen does not show CrN in X-ray diffraction (XRD). Compared to untreated substrates, the hardness can be increased by a factor of 4, depending on the experimental conditions, and the wear behavior was also improved by two orders of magnitude. The results are very similar to those for austenitic stainless steel with a similar pronounced increase in wear resistance and plateau-like nitrogen depth profiles

  3. Nitrogen plasma immersion ion implantation for surface treatment and wear protection of austenitic stainless steel X6CrNiTi1810

    International Nuclear Information System (INIS)

    Blawert, C.; Mordike, B.L.

    1999-01-01

    Plasma immersion ion implantation is an effective surface treatment for stainless steels. The influence of treatment parameters (temperature, plasma density and pressure) on the sliding wear resistance are studied here. At moderate temperatures, nitrogen remains in solid solution without forming nitrides. This increases the surface hardness and the wear resistance without affecting the passivation of the steel. This may allow the use of such steels in applications where their poor wear resistance would normally prohibit their use. (orig.)

  4. Tribological changes on SS304 stainless steel induced by nitrogen plasma immersion ion implantation with and without auxiliary heating

    International Nuclear Information System (INIS)

    Mello, C.B.; Ueda, M.; Lepienski, C.M.; Reuther, H.

    2009-01-01

    In order to achieve quite thick treated layers with reasonable thickness uniformity in SS304 steel, the plasma immersion ion implantation (PIII) process was run in high-temperature, up to 350 deg. C, to induce high thermal diffusion but avoid the white layer formation. In these experiments, we heated the sample-holder with a shielded resistive wire properly wound around it and subjected the SS samples to nitrogen glow discharge PIII with relatively low voltages (10 kV) in different temperatures. We also treated the SS samples by the traditional PIII method, slowly increasing the high voltage pulse intensities, until 14 kV at the end of processing, reaching temperatures of up to 350 deg. C. These modes of treatments were compared with respect to nitrogen implantation profiles, X-ray diffraction, tribology and mechanical properties. X-ray diffraction results indicated a much higher efficiency of auxiliary heated PIII mode compared to the ordinary PIII. Very prominent γ N peaks were observed for the first mode, indicating large concentration of nitrogen in thick layers, confirmed by the nitrogen profiles measured by GDOS and AES. Improved mechanical and tribological properties were obtained for SS304 samples treated by the PIII with auxiliary heating, more than for ordinary PIII. Hardness was enhanced by up to 2.77 times, as seen by nanoindentation tests.

  5. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  6. Surface modification of multi-point cutting tools using ion implantation

    International Nuclear Information System (INIS)

    Sarwar, M.; Ahmed, W.; Ahmed, M.

    1995-01-01

    Ion-implantation has been used to treat multi-point cutting tools using a 'systems approach' in order to improve the performance of these tools in dynamic cutting conditions. The effects of energy, species and system pressure on life and performance of circular saws have been investigated. For both nitrogen and argon ion-implantation an improvement in cutting performance has been observed as compared to untreated edges. As the energy of the nitrogen ions is increased there is a gradual improvement in the performance of the cutting edge. Ion-implanted tools were compared to those coated with TiN and these results are also presented. (author) 5 figs

  7. Hydrogen depth distribution and fatigue properties of TiAl6V4 with implanted nitrogen; Wasserstoff-Tiefenverteilung und Ermuedungseigenschaften von Stickstoff-implantiertem TiAl6V4

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, H. [Technische Univ. Darmstadt (Germany). Fachbereich Materialwissenschaft; Rueck, D.M. [Gesellschaft fuer Schwerionenforschung mbH (GSI), Darmstadt (Germany). Abt. fuer Materialforschung; Soltani-Farshi, M.; Baumann, H. [Frankfurt Univ. (Germany). Inst. fuer Kernphysik

    1998-12-31

    Depth distributions and cracking initiation were analysed in TiAl6V4 with implanted nitrogen by means of high-energy backscattering spectroscopy, nuclear reaction analysis and fatigue tests under rotary bending loads. The hydrogen content in the substrate is approx. 0.4 at.%. The hydrogen content in the materials layer with implanted nitrogen is up to 2.6 at%. The highest hydrogen concentration was found in material consisting of titanium nitride (TiN) and {alpha}-titanium. In areas with high nitrogen accumulation, where a consistent TiN layer is formed, the hydrogen content is lower than 1.5 at.%. Nitrogen ion implantation was not found to significantly reduce the fatigue strength of the specimens tested under rotary bending loads, although fatigue-induced cracking primarily occurs in specimen zones where the maximum concentrations of hydrogen and nitrogen are close to the surface. (orig./CB) [Deutsch] Tiefenverteilungen und die Rissbildung von Stickstoff-implantiertem TiAl6V4 wurden mittels Hochenergie-Rueckstreu-Spektroskopie, Kernreaktionsanalyse und Umlaufbiegetests untersucht. Der Wasserstoffgehalt im Substrat betraegt etwa 0,4 at.%. In der Stickstoff-implantierten Schicht wird eine Wasserstoffakkumulation bis zu 2,6 at% beobachtet. Die hoechste Wasserstoffkonzentration tritt auf, wenn eine Mischung aus Titannitrid (TiN) und mit Stickstoff angereichertem {alpha}-Titan vorliegt. In Bereichen hoher Stickstoffanreicherung, in denen eine zusammenhaengende TiN-Schicht gebildet wird, sinkt der Wasserstoffanteil unter 1,5 at.% ab. Die Stickstoff-Implantation senkt die Lebensdauern im Umlaufbiegeversuch nicht signifikant ab, obwohl die Ermuedungsrisse bevorzugt von Probenbereichen ausgehen, in denen die Maximalgehalte von Stickstoff und Wasserstoff nahe an der Oberflaeche liegen. (orig.)

  8. Co-implantation of carbon and nitrogen into silicon dioxide for synthesis of carbon nitride materials

    CERN Document Server

    Huang, M B; Nuesca, G; Moore, R

    2002-01-01

    Materials synthesis of carbon nitride has been attempted with co-implantation of carbon and nitrogen into thermally grown SiO sub 2. Following implantation of C and N ions to doses of 10 sup 1 sup 7 cm sup - sup 2 , thermal annealing of the implanted SiO sub 2 sample was conducted at 1000 degree sign C in an N sub 2 ambient. As evidenced in Fourier transform infrared measurements and X-ray photoelectron spectroscopy, different bonding configurations between C and N, including C-N single bonds, C=N double bonds and C=N triple bonds, were found to develop in the SiO sub 2 film after annealing. Chemical composition profiles obtained with secondary ion mass spectroscopy were correlated with the depth information of the chemical shifts of N 1s core-level electrons, allowing us to examine the formation of C-N bonding for different atomic concentration ratios between N and C. X-ray diffraction and transmission electron microscopy showed no sign of the formation of crystalline C sub 3 N sub 4 precipitates in the SiO ...

  9. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  10. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  11. Effect of ion indium implantation on InP photoluminescence spectra

    International Nuclear Information System (INIS)

    Pyshnaya, N.B.; Radautsan, S.I.; Tiginyanu, I.M.; Ursaki, V.V.

    1988-01-01

    Photoluminescence spectra of indium phosphide single crystals implanted by indium after annealing under the protective Al 2 O 3 film in a nitrogen flow are investigated. As a result of implantation and annealing in photoluminescence spectra of crystals there appeared a new band with the maximum at 1.305 eV (T=6 K) which is connected with the free electron transition at the level of the antistructure defect of In p - lying by 0.115 eV above the valent zone ceiling. With large doses of the implanted indium in the photoluminescence spectrum a long-wave band with the maximum at 0.98-0.99 eV is also observed caused, apparently, by the strong lattice disorder

  12. Biological effects of ion implantation on processing tomato and eggplant seed

    International Nuclear Information System (INIS)

    Mao Peihong; Zeng Xianxian; Jin Xiang

    2004-01-01

    The seed of processing tomato '87-5' (Lycopersicon esculentum Mill) were implanted by the low energy nitrogen ion (N + ) with 6 different doses. The rate of emergence was little reduced in M1 generation, but the fruiting number per plant was increased and it's maturing earlier 20 days than the control. The precocity, disease resistance and stronger growth vigor were shown in M2 generation. Experimental results of two years showed that, according to synthetic analysis in factors such as precocity, disease resistance, high yield and quality, the N + dose of 6 x 10 16 cm -2 (60 times of pulse) for tomato seed '87-5' had been proved to have notable biological effects on M1 and M2 generation. The seed of eggplant 'Wuyeqie' (Solanum melongena L.) was also implanted by the low energy nitrogen ion (N + ) with 2 different doses. Multi-vertical channel fruits were obtained in variable M1 generation, which liked the pomelo without peel. The seed of these variable eggplants was taken and planted in the next year. The meaningful variable fruits, the characters of disease-resistance, purple-peel, small-navel, lantern-form, large-scale, etc. were obtained in beneficial M2 generation. The biggest single-fruit weight reached 1.53 kg, providing valuable germplasm resource for breeding. (authors)

  13. Ab-initio calculation and experimental observation of room temperature ferromagnetism in 50 keV nitrogen implanted rutile TiO2

    Science.gov (United States)

    Luitel, Homnath; Chakrabarti, Mahuya; Sarkar, A.; Dechoudhury, S.; Bhowmick, D.; Naik, V.; Sanyal, D.

    2018-02-01

    Room temperature magnetic properties of 50 keV N4+ ion beam implanted rutile TiO2 have been theoretically and experimentally studied. Ab-initio calculation under the frame work of density functional theory has been carried out to study the magnetic properties of the different possible nitrogen related defects in TiO2. Spin polarized density of states calculation suggests that both Ninst and NO can induce ferromagnetic ordering in rutile TiO2. In both cases the 2p orbital electrons of nitrogen atom give rise to the magnetic moment in TiO2. The possibility of the formation of N2 molecule in TiO2 system is also studied but in this case no significant magnetic moment has been observed. The magnetic measurements, using SQUID magnetometer, results a ferromagnetic ordering even at room temperature for the 50 keV N4+ ion beam implanted rutile TiO2.

  14. Study of the temperature dependent nitrogen retention in tungsten surfaces by XPS-analysis

    Energy Technology Data Exchange (ETDEWEB)

    Plank, Ulrike [Max-Planck-Institut fuer Plasmaphysik, Boltzmannstr. 2, D-85748 Garching (Germany); Fakultaet fuer Physik der Ludwig-Maximilians-Universitaet Muenchen, Schellingstrasse 4, D-80799 Muenchen (Germany); Meisl, Gerd; Hoeschen, Till [Max-Planck-Institut fuer Plasmaphysik, Boltzmannstr. 2, D-85748 Garching (Germany)

    2016-07-01

    To reduce the power load on the divertor of fusion experiments, nitrogen (N) is puffed into the plasma. As a side effect, nitrogen gets implanted into the tungsten (W) walls of the reactor and forms nitride layers. Their formation and, therefore, the N accumulation in W showed an unexpected temperature dependence in previous experiments. To study the nitrogen retention, we implanted N ions with an energy of 300 eV into W and observed the evolution of the surface composition by X-ray photoelectron spectroscopy (XPS). We find that the N content does not change when the sample is annealed up to 800 K after implantation at lower temperatures. In contrast, the N concentration decreases with increasing implantation temperature. At 800 K implantation temperature, the N saturation level is about 5 times lower compared to 300 K implantation. A possible explanation for this difference is an enhanced diffusion during ion bombardment due to changes in the structure or in the chemical state of the tungsten nitride system. Ongoing tungsten nitride erosion experiments shall help to clarify whether the strong temperature dependence is the result of enhanced diffusion or of phase changes.

  15. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    Science.gov (United States)

    Mändl, S.; Rauschenbach, B.

    2003-08-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 °C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry).

  16. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Maendl, S.; Rauschenbach, B.

    2003-01-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 deg. C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry)

  17. Implant isolation of plasma-assisted MBE grown GaInAsN for opto-telecommunication applications

    International Nuclear Information System (INIS)

    Ahmed, S.; Lin, J.; Haq, A.; Sealy, B.

    2005-01-01

    The material system GaInAsN is considered to be one of the key materials for next generation telecommunication applications providing high data transmission and lower power consumption. The strong dependence of the band gap on the nitrogen content has made this material important for a variety of applications. We report on the effects of ion implantation on the achieved electrical isolation in GaInAsN layers. GaInAsN was grown using either a direct nitrogen beam or dispersive nitrogen radicals by a RF activated nitrogen source. Proton and iron implants were performed at RT and 77 K, respectively in order to effectively isolate the as-grown silicon (n-type) GaInAsN layers. Results show that the sheet resistance of n-type layers can be increased by about four and five orders of magnitude by proton and iron implantation, respectively. The study of annealing temperature dependence of sheet resistivity in proton-isolated samples shows that the electrical isolation can be preserved up to 450 deg. C. The thermally stable high resistivity region persists up to 600 deg. C when the implantation is performed with iron at 77 K. These results are novel and have ramifications for device engineers

  18. The effects of N+ implantation on the wear and friction of type 304 and 15-5 PH stainless steels

    International Nuclear Information System (INIS)

    Yost, F.G.; Picraux, S.T.; Follstaedt, D.M.; Pope, L.E.; Knapp, J.A.

    1983-01-01

    Ion implantation of N + into mechanically polished type 304 and 15-5 PH stainless steels was studied to determine its effect on dry wear and friction behavior. Implantation of 4.0 X 10 17 N + cm -2 at 50 keV yielded a depth profile with a peak concentration of about 45 at.% at a depth of 70 nm which dropped to about 10 at.% at 120 nm. Wear and friction were studied in an unlubricated pin-on-disc configuration using type 304 and 440C stainless steel pins. Both N + -implanted steels exhibited reduced wear at low loads but no significant reduction in the coefficient of friction was found. At the lowest normal load studied (12.3 gf), the average maximum wear depth of the implanted 15-5 PH stainless steel disc (about 0.1 μm) was reduced to approximately 10% of that for the corresponding unimplanted pin-on-disc pair after 1000 cycles. At normal loads of 50 gf or above (corresponding to hertzian stresses of 1160 MPa or higher) all beneficial effects were gone. Vacuum heat treatment at 923 K for 1.8 ks of an identically implanted type 304 stainless steel specimen eradicated the beneficial effects of the nitrogen implantation. The N + -implanted discs show similar reductions in wear to discs implanted with titanium and carbon, but the N + -implanted discs do not exhibit the reductions in the coefficient of friction seen with the discs implanted with titanium and carbon. (Auth.)

  19. Influence of ionizing irradiation in air and nitrogen for sterilization of surgical grade polyethylene for implants

    International Nuclear Information System (INIS)

    Streicher, R.M.

    1988-01-01

    The influence of the atmosphere and the applied dose during ionizing radiation treatment on selected properties of ultra high molecular weight polyethylene (UHMWPE) have been investigated. A linear correlation between extinction coefficient and applied doses in air from 6 to 125 kGy was found, while oxidation was not linear with irradiation in nitrogen. Bacteria survival rate shows a necessary minimum dose of 15 kGy for assured sterility of the product. Post reaction of latent free radicals in UHMWPE created during irradiation, which react or recombine time- and environment dependent, has also been investigated after storage of UHMWPE-films in air and nitrogen at 21 0 C and in water at body temperature 37 0 C for up to nine months. Results show that the properties of UHMWPE after radiation-sterilization change depending on time, the absorbed dose, the atmosphere where irradiation took place and the environment of storage. UHMWPE, which mainly crosslinks during irradiation degrades by an oxidation process after sterilizing when stored in air and even more in water at body temperature. So irradiation and storage in nitrogen before implantation in the human body is beneficial. (author)

  20. Nitrogen nutrition effects on development, growth and nitrogen accumulation of vegetables

    NARCIS (Netherlands)

    Biemond, H.

    1995-01-01

    In order to be able to match nitrogen supply and nitrogen requirement of vegetable crops, insight is necessary in the responses to nitrogen of important processes of growth and development. This study focused on effects of amount of nitrogen applied and fractionation of nitrogen supply on

  1. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  2. Aluminium Morphological Modification by Nitrogen-Argon Mixture PIII

    International Nuclear Information System (INIS)

    Munnoz-Castro, A.E.; Valencia Alvarado, R.; Penna-Eguiluz, R.; Mercado-Cabrera, A.; Barocio, S.R.; Rodriguez-Mendez, B.G.; Lopez-Callejas, R.; Piedad-Beneitez, A. de la

    2011-01-01

    With incident fluences of ∼ 10 12 atoms/cm 2 aluminium samples have been plasma immersion ion implanted with either pure nitrogen or argon/nitrogen mixtures at temperatures around 450 o C. X-ray diffraction studies have validated the formation of the cubic phase of AlN, in samples treated with both the gas mixtures and pure nitrogen. Likewise, the presence of the hexagonal phase of AlN has been detected when either pure nitrogen or a 70% N/30% Ar mixture have been used. The signature peak of AlN has also been confirmed by the Raman spectroscopy. The maximal microhardness values were found in samples treated with the mixture. The maximal roughness was achieved with the equal part mixture in all cases, although increasing with the implantation pulse width up to a 300 nm peak at 150 μs. The latter critical value remains invariant under the pure nitrogen plasma treatment, provided that implantation periods in the order of 4.5 h are carried out. (author)

  3. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  4. Development of Mechanical Improvement of the Cladding by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Han, J G; Lee, S B [Sungkyunkwan University, Seoul (Korea, Republic of); Kim, S H [Kangwon University, Chunchon (Korea, Republic of); Song, G [Suwon College, Suwon (Korea, Republic of)

    1997-07-01

    In this research we analyzed the state of art related to the surface treatment method of nuclear fuel cladding for the development of the surface treatment technique of nuclear fuel cladding by ion beam while investigating major causes of the leakage of fuel rods. Ion implantation simulation code called TRIM-95 was used to decide basic parameters ion beams and wetup an appropriate process for ion implantation. For the mechanical properties measurements, a high temperature wear resistance tester, a fretting wear tester, and a fretting fatigue resistance tester were constructed. Using these testers, some mechanical properties as micro hardness, wear resistance against AISI52100 and AI{sub 2}O{sub 3} balls, and fretting properties were measured and analyzed for the implanted materials as a function of ion dose and processing temperature. Effect of the oxygen atmosphere was measured in the nitrogen implantation. Auger electron spectroscopy(AES) was applied for the depth profile, and X-ray diffraction was used for the nitrogen and oxide measurements. 48 refs., 7 tabs., 46 figs. (author)

  5. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    Science.gov (United States)

    Rizwan, M.; Ahmad, A.; Deen, K. M.; Haider, W.

    2014-11-01

    Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN0.3 and Ti3N2-xnitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  6. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  7. The effects of ion implantation on the beaks of orthodontic pliers

    Energy Technology Data Exchange (ETDEWEB)

    Mizrahi, E.; Cleaton-Jones, P.E.; Luyckz, S.; Fatti, L.P. (University of the Witwatersrand (South Africa))

    1991-06-01

    The surface of stainless steel may be hardened by bombarding the material with a stream of nitrogen ions generated by a nuclear accelerator. In the present study this technique was used to determine the hardening effect of ion implantation on the beaks of stainless steel orthodontic pliers. Ten orthodontic pliers (Dentarum 003 094) were divided into two equal groups, designated control and experimental. The beaks of the experimental pliers were subjected to ion implantation, after which the tips of the beaks of all the pliers were stressed in an apparatus attached to an Instron testing machine. A cyclical load of 500 N was applied to the handles of the pliers, while a 0.9 mm (0.036 inch) round, stainless steel wire was held between the tips of the beaks. The effect of the stress was assessed by measurement with a traveling microscope of the gap produced between the tips of the beaks. Measurements were taken before loading and after 20, 40, 60, and 80 cycles. Statistical analysis of variance and the two-sample t tests indicated that there was a significant increase in the size of the gap as the pliers were stressed from 0 to 80 cycles (p less than 0.001). Furthermore, the mean gap was significantly greater in the control group than in the experimental group (p less than 0.001). This study suggests that ion implantation increases the hardness of the tips of the beaks of orthodontic pliers.

  8. The effects of ion implantation on the beaks of orthodontic pliers

    International Nuclear Information System (INIS)

    Mizrahi, E.; Cleaton-Jones, P.E.; Luyckz, S.; Fatti, L.P.

    1991-01-01

    The surface of stainless steel may be hardened by bombarding the material with a stream of nitrogen ions generated by a nuclear accelerator. In the present study this technique was used to determine the hardening effect of ion implantation on the beaks of stainless steel orthodontic pliers. Ten orthodontic pliers (Dentarum 003 094) were divided into two equal groups, designated control and experimental. The beaks of the experimental pliers were subjected to ion implantation, after which the tips of the beaks of all the pliers were stressed in an apparatus attached to an Instron testing machine. A cyclical load of 500 N was applied to the handles of the pliers, while a 0.9 mm (0.036 inch) round, stainless steel wire was held between the tips of the beaks. The effect of the stress was assessed by measurement with a traveling microscope of the gap produced between the tips of the beaks. Measurements were taken before loading and after 20, 40, 60, and 80 cycles. Statistical analysis of variance and the two-sample t tests indicated that there was a significant increase in the size of the gap as the pliers were stressed from 0 to 80 cycles (p less than 0.001). Furthermore, the mean gap was significantly greater in the control group than in the experimental group (p less than 0.001). This study suggests that ion implantation increases the hardness of the tips of the beaks of orthodontic pliers

  9. Characterization of silicon oxynitride films prepared by the simultaneous implantation of oxygen and nitrogen ions into silicon

    International Nuclear Information System (INIS)

    Hezel, R.; Streb, W.

    1985-01-01

    Silicon oxynitride films about 5 nm in thickness were prepared by simultaneously implanting 5 keV oxygen and nitrogen ions into silicon at room temperature up to saturation. These films with concentrations ranging from pure silicon oxide to silicon nitride were characterized using Auger electron spectroscopy, electron energy loss spectroscopy and depth-concentration profiling. The different behaviour of the silicon oxynitride films compared with those of silicon oxide and silicon nitride with regard to thermal stability and hardness against electron and argon ion irradiation is pointed out. (Auth.)

  10. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  11. The enhancement in wear resistance of W18Cr4V steel by ion implantation

    International Nuclear Information System (INIS)

    Zhou Ping; Xu Peiguang

    1987-01-01

    Two new methods of ion implantation were adopted in comparison with nitrogen implantation: carbon monoxide was implanted directly into W18Cr4V steel, and nitrogen was implanted into a deposited titanium film about 1000 A thick. It is shown that higher surface hardness and wear resistance have been achieved. The composition and phase structure of the implanted layer was determined in detail. The wear mechanisms were discussed

  12. Investigation of Steel Surfaces Treated by a Hybrid Ion Implantation Technique

    International Nuclear Information System (INIS)

    Reuther, H.; Richter, E.; Prokert, F.; Ueda, M.; Beloto, A. F.; Gomes, G. F.

    2004-01-01

    Implantation of nitrogen ions into stainless steel in combination with oxidation often results in a decrease or even complete removal of the chromium in the nitrogen containing outermost surface layer. While iron nitrides can be formed easily by this method, due to the absence of chromium, the formation of chromium nitrides is impossible and the beneficial influence of chromium in the steel for corrosion resistance cannot be used. To overcome this problem we use the following hybrid technique. A thin chromium layer is deposited on steel and subsequently implanted with nitrogen ions. Chromium can be implanted by recoil into the steel surface and thus the formation of iron/chromium nitrides should be possible. Both beam line ion implantation and plasma immersion ion implantation are used. Due to the variation of the process parameters, different implantation profiles and different compounds are produced. The produced layers are characterized by Auger electron spectroscopy, conversion electron Moessbauer spectroscopy and X-ray diffraction. The obtained results show that due to the variation of the implantation parameters, the formation of iron/chromium nitrides can be achieved and that plasma immersion ion implantation is the most suitable technique for the enrichment of chromium in the outermost surface layer of the steel when compared to the beam line implantation.

  13. Recent developments in the United Kingdom in ion implantation equipment for engineering components

    International Nuclear Information System (INIS)

    Gardner, P.R.

    1988-01-01

    Harwell has been particularly active in the development and commercial exploitation of low-cost, rugged, reliable and simple-to-operate equipment for implantation of engineering components with gaseous ions, especially nitrogen. Laboratory experiments show this to reduce mild abrasive wear in a wide range of materials by factors typically 2-10, provided operating temperatures remain below about 400 deg C. The latest nitrogen ion implantation machine (the Tecvac 221 model) embodies a substantial degree of flexibility, with cable-mounted ion sources and demountable target chambers. This enables wide ranges of workpiece size and shape to be accommodated. The latest development at Harwell is the large 'Blue Tank' ion implantation machine, currently the biggest in the world. This can treat workpieces up to 2 metres maximum dimension and 1 tonne weight using a bucket-type ion source capable of generating 35 mA of nitrogen ion beam current over an 800 mm diameter treatment area. This machine enables increased flexibility and reduced unit treatment costs for nitrogen ion implantation. Uptake of nitrogen ion implantation in British industry is increasing steadily. Key market sectors include the plastics processing industry (for extrusion screws, moulds and dies), as well as many other engineering sectors. A useful accessory to ion implantation developed at Harwell in conjunction with Millspin Limited, monitors nitrogen ion dose colour changes in anodised tantalum which can be compared against a calibrated standard. Accuracies of around 20 % at 2.5 x 10 17 nitrogen ions.cm -2 dose are achievable. (J.P.N.)

  14. Vacancy clustering and acceptor activation in nitrogen-implanted ZnO

    Science.gov (United States)

    Børseth, Thomas Moe; Tuomisto, Filip; Christensen, Jens S.; Monakhov, Edouard V.; Svensson, Bengt G.; Kuznetsov, Andrej Yu.

    2008-01-01

    The role of vacancy clustering and acceptor activation on resistivity evolution in N ion-implanted n -type hydrothermally grown bulk ZnO has been investigated by positron annihilation spectroscopy, resistivity measurements, and chemical profiling. Room temperature 220keV N implantation using doses in the low 1015cm-2 range induces small and big vacancy clusters containing at least 2 and 3-4 Zn vacancies, respectively. The small clusters are present already in as-implanted samples and remain stable up to 1000°C with no significant effect on the resistivity evolution. In contrast, formation of the big clusters at 600°C is associated with a significant increase in the free electron concentration attributed to gettering of amphoteric Li impurities by these clusters. Further annealing at 800°C results in a dramatic decrease in the free electron concentration correlated with activation of 1016-1017cm-3 acceptors likely to be N and/or Li related. The samples remain n type, however, and further annealing at 1000°C results in passivation of the acceptor states while the big clusters dissociate.

  15. Nitrogen induced modifications of MANOS memory properties

    Energy Technology Data Exchange (ETDEWEB)

    Nikolaou, N., E-mail: n.nikolaou@inn.demokritos.gr [Institute of Nanoscience and Nanotechnology, NCSR “Demokritos”, 153 10 Athens (Greece); Department of Physics, University of Patras, 265 04 Patras (Greece); Ioannou-Sougleridis, V.; Dimitrakis, P.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR “Demokritos”, 153 10 Athens (Greece); Skarlatos, D. [Department of Physics, University of Patras, 265 04 Patras (Greece); Giannakopoulos, K. [Institute of Nanoscience and Nanotechnology, NCSR “Demokritos”, 153 10 Athens (Greece); Ladas, S. [Department of Chemical Engineering, University of Patras, 265 04 Patras (Greece); Pecassou, B.; BenAssayag, G. [CEMES-CNRS, Toulouse (France); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, Ravila 14c, EE-50411 Tartu (Estonia); Niinistö, J.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-12-15

    In this work we examine the structural and electrical properties including the memory performance of Al{sub 2}O{sub 3}/Si{sub 3}N{sub 4}/SiO{sub 2} dielectric stacks implanted with low-energy nitrogen ions and subsequently thermal annealed at 850 or 1050 °C for 15 min. X-ray photoelectron spectroscopy reveals that the concentration and the chemical state of the nitrogen atoms within the Al{sub 2}O{sub 3} layer depends on the post-implantation annealing (PIA) temperature. Memory testing, performed on platinum gate capacitors, shows that charge retention of the programmed states is significantly improved for the high-temperature PIA samples as compared to the non-implanted samples. While such an improvement is not detected for the low-temperature PIA samples, the latter exhibit enhanced hole charging and thus, increased erase efficiency. Overall, our results suggest that the transport properties which control the erase and the retention characteristics of the blocking Al{sub 2}O{sub 3} layer can be tailored by nitrogen implantation and the PIA conditions and can be used for memory performance optimization.

  16. Carbon dioxide ion implantation in Titanium Nitride (Ti N)

    International Nuclear Information System (INIS)

    Torabi, Sh.; Sari, A. H.; Hojabri, A.; Ghoranneviss, M.

    2007-01-01

    Nitrogen ion implantation on titanium samples performed at 3x10 18 , 8x10 17 , 3x10 18 doses. In addition CO 2 ions were also implanted at doses in the range of 1x10 17 ,4 x10 17 ,8x10 17 . Atomic Force Microscopy, used to investigate the topographical changes of implanted samples. The structure of samples and phase composition were characterized using x-ray diffraction. The results show that by increasing of nitrogen ions, the roughness, grain sizes and hardness will increase. But by further increasing of dose, hardness will be decreased. The CO 2 implantation also enhance the roughness, grain size and hardness which could be caused by phase composition.

  17. Effect of nitrogen ion dose on the corrosion resistance, the microstructure and the phase structure of the biomaterials austenitic stainless steel 316L

    International Nuclear Information System (INIS)

    Lely Susita RM; Bambang Siswanto; Ihwanul Aziz; Anjar Anggraini H

    2016-01-01

    The succeed of the use of biomaterials for orthopedic implant device is determined by its mechanical properties, chemical stability and biocompatibility in tissues and body fluids. The corrosion resistance is one of the main property of biomaterials to determine for successful orthopedic implant in body tissues. Surface modification is carried out to improve biomaterial surface properties of austenitic stainless steel 316L with nitrogen ion implantation technique and ion nitriding. Nitrogen ion implantation performed on 60 keV ion energy and ion dose variations 2 x 10"1"6 ions/cm"2- 2 x 10"1"7 ions/cm"2. The corrosion resistance of austenitic stainless steel 316L in Hanks solution is measured by using a potentiostat, and corrosion resistance optimum of a sample is obtained at an ion dose of 5 x 10"1"6 ions/cm"2 and increase by a factor of 2.1 if compared to the sample without the nitrogen ion implantation. Further the sample of austenitic stainless steel 316L with the optimum corrosion resistance is processed by ion nitriding technique at a nitriding temperature of 350 °C and nitriding time of 4 hours. Based on corrosion test of the sample produced by ion nitriding is obtained an increasing the corrosion resistance by a factor of 2.96 when compared to the sample before nitrogen ion implantation. The improvement of corrosion resistance of the sample is caused by the formation of iron nitride ξ-Fe2N and γ- Fe4N which has excellent corrosion resistance properties. (author)

  18. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    Energy Technology Data Exchange (ETDEWEB)

    Rizwan, M.; Ahmad, A. [Department of Metallurgical and Materials Engineering, University of Engineering and Technology, 54890 Lahore (Pakistan); Deen, K.M. [Corrosion Control Research Cell, Department of Metallurgy and Materials Engineering, CEET, University of the Punjab, 54590 Lahore (Pakistan); Haider, W., E-mail: haiderw@utpa.edu [Mechanical Engineering Department, University of Texas Pan American, Edinburg, TX 78539 (United States)

    2014-11-30

    Highlights: • Nitrogen ions of known dosage were implanted on cp-Ti. • Increase in surface roughness with increase in ions dose was confirmed by AFM. • TiN{sub 0.3} and Ti{sub 3}N{sub 2−x} nitride phases were formed and validated by XRD. • The ions implantation reduced the corrosion rate and stabilized the passive film. • Surface roughness greatly affected the morphology and growth of Mesenchymal Stem Cells. - Abstract: Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN{sub 0.3} and Ti{sub 3}N{sub 2-x}nitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  19. Ion Implantation Hampers Pollen Tube Growth and Disrupts Actin Cytoskeleton Organization in Pollen Tubes of Pinus thunbergii

    International Nuclear Information System (INIS)

    Li Guoping; Yang Lusheng; Huang Qunce; Qin Guangyong

    2008-01-01

    Pollen grains of Pinus thunbergii Parl. (Japanese black pine) were implanted with 30 keV nitrogen ion beams and the effects of nitrogen ion implantation on pollen tube growth in vitro and the organization of actin cytoskeleton in the pollen tube cell were investigated using a confocal laser scanning microscope after fluorescence labeling. Treatment with ion implantation significantly blocked pollen tube growth. Confocal microscopy showed that ion implantation disrupted actin filament cytoskeleton organization in the pollen tube. It was found that there was a distinct correlation between the inhibition of pollen tube growth and the disruption of actin cytoskeleton organization, indicating that an intact actin cytoskeleton is essential for continuous pollen tube elongation in Pinus thunbergii. Although the detailed mechanism for the ion-implantation-induced bioeffect still remains to be elucidated, the present study assumes that the cytoskeleton system in pollen grains may provide a key target in response to ion beam implantation and is involved in mediating certain subsequent cytological changes.

  20. Effects of nitrogen applocation on yield and nitrogen accumulation in soybean

    International Nuclear Information System (INIS)

    Di Wei; Jin Xijun; Ma Chunmei; Dong Shoukun; Gong Zhenping; Zhang Lei

    2010-01-01

    Methods of sand cultre and 15 N tracing were used to study the effects of nitrogen application on yield and nitrogen accumulation in soybean variety SN 14 . The results showed as follows: accumulated nitrogen in the whole plant, petiole, pod shell and seed increased at the beginning and then decreased with the increase of nitrogen levels; Nitrogen accumulation in leaf and stem increased in 3 and 5 times for N 150 than that of N 0 , which indicated that high nitrogen levels promoted the nitrogen accumulation in leaf and stem, however compared with N 0 , nitrogen accumulation in root, Nodulation-N accumulated in the whole plant and seed of N 150 decreased by 60.3%, 74. 9% and 85.7% respectively, and Fertilizer-N harvest index of N 150 decreased, which was 19.8% lower than that of N 50 , as well as Nodulation-N harvest index 25.5% lower than that of N 50 . The nitrogen levels of soybean yield also firstly increased and then decreased; Compared with N 0 , plant height, pod height and lowest pod nodes of soybean treated with N 150 increased by 55.2%, 199.7% and 142.9% respectively, while no effects were found on node number. (authors)

  1. Oxidation-enhanced diffusion of boron in very low-energy N2+-implanted silicon

    Science.gov (United States)

    Skarlatos, D.; Tsamis, C.; Perego, M.; Fanciulli, M.

    2005-06-01

    In this article we study the interstitial injection during oxidation of very low-energy nitrogen-implanted silicon. Buried boron δ layers are used to monitor the interstitial supersaturation during the oxidation of nitrogen-implanted silicon. No difference in boron diffusivity enhancement was observed compared to dry oxidation of nonimplanted samples. This result is different from our experience from N2O oxynitridation study, during which a boron diffusivity enhancement of the order of 20% was observed, revealing the influence of interfacial nitrogen on interstitial kinetics. A possible explanation may be that implanted nitrogen acts as an excess interstitial sink in order to diffuse towards the surface via a non-Fickian mechanism. This work completes a wide study of oxidation of very low-energy nitrogen-implanted silicon related phenomena we performed within the last two years [D. Skarlatos, C. Tsamis, and D. Tsoukalas, J. Appl. Phys. 93, 1832 (2003); D. Skarlatos, E. Kapetanakis, P. Normand, C. Tsamis, M. Perego, S. Ferrari, M. Fanciulli, and D. Tsoukalas, J. Appl. Phys. 96, 300 (2004)].

  2. Formation of InN phase by sequential ion implantation

    International Nuclear Information System (INIS)

    Santhana Raman, P.; Ravichandran, V.; Nair, K.G.M.; Kesavamoorthy, R.; Kalavathi, S.; Panigrahi, B.K.; Dhara, S.

    2006-01-01

    Formation of InN phase by sequentially implanting nitrogen on indium implanted silica was demonstrated. The growth of embedded InN phase on as-implanted and post-implantation annealed sample was studied using Glancing Incidence X-Ray Diffraction (GIXRD) and Raman spectroscopy. Existence of both cubic and hexagonal phases of InN was observed. Results of irradiation induced ripening of In nanoclusters due to N + ion implantation was also studied. (author)

  3. Creation of nitrogen-vacancy centres in diamond with high resolution

    Energy Technology Data Exchange (ETDEWEB)

    Pezzagna, Sebastien; Meijer, Jan [Rubion, Ruhr-Universitaet Bochum (Germany); Wildanger, Dominik; Hell, Stefan W. [Department of NanoBiophotonics, Max Planck Institute for Biophysical Chemistry, Goettingen (Germany); Mazarov, Paul; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany); Naydenov, Boris; Jelezko, Fedor; Wrachtrup, Joerg [3. Institute of Physics, University of Stuttgart (Germany)

    2010-07-01

    Nowadays, diamond and the nitrogen-vacancy (NV) colour centres constitute the best solid-state system in view of quantum-computing applications. It has also been shown recently that single NV centres could be used as nanoscale magnetic sensors. Such applications require the creation of single NV centres with very high resolution and with a high efficiency. The nano-implanter at the university of Bochum provides low energy nitrogen ions which can be implanted through a hole pierced in the tip of an atomic force microscope. Ultrapure diamond samples have been implanted with spot sizes of 50nm and less. Stimulated Emission Depletion (STED) microscopy has been used to characterise and resolve the implanted spots.

  4. Formation of oriented nitrides by N+ ion implantation in iron single crystals

    International Nuclear Information System (INIS)

    Costa, A.R.G.; Silva, R.C. da; Ferreira, L.P.; Carvalho, M.D.; Silva, C.; Franco, N.; Godinho, M.

    2014-01-01

    Iron single crystals were implanted with nitrogen at room temperature, with a fluence of 5×10 17 cm −2 and 50 keV energy, to produce iron nitride phases and characterize the influence of the crystal orientation. The stability and evolution of the nitride phases and diffusion of implanted nitrogen were studied as a function of successive annealing treatments at 250 °C in vacuum. The composition, structure and magnetic properties were characterized using RBS/channeling, X-Ray Diffraction, Magnetic Force Microscopy, Magneto-optical Kerr Effect and Conversion Electron Mössbauer Spectroscopy. In the as-implanted state the formation of Fe 2 N phase was clearly identified in all single crystals. This phase is not stable at 250 °C and annealing at this temperature promotes the formation of ε-Fe 3 N, or γ′-Fe 4 N, depending on the orientation of the substrate. - Highlights: • Oriented magnetic iron nitrides were obtained by nitrogen implantation into iron single crystals. • The stable magnetic nitride phase at 250 °C depends on the orientation of the host single crystal, being γ'-Fe 4 N or ε-Fe 3 N. • The easy magnetization axis was found to lay in the (100) plane for cubic γ'-Fe 4 N and out of (100) plane for hexagonal ε-Fe 3 N

  5. The annealing behavior of hydrogen implanted into Al-Si alloy

    Energy Technology Data Exchange (ETDEWEB)

    Ogura, Masahiko; Yamaji, Norisuke; Imai, Makoto; Itoh, Akio; Imanishi, Nobutsugu [Kyoto Univ. (Japan). Faculty of Engineering

    1997-03-01

    We have studied effects of not only defects but also an added elements on trap-sites of hydrogen in metals. For the purpose, we observed depth profiles and thermal behaviors of hydrogen implanted into Al-1.5at.%Si alloy samples in an implantation-temperature range of liquid nitrogen temperature (LNT) to 373K at different doses. The results were compared with those for pure aluminum samples. It was found that hydrogen is trapped as molecules in grain boundaries of Al/Si. (author)

  6. Effect of healing time on bone-implant contact of orthodontic micro-implants: a histologic study.

    Science.gov (United States)

    Ramazanzadeh, Barat Ali; Fatemi, Kazem; Dehghani, Mahboobe; Mohtasham, Nooshin; Jahanbin, Arezoo; Sadeghian, Hamed

    2014-01-01

    Objectives. This study aimed to evaluate the effect of immediate and delayed loading of orthodontic micro-implants on bone-implant contact. Materials and Methods. Sixty four micro-implants were implanted in dog's jaw bone. The micro-implants were divided into loaded and unloaded (control) groups. The control group had two subgroups: four and eight weeks being implanted. The loaded group had two subgroups of immediate loading and delayed (after four weeks healing) loading. Loaded samples were subjected to 200g load for four weeks. After sacrificing the animals micro-implants and surrounding tissues were observed histologically. Bone-implant contact ratios (BIC) were calculated and different groups' results were compared by three-way ANOVA. Results. Mean survival rate was 96.7% in general. Survival rates were 96.7%, 94.4% and 100% for control, immediate and delayed loaded groups, respectively. BIC values were not significantly different in loaded and control groups, immediate and delayed loading groups, and pressure and tension sides. Mandibular micro-implants had significantly higher BIC than maxillary ones in immediate loading, 4-weeks control, and 8-weeks control groups (P = 0.021, P = 0.009, P = 0.003, resp.). Conclusion Immediate or delayed loading of micro-implants in dog did not cause significant difference in Bone-implant contact which could be concluded that healing time had not significant effect on micro-implant stability.

  7. Study and realisation of plane optical waveguides in amorphous silica by ion implantation

    International Nuclear Information System (INIS)

    Moutonnet, Danielle

    1974-01-01

    Within the framework of the replacement of radio-electric waves by light waves as support of information transmission in telecommunications, this research thesis addresses the use of ion implantation for the development of small waveguides with low losses. The author first describes how such waveguides can be characterised by studying the propagation of an electromagnetic wave in a plane waveguide, and the different ways to introduce energy in these waveguides. Then, she discusses how the obtained results can be used to determine the main parameters of an optical waveguide, or more generally of a thin transparent layer for a chosen wavelength. In the second part, the author reports the application of this general method to the case of guides obtained by ion implantation. She notably identifies the possibilities of ion implantation as technological tool to develop waveguides, and discusses how the performed experiments allow a better understanding of physical mechanisms occurring during implantation. In this second part, she recalls generally admitted theories about ion implantation, describes experiment principles (implantation of oxygen or nitrogen ions into amorphous silica followed by annealing) and discusses the obtained results (increase of the refraction index, i.e. of the guiding effect, stronger for oxygen than for nitrogen) [fr

  8. Effect of osteoporosis on fixation of osseointegrated implants in rats.

    Science.gov (United States)

    Li, Yunfeng; He, Sheng; Hua, Yunwei; Hu, Jing

    2017-11-01

    The effect of osteoporosis on implant osseointegration has been widely investigated, whereas osteoporosis may also newly occur in patient with previously osseointegrated implant. This study was designed to investigate the effect of osteoporosis on implant fixation in rats after successful osseointegration had been obtained. Seventy female Sprague-Dawley rats were included, and each animal received two titanium implants in the distal metaphysis of femur bilaterally. Eight weeks later, ten rats were sacrificed to confirm the establishment of implant osseointegration. All left rats were randomly subjected to bilateral ovariectomy (OVX) or sham operation. Three, six, and twelve weeks later, implant osseointegration, peri-implant bone tissue, and biomechanical properties of implant were analyzed. Right femurs with implants were used for micro-CT and histological analysis, and left femurs with implants were used for biomechanical test. Micro-CT, histology, and biomechanical test confirmed the destructive effect of OVX on previously osseointegrated implant in rats; when compared to sham-operated rats, peri-implant bone volume, trabecular architecture, bone-to-implant contact ratio, as well as biomechanical parameters decreased progressively within 12 weeks. Results also indicated that the effect of OVX on undisturbed bone (proximal tibiae) was much stronger than that on peri-implant bone. Osteoporosis produced a progressive negative effect on previously osseointegrated implant in distal femora of rats during 12 weeks. © 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 105B: 2426-2432, 2017. © 2016 Wiley Periodicals, Inc.

  9. [Effects of water deficit and nitrogen fertilization on winter wheat growth and nitrogen uptake].

    Science.gov (United States)

    Qi, You-Ling; Zhang, Fu-Cang; Li, Kai-Feng

    2009-10-01

    Winter wheat plants were cultured in vitro tubes to study their growth and nitrogen uptake under effects of water deficit at different growth stages and nitrogen fertilization. Water deficit at any growth stages could obviously affect the plant height, leaf area, dry matter accumulation, and nitrogen uptake. Jointing stage was the most sensitive stage of winter wheat growth to water deficit, followed by flowering stage, grain-filling stage, and seedling stages. Rewatering after the water deficit at seedling stage had a significant compensation effect on winter wheat growth, and definite compensation effect was observed on the biomass accumulation and nitrogen absorption when rewatering was made after the water deficit at flowering stage. Under the same nitrogen fertilization levels, the nitrogen accumulation in root with water deficit at seedling, jointing, flowering, and grain-filling stages was reduced by 25.82%, 55.68%, 46.14%, and 16.34%, and the nitrogen accumulation in aboveground part was reduced by 33.37%, 51.71%, 27.01%, and 2.60%, respectively, compared with no water deficit. Under the same water deficit stages, the nitrogen content and accumulation of winter wheat decreased with decreasing nitrogen fertilization level, i. e., 0.3 g N x kg(-1) FM > 0.2 g N x kg(-1) FM > 0.1 g N x kg(-1) FM. Nitrogen fertilization had obvious regulation effect on winter wheat plant growth, dry matter accumulation, and nitrogen uptake under water stress.

  10. Mn-implanted, polycrystalline indium tin oxide and indium oxide films

    International Nuclear Information System (INIS)

    Scarlat, Camelia; Vinnichenko, Mykola; Xu Qingyu; Buerger, Danilo; Zhou Shengqiang; Kolitsch, Andreas; Grenzer, Joerg; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Polycrystalline conducting, ca. 250 nm thick indium tin oxide (ITO) and indium oxide (IO) films grown on SiO 2 /Si substrates using reactive magnetron sputtering, have been implanted with 1 and 5 at.% of Mn, followed by annealing in nitrogen or in vacuum. The effect of the post-growth treatment on the structural, electrical, magnetic, and optical properties has been studied. The roughness of implanted films ranges between 3 and 15 nm and XRD measurements revealed a polycrystalline structure. A positive MR has been observed for Mn-implanted and post-annealed ITO and IO films. It has been interpreted by considering s-d exchange. Spectroscopic ellipsometry has been used to prove the existence of midgap electronic states in the Mn-implanted ITO and IO films reducing the transmittance below 80%.

  11. Study of the radiation effect in breast implants

    International Nuclear Information System (INIS)

    Moreno T, L. R.; Ramirez R, A.

    2013-01-01

    This breast cancer is one of the most important death causes in women. Among the more frequently medical treatment for advanced breast cancer is the mastectomy. This situation leads to silicone implants as an esthetic option. There have been cases in patients with implants where cancer was frequently detected, in which a conventional radiotherapy is required. In this work is presented a study of the probable adverse effects caused by the application of high power X-rays (6-10 MV) to the silicone implants and to the surrounding tissues. In the research carried out at the clinic, none Bolus effect was detected in patients with implants. Our results prescribe that in the case of patients with implants and frequent breast cancer, the removal of implants is not necessary due radiotherapy works directly in the damaged tissues. (Author)

  12. Study of the radiation effect in breast implants

    Energy Technology Data Exchange (ETDEWEB)

    Moreno T, L. R.; Ramirez R, A., E-mail: lumor2000@yahoo.com.mx [Universidad Autonoma Metropolitana, Unidad Azcapotzalco, Departamento de Ciencias Basicas, Av. San Pablo No. 180, Col. Reynosa Tamaulipas, 02200 Mexico D. F. (Mexico)

    2013-10-01

    This breast cancer is one of the most important death causes in women. Among the more frequently medical treatment for advanced breast cancer is the mastectomy. This situation leads to silicone implants as an esthetic option. There have been cases in patients with implants where cancer was frequently detected, in which a conventional radiotherapy is required. In this work is presented a study of the probable adverse effects caused by the application of high power X-rays (6-10 MV) to the silicone implants and to the surrounding tissues. In the research carried out at the clinic, none Bolus effect was detected in patients with implants. Our results prescribe that in the case of patients with implants and frequent breast cancer, the removal of implants is not necessary due radiotherapy works directly in the damaged tissues. (Author)

  13. Implantation rate effects on microstructure

    International Nuclear Information System (INIS)

    Choyke, W.J.; Spitznagel, J.A.; Wood, S.; Doyle, N.J.

    1981-01-01

    We report a detailed TEM study of rate effects in a metal (304 SS) where we dope with an insoluble atom (He) and create the displacement damage with high energy Si. The rates of doping and the rates of producing lattice damage are independently varied during dual implantation. In addition to varying the doping rates of the He the magnitude of the displacement damage prior to He implantation is also varied (beam history). We find that the beam history has virtually no effect on maximum bubble size but it has a major effect on the average cavity diameter. A weak dependence of cavity number density on helium implantation rate is found. The total dislocation density is relatively independent of the doping rate and beam history at 550 and 700 0 C, whereas the loop fraction is sensitive to beam history at these temperatures. Acicular precipitate formation is weakly dependent on doping, doping rate and more strongly dependent on doping concentration and temperature. This form of solute segregation is very sensitive to beam history. (orig.)

  14. Modification of polyethyleneterephtalate by implantation of nitrogen ions

    International Nuclear Information System (INIS)

    Svorcik, V.; Endrst, R.; Rybka, V.; Hnatowicz, V.; Cerny, F.

    1994-01-01

    The implantation of 90 keV N + ions into polyethyleneterephtalate (PET) to fluences of 1 x 10 14 --1 x 10 17 cm -2 was studied. The changes in electrical sheet conductivity and polarity of ion-exposed PET were observed and the structural changes were examined using IR spectroscopy. One degradation process is a chain fission according to the Norrish II reaction. The sheet conductivity due to conjugated double bonds was increased by ten orders of magnitude as a result of ion implantation. The surface polarity of the PET samples increases slightly with increasing ion fluence

  15. High pressure annealing of Europium implanted GaN

    KAUST Repository

    Lorenz, K.; Miranda, S. M. C.; Alves, E.; Roqan, Iman S.; O'Donnell, K. P.; Bokowski, M.

    2012-01-01

    GaN epilayers were implanted with Eu to fluences of 1×10^13 Eu/cm2 and 1×10^15 Eu/cm2. Post-implant thermal annealing was performed in ultra-high nitrogen pressures at temperatures up to 1450 ºC. For the lower fluence effective structural recovery of the crystal was observed for annealing at 1000 ºC while optical activation could be further improved at higher annealing temperatures. The higher fluence samples also reveal good optical activation; however, some residual implantation damage remains even for annealing at 1450 ºC which leads to a reduced incorporation of Eu on substitutional sites, a broadening of the Eu luminescence lines and to a strongly reduced fraction of optically active Eu ions. Possibilities for further optimization of implantation and annealing conditions are discussed.© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  16. High pressure annealing of Europium implanted GaN

    KAUST Repository

    Lorenz, K.

    2012-02-09

    GaN epilayers were implanted with Eu to fluences of 1×10^13 Eu/cm2 and 1×10^15 Eu/cm2. Post-implant thermal annealing was performed in ultra-high nitrogen pressures at temperatures up to 1450 ºC. For the lower fluence effective structural recovery of the crystal was observed for annealing at 1000 ºC while optical activation could be further improved at higher annealing temperatures. The higher fluence samples also reveal good optical activation; however, some residual implantation damage remains even for annealing at 1450 ºC which leads to a reduced incorporation of Eu on substitutional sites, a broadening of the Eu luminescence lines and to a strongly reduced fraction of optically active Eu ions. Possibilities for further optimization of implantation and annealing conditions are discussed.© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  17. The effects of ion implantation on the tribology of perfluoropolyether-lubricated 440C stainless steel couples

    Science.gov (United States)

    Shogrin, Bradley; Jones, William R., Jr.; Wilbur, Paul J.; Pilar, Herrera-Fierro; Williamson, Don L.

    1995-01-01

    The lubricating lifetime of thin films of a perfluoropolyether (PFPE) based on hexafluoropropene oxide in the presence of ion implanted 440C stainless steel is presented. Stainless steel discs, either unimplanted or implanted with N2, C, Ti, Ti + N2, or Ti + C had a thin film of PFPE (60-400 A) applied to them reproducibly (+/- 20 percent) and uniformly (+/- 15 percent) using a device developed for this study. The lifetimes of these films were quantified by measuring the number of sliding-wear cycles required to induce an increase in the friction coefficient from an initial value characteristic of the lubricated wear couple to a final, or failure value, characteristic of an unlubricated, unimplanted couple. The tests were performed in a dry nitrogen atmosphere (less than 1 percent RH) at room temperature using a 3 N normal load with a relative sliding speed of 0.05 m/s. The lubricated lifetime of the 440C couple was increased by an order of magnitude by implanting the disc with Ti. Ranked from most to least effective, the implanted species were: Ti; Ti+C; unimplanted; N2; C approximately equals Ti+N2. The mechanism postulated to explain these results involves the formation of a passivating or reactive layer which inhibits or facilitates the production of active sites. The corresponding surface microstructures induced by ion implantation, obtained using x-ray diffraction and conversion electron Mossbauer spectroscopy, ranked from most to least effective in enhancing lubricant lifetime were: amorphous Fe-Cr-Ti; amorphous Fe-Cr-Ti-C + TiC; unimplanted; epsilon-(Fe,Cr)(sub x)N, x = 2 or 3; amorphous Fe-Cr-C approximately equals amorphous Fe-Cr-Ti-N.

  18. Damage and in-situ annealing during ion implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Washburn, J.; Byrne, P.F.; Cheung, N.W.

    1982-11-01

    Formation of amorphous (α) layers in Si during ion implantation in the energy range 100 keV-11 MeV and temperature range liquid nitrogen (LN)-100 0 C has been investigated. Cross-sectional transmission electron microscopy (XTEM) shows that buried amorphous layers can be created for both room temperature (RT) and LN temperature implants, with a wider 100 percent amorphous region for the LN cooled case. The relative narrowing of the α layer during RT implantation is attributed to in-situ annealing. Implantation to the same fluence at temperatures above 100 0 C does not produce α layers. To further investigate in situ annealing effects, specimens already containing buried α layers were further irradiated with ion beams in the temperature range RT-400 0 C. It was found that isolated small α zones (less than or equal to 50 diameter) embedded in the crystalline matrix near the two α/c interfaces dissolved into the crystal but the thickness of the 100 percent α layer was not appreciably affected by further implantation at 200 0 C. A model for in situ annealing during implantation is presented

  19. The analysis of ion nitriding and nitrogen ion implantation on tribological properties of steels 33H3MF and 36H3M

    International Nuclear Information System (INIS)

    Zandecki, R.

    1993-01-01

    Surface properties of two kinds of steel 33H3MF and 36H3M have been investigated. Three different methods of steel surface treatment have been used: ion nitriding, nitrogen ion implantation and mixing method being the sum of the first and second ones. The microstructure, microhardness distribution, fatigue strength, friction coefficient and other tribological properties have been measured and compared. 60 refs, 74 figs, 19 tabs

  20. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    Science.gov (United States)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  1. Total dose hardening of buried insulator in implanted silicon-on-insulator structures

    International Nuclear Information System (INIS)

    Mao, B.Y.; Chen, C.E.; Pollack, G.; Hughes, H.L.; Davis, G.E.

    1987-01-01

    Total dose characteristics of the buried insulator in implanted silicon-on-insulator (SOI) substrates have been studied using MOS transistors. The threshold voltage shift of the parasitic back channel transistor, which is controlled by charge trapping in the buried insulator, is reduced by lowering the oxygen dose as well as by an additional nitrogen implant, without degrading the front channel transistor characteristics. The improvements in the radiation characteristics of the buried insulator are attributed to the decrease in the buried oxide thickness or to the presence of the interfacial oxynitride layer formed by the oxygen and nitrogen implants

  2. Helium implantation effects in SAP and aluminum

    International Nuclear Information System (INIS)

    Bauer, W.; Thomas, G.J.

    1976-02-01

    A series of 300 keV He implantations of Al and SAP 930 have been conducted at temperatures between 150 and 773K. The He re-emission was monitored during implantation and the samples were examined with a scanning electron microscope after implantation. Both Al and SAP 930 were found to blister after a critical He dose was reached at temperatures above 473K, both underwent flaking below that temperature, with blistering re-appearing in SAP 930 at an implantation temperature of 150K. The surface deformation and He re-emission are strongly dependent on microstructural effects in the intermediate temperature regime

  3. Residual stress in ion implanted titanium nitride studied by parallel beam glancing incidence x-ray diffraction

    International Nuclear Information System (INIS)

    Geist, D.E.; Perry, A.J.; Treglio, J.R.; Valvoda, V.; Rafaja, D.

    1995-01-01

    Ion implantation is known to increase the lifetime of cutting tools. Current theories are the increase in lifetime is caused by an increase in the residual stress, or by work hardening of the surface associated with the implantation. In this work the effect of ion implantation on the residual stress in titanium nitride coatings made by the standard industrial methods of chemical and physical vapor deposition (CVD and PVD) is studied. It is found in the as-received condition (unimplanted), the residual stress levels are near zero for CVD materials and highly compressive, of the order of 6 GPa, for PVD materials. Ion implantation has no effect on the residual stress in the coatings made by CVD. Nitrogen does increase the compressive residual stress by some 10% in the near surface regions of PVD coatings, while nickel-titanium dual metal ion implantation does not have any effect. It appears that the lifetime increase is not associated with residual stress effects

  4. The effect of varying implant position in immediately loaded implant-supported mandibular overdentures.

    Science.gov (United States)

    Shaarawy, Mohammed A; Aboelross, Ehab M

    2013-06-01

    This study was carried out to evaluate the effect of varying implant position in immediately loaded implant-supported mandibular overdentures on peri-implant bone density, muscle activity, and patient satisfaction. Fourteen completely edentulous patients were selected for the study. After complete denture construction, patients were divided into 2 equal groups. Four dental implants were installed bilaterally in the interforaminal region in the first group, while in the second group, 4 dental implants were inserted bilaterally: 2 in the interforaminal region and 2 in the first molar area. Immediately after suturing, telescopic abutments were screwed to the implants, and the retaining caps were picked up into the fitting surface of the lower denture, which was delivered to the patient. Patients were recalled for radiographic bone density evaluation just after denture delivery and then at 3, 6, and 12 months thereafter. Muscle activities of masseter and temporalis muscles as well as patient satisfaction were also evaluated. The results of the study showed a high success rate approximating 98.2% of the immediately loaded implants. The electromyographic (EMG) records of both muscles in group 1 were significantly higher during chewing hard food after 3 months compared with group 2 (P overdentures through posterior placement beyond the interforaminal area results in a favorable response in terms of increased peri-implant bone density as well as decreased EMG activity of masseter and temporalis muscles.

  5. Optical stability under photo-irradiation of urushi films by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio [Industrial Research Inst. of Ishikawa, Kanazawa (Japan)

    1995-06-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.).

  6. Optical stability under photo-irradiation of urushi films by ion implantation

    International Nuclear Information System (INIS)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio

    1995-01-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.)

  7. The effect of thread pattern upon implant osseointegration.

    Science.gov (United States)

    Abuhussein, Heba; Pagni, Giorgio; Rebaudi, Alberto; Wang, Hom-Lay

    2010-02-01

    Implant design features such as macro- and micro-design may influence overall implant success. Limited information is currently available. Therefore, it is the purpose of this paper to examine these factors such as thread pitch, thread geometry, helix angle, thread depth and width as well as implant crestal module may affect implant stability. A literature search was conducted using MEDLINE to identify studies, from simulated laboratory models, animal, to human, related to this topic using the keywords of implant thread, implant macrodesign, thread pitch, thread geometry, helix angle, thread depth, thread width and implant crestal module. The results showed how thread geometry affects the distribution of stress forces around the implant. A decreased thread pitch may positively influence implant stability. Excess helix angles in spite of a faster insertion may jeopardize the ability of implants to sustain axial load. Deeper threads seem to have an important effect on the stabilization in poorer bone quality situations. The addition of threads or microthreads up to the crestal module of an implant might provide a potential positive contribution on bone-to to-implant contact as well as on the preservation of marginal bone; nonetheless this remains to be determined. Appraising the current literature on this subject and combining existing data to verify the presence of any association between the selected characteristics may be critical in the achievement of overall implant success.

  8. The Effect of Liquid Nitrogen on Bone Graft Survival.

    Science.gov (United States)

    Sirinoglu, Hakan; Çilingir, Özlem Tuğçe; Çelebiler, Ozhan; Ercan, Feriha; Numanoglu, Ayhan

    2015-08-01

    Liquid nitrogen is used in medicine for cancer treatment and tissue preservation; however, bone viability after its application is controversial. This study aims to evaluate both the tissue viability and the clinical and histopathologic findings following liquid nitrogen application with different thawing techniques in rats. Mandibular bone grafts were taken from 45 Wistar rats and freezed in liquid nitrogen for 20 minutes. In the rapid-thawing technique (Rapid Thawing-1, Rapid Thawing-2), the grafts were held for 20 minutes in room temperature; in the slow-thawing technique (Slow Thawing-1, Slow Thawing-2), 20 minutes in -20°C, 20 minutes in +4°C, and 20 minutes in room temperature, respectively. In Rapid Thawing-2 and Slow Thawing-2 groups, autografts were implanted to their origin for 3 weeks and bone staining with India ink was performed and samples taken for histologic examination. The amount of cells and blood vessels and the density of bone canaliculi were significantly reduced in Rapid Thawing-1 and Slow Thawing-1 groups comparing to the Control group. However, the reduction rate was more significant in the Slow Thawing-1 group. Histomorphometric evaluation of the healing autografts after 3 weeks revealed that the decreased amounts of canaliculi were not changed in Slow Thawing-2 group. The study results demonstrated that bone tissue survives after liquid nitrogen treatment regardless of the performed thawing technique; however, slow thawing causes more tissue damage and metabolism impairment. Thieme Medical Publishers 333 Seventh Avenue, New York, NY 10001, USA.

  9. Micro-cutting of silicon implanted with hydrogen and post-implantation thermal treatment

    Science.gov (United States)

    Jelenković, Emil V.; To, Suet; Sundaravel, B.; Xiao, Gaobo; Huang, Hu

    2016-07-01

    It was reported that non-amorphizing implantation by hydrogen has a potential in improving silicon machining. Post-implantation high-temperature treatment will affect implantation-induced damage, which can have impact on silicon machining. In this article, a relation of a thermal annealing of hydrogen implanted in silicon to micro-cutting experiment is investigated. Hydrogen ions were implanted into 4″ silicon wafers with 175 keV, 150 keV, 125 keV and doses of 2 × 1016 cm-2, 2 × 1016 cm-2 and 3 × 1016 cm-2, respectively. In this way, low hydrogen atom-low defect concentration was created in the region less than ~0.8 μm deep and high hydrogen atom-high defect concentration was obtained at silicon depth of ~0.8-1.5 μm. The post-implantation annealing was carried out at 300 and 400 °C in nitrogen for 1 h. Physical and electrical properties of implanted and annealed samples were characterized by secondary ion mass spectroscopy (SIMS), X-ray diffraction (XRD), Rutherford backscattering (RBS) and nanoindentation. Plunge cutting experiment was carried out in and silicon crystal direction. The critical depth of cut and cutting force were monitored and found to be influenced by the annealing. The limits of hydrogen implantation annealing contribution to the cutting characteristics of silicon are discussed in light of implantation process and redistribution of hydrogen and defects generation during annealing process.

  10. [Effects of reduced nitrogen application and soybean intercropping on nitrogen balance of sugarcane field].

    Science.gov (United States)

    Liu, Yu; Zhang, Ying; Yang, Wen-ting; Li, Zhi-xian; Guan, Ao-mei

    2015-03-01

    A four-year (2010-2013) field experiment was carried out to explore the effects of three planting patterns (sugarcane, soybean monoculture and sugarcane-soybean 1:2 intercropping) with two nitrogen input levels (300 and 525 kg . hm-2) on soybean nitrogen fixation, sugarcane and soybean nitrogen accumulation, and ammonia volatilization and nitrogen leaching in sugarcane field. The results showed that the soybean nitrogen fixation efficiency (NFE) of sugarcane-soybean inter-cropping was lower than that of soybean monoculture. There was no significant difference in NFE among the treatments with the two nitrogen application rates. The nitrogen application rate and inter-cropping did not remarkably affect nitrogen accumulation of sugarcane and soybean. The ammonia volatilization of the reduced nitrogen input treatment was significantly lower than that of the conventional nitrogen input treatment. Furthermore, there was no significant difference in nitrogen leaching at different nitrogen input levels and among different planting patterns. The sugarcane field nitrogen balance analysis indicated that the nitrogen application rate dominated the nitrogen budget of sugarcane field. During the four-year experiment, all treatments leaved a nitrogen surplus (from 73.10 to 400.03 kg . hm-2) , except a nitrogen deficit of 66.22 kg . hm-2 in 2011 in the treatment of sugarcane monoculture with the reduced nitrogen application. The excessive nitrogen surplus might increase the risk of nitrogen pollution in the field. In conclusion, sugarcane-soybean intercropping with reduced nitrogen application is feasible to practice in consideration of enriching the soil fertility, reducing nitrogen pollution and saving production cost in sugarcane field.

  11. Nitrogen diffusion in near-surface range of ion doped molybdenum

    CERN Document Server

    Zamalin, E Y

    2001-01-01

    The dynamics of change in nitrogen near-the-surface concentration in the Mo ion-alloyed monocrystalline foil is studied through the Auger-electron spectroscopy and the secondary ion mass spectrometry. The implantation dose constituted 5 x 10 sup 1 sup 7 ion/cm sup 2 and the implantation energy equaled 50 and 100 keV. The samples diffusion annealing was performed at the temperature of 800-900 deg C. The evaluation of the nitrogen diffusion coefficient indicates the values by 3-5 orders lesser than the diffusion coefficient in the nitrogen solid-state solution in the molybdenum. At the same time the molybdenum self-diffusion coefficient value is by 3-5 orders lesser as compared to the obtained value. The supposition is made, the the surplus nitrogen relative to the solubility limit is deposited on the radiation defects and in the process of the diffusion annealing it nitrates together with them

  12. Friction coefficient and effective interference at the implant-bone interface.

    Science.gov (United States)

    Damm, Niklas B; Morlock, Michael M; Bishop, Nicholas E

    2015-09-18

    Although the contact pressure increases during implantation of a wedge-shaped implant, friction coefficients tend to be measured under constant contact pressure, as endorsed in standard procedures. Abrasion and plastic deformation of the bone during implantation are rarely reported, although they define the effective interference, by reducing the nominal interference between implant and bone cavity. In this study radial forces were analysed during simulated implantation and explantation of angled porous and polished implant surfaces against trabecular bone specimens, to determine the corresponding friction coefficients. Permanent deformation was also analysed to determine the effective interference after implantation. For the most porous surface tested, the friction coefficient initially increased with increasing normal contact stress during implantation and then decreased at higher contact stresses. For a less porous surface, the friction coefficient increased continually with normal contact stress during implantation but did not reach the peak magnitude measured for the rougher surface. Friction coefficients for the polished surface were independent of normal contact stress and much lower than for the porous surfaces. Friction coefficients were slightly lower for pull-out than for push-in for the porous surfaces but not for the polished surface. The effective interference was as little as 30% of the nominal interference for the porous surfaces. The determined variation in friction coefficient with radial contact force, as well as the loss of interference during implantation will enable a more accurate representation of implant press-fitting for simulations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Enhanced cytocompatibility of silver-containing biointerface by constructing nitrogen functionalities

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wei, E-mail: weizhang@mail.ipc.ac.cn [Technical Institute of Physics and Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Liu, Jun [Technical Institute of Physics and Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Wang, Huaiyu [Department of Physics & Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Xu, Ying; Wang, Pingli [Technical Institute of Physics and Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Ji, Junhui, E-mail: jhji@mail.ipc.ac.cn [Technical Institute of Physics and Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Chu, Paul K. [Department of Physics & Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2015-09-15

    Graphical abstract: Constructing nitrogen functionalities is promising method to enhance cytocompatibility of the biointerface by simultaneous Ag and N{sub 2} plasma modification. - Highlights: • N{sub 2} plasma immersion ion implantation (PIII) treatment generates plenty of nitrogen functionalities on polymer substrate. • N{sub 2} PIII treatment increases surface roughness and hydrophilicity and improves its capability to adsorb protein. • Simultaneous Ag and N{sub 2} plasma modification constructs nitrogen functionalities to enhance cytocompatibility of the biointerface. - Abstract: Silver (Ag) has recently been introduced into polymeric biomedical implants by plasma immersion ion implantation (PIII) to enhance the antibacterial capability. However, Ag ions and nanoparticles can increase the cytotoxicity and inhibit cellular proliferation and the relationship is time- and dose-dependent. In this study, Ag and N{sub 2} PIII is conducted in concert to produce nitrogen functional groups as well as Ag-containing biointerface. In addition to the creation of nitrogen functionalities, the surface roughness and hydrophilicity are improved in favor of protein adsorption. Compared to the biointerface created by Ag PIII only, the nitrogen functionalities generated by N{sub 2} co-PIII do not affect DNA synthesis and the total protein level but evidently enhance cellular adhesion, viability, and proliferation at the biointerface. The modified surface is observed to upregulate the osteogenesis-related marker expression of bone cells in contact. Our findings suggest that dual Ag and N{sub 2} PIII is a desirable technique to enhance both the cytocompatibility and antibacterial capability of medical polymers.

  14. Characterization of ion-implanted aluminum and iron by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Brodkin, J.S.; Franzen, W.; Culbertson, R.J.

    1990-01-01

    The change in the optical constants of aluminum alloy and iron samples caused by implantation with nitrogen and chromium ions has been investigated by spectroscopic ellipsometry. The objective is to develop a method for simple, non-destructive characterization of ion-implanted metals. 5 refs., 6 figs

  15. Effects of gold coating on experimental implant fixation

    DEFF Research Database (Denmark)

    Zainali, Kasra; Danscher, Gorm; Jakobsen, Thomas

    2009-01-01

    Insertions of orthopedic implants are traumatic procedures that trigger an inflammatory response. Macrophages have been shown to liberate gold ions from metallic gold. Gold ions are known to act in an antiinflammatory manner by inhibiting cellular NF-kappa B-DNA binding and suppressing I-kappa B......-kinase activation. The present study investigated whether gilding implant Surfaces augmented early implant osseointegration and implant fixation by its modulatory effect on the local inflammatory response. Ion release was traced by autometallographic silver enhancement. Gold-coated cylindrical porous coated Ti6Al4V...

  16. Effects of high-dose hydrogen implantation on defect formation and dopant diffusion in silver implanted ZnO crystals

    Energy Technology Data Exchange (ETDEWEB)

    Yaqoob, Faisal [Department of Physics, State University of New York at Albany, Albany, New York 12222 (United States); Huang, Mengbing, E-mail: mhuang@sunypoly.edu [College of Nanoscale Science and Engineering, State University of New York Polytechnic Institute, Albany, New York 12203 (United States)

    2016-07-28

    This work reports on the effects of a deep high-dose hydrogen ion implant on damage accumulation, defect retention, and silver diffusion in silver implanted ZnO crystals. Single-crystal ZnO samples were implanted with Ag ions in a region ∼150 nm within the surface, and some of these samples were additionally implanted with hydrogen ions to a dose of 2 × 10{sup 16 }cm{sup −2}, close to the depth ∼250 nm. Rutherford backscattering/ion channeling measurements show that crystal damage caused by Ag ion implantation and the amount of defects retained in the near surface region following post-implantation annealing were found to diminish in the case with the H implantation. On the other hand, the additional H ion implantation resulted in a reduction of substitutional Ag atoms upon post-implantation annealing. Furthermore, the presence of H also modified the diffusion properties of Ag atoms in ZnO. We discuss these findings in the context of the effects of nano-cavities on formation and annihilation of point defects as well as on impurity diffusion and trapping in ZnO crystals.

  17. Development of a high current ion implanter

    International Nuclear Information System (INIS)

    Choi, Byung Ho; Kim, Wan; Jin, Jeong Tae

    1990-01-01

    A high current ion implanter of the energy of 100 Kev and the current of about 100 mA has been developed for using the high dose ion implantation, surface modification of steels and ceramics, and ion beam milling. The characteristics of the beam extraction and transportation are investigated. A duoPIGatron ion source compatible with gas ion extraction of about 100 mA, a single gap acceleration tube which is able to compensate the divergence due to the space charge effect, and a beam transport system with the concept of the space charge neutralization are developed for the high current machine. The performance of the constructed machine shows that nitrogen, argon, helium, hydrogen and oxygen ion beams are successfully extracted and transported at a beam divergence due to space charge effect is negligible in the operation pressure of 2 x 10 -5 torr. (author)

  18. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    International Nuclear Information System (INIS)

    Fu Mingyue; Tsai, J.-H.; Yang, C.-F.; Liao, C.-H.

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3x10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  19. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  20. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  1. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  2. Enhanced Wear Properties of Steel : A Combination of Ion Implantation Metallurgy and Laser Metallurgy

    NARCIS (Netherlands)

    Beurs, H. de; Hosson, J.Th.M. De

    1988-01-01

    Laser surface melting of a chromium steel results in tensile stresses, which have deleterious effects on its wear behaviour. Implantations at 360 K with neon and nitrogen have been carried out in order to convert these stresses into compressive ones. Herewith an additional increase in hardness has

  3. Does Ferrule Effect Affect Implant-Abutment Stability?

    Science.gov (United States)

    Mohajerfar, Maryam; Beyabanaki, Elaheh; Geramy, Allahyar; Siadat, Hakimeh; Alikhasi, Marzieh

    2016-12-01

    This study investigated the influence of placing implant-supported crowns on the torque loss of the abutment screw before and after loading. Twenty implant-abutment assemblies were randomly assigned to two groups. The first group was consisted of abutments with abutment-level finishing line (abutment-level), and in the second group the crown margin was placed on the implant shoulder (implant-level). Initial torque loss was recorded for all specimens. After 500000 cyclic load of 75 N and frequency of 2 Hz, post loading torque loss was recorded. Finite element model of each group was also modeled and screw energy, and stress were analyzed and compared between two groups. ANOVA for repeated measurements showed that the torque loss did not change significantly after cyclic loading (P=0.73). Crown margin also had no significant effect on the torque loss (P=0.56). However, the energy and stress of screw in abutment-level model (4.49 mJ and 22.74 MPa) was higher than implant-level model (3.52 mJ and 20.81 MPa). Although embracing the implant with crown produced less stress and energy in the abutment-implant screw, it did not have any significant influence on the torque loss of the screw. Copyright© 2016 Dennis Barber Ltd

  4. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  5. A 60 keV implanter for metals

    International Nuclear Information System (INIS)

    Leutenecker, R.; Ryssel, H.; Zeller, K.-H.; Spoehrle, H.P.

    1985-01-01

    The design and preliminary performance data of a 60 keV high current implanter for the implantation of non-separated ion beams into metals are described. The target chamber accepts samples up to 70 cm in diameter and is equipped with holders to implant ball bearings, axles and other components which have to be rotated during implantation. Samples are mounted on a rugged table which can move up to 35 kg for +-35 cm in the x and y directions. The implanter, including the vacuum system, is completely computer controlled. The ion source is equipped with an oven having a maximum temperature of 1200 0 C and can deliver ion beams of nitrogen as well as different metal ions such as tin and silver with currents up to 10 mA. (Auth.)

  6. Developments in the implantation of moulding tools

    International Nuclear Information System (INIS)

    Dearnaley, G.; Delves, B.G.

    1983-01-01

    The process of surface hardening by the ion implantation of nitrogen has proved to be remarkably effective for the treatment of moulds, and indeed the whole range of screws, nozzles, sprue bushes, etc., as well as dies for injection moulding or extrusion of filled plastics. Implantation equipment, based upon our designs, is now available commercially. Corrosion and pitting of moulds can be reduced by a new ionic treatment known as ion beam mixing. A thin coating of protective material, such as chromium on silicon, is bombarded with ions so as to mix or key it to the mould surface. Alternatively, hydrocarbon vapour can be cracked on to the metal surface to form a tenacious and protective carbon film. Industrial applications for this novel process are now being sought. (author)

  7. Effect of nitrogen supply on leaf growth, leaf nitrogen economy and photosynthetic capacity in potato

    NARCIS (Netherlands)

    Vos, J.; Putten, van der P.E.L.

    1998-01-01

    Literature reports show little effect of nitrogen supply on radiation use efficiency in potato and in other dicotyledonous C3 species. This paper tests the hypothesis that potato reduces leaf size rather than leaf nitrogen concentration and photosynthetic capacity when nitrogen is in short supply.

  8. Damage accumulation in nitrogen implanted 6H-SiC: Dependence on the direction of ion incidence and on the ion fluence

    International Nuclear Information System (INIS)

    Zolnai, Z.; Ster, A.; Khanh, N. Q.; Battistig, G.; Lohner, T.; Gyulai, J.; Kotai, E.; Posselt, M.

    2007-01-01

    The influence of crystallographic orientation and ion fluence on the shape of damage distributions induced by 500 keV N + implantation at room temperature into 6H-SiC is investigated. The irradiation was performed at different tilt angles between 0 degree sign and 4 degree sign with respect to the crystallographic axis in order to consider the whole range of beam alignment from channeling to random conditions. The applied implantation fluence range was 2.5x10 14 -3x10 15 cm -2 . A special analytical method, 3.55 MeV 4 He + ion backscattering analysis in combination with channeling technique (BS/C), was employed to measure the disorder accumulation simultaneously in the Si and C sublattices of SiC with good depth resolution. For correct energy to depth conversion in the BS/C spectra, the average electronic energy loss per analyzing He ion for the axial channeling direction was determined. It was found that the tilt angle of nitrogen implantation has strong influence on the shape of the induced disorder profiles. Significantly lower disorder was found for channeling than for random irradiation. Computer simulation of the measured BS/C spectra showed the presence of a simple defect structure in weakly damaged samples and suggested the formation of a complex disorder state for higher disorder levels. Full-cascade atomistic computer simulation of the ion implantation process was performed to explain the differences in disorder accumulation on the Si and C sublattices. The damage buildup mechanism was interpreted with the direct-impact, defect-stimulated amorphization model in order to understand damage formation and to describe the composition of structural disorder versus the ion fluence and the implantation tilt angle

  9. Effects of ion-implanted C on the microstructure and surface mechanical properties of Fe alloys implanted with Ti

    International Nuclear Information System (INIS)

    Follstaedt, D.M.; Knapp, J.A.; Pope, L.E.; Yost, F.G.; Picraux, S.T.

    1984-01-01

    The microstructural and tribological effects of ion implanting C into Ti-implanted, Fe-based alloys are examined and compared to the influence of C introduced by vacuum carburization during Ti implantation alone. The amorphous surface alloy formed by Ti implantation of pure Fe increases in thickness when additional C is implanted at depths containing Ti but beyond the range of carburization. Pin-on-disc tests of 15-5 PH stainless steel show that implantation of both Ti and C reduces friction significantly under conditions where no reduction is obtained by Ti implantation alone; wear depths are also less when C is implanted. All available experimental results can be accounted for by consideration of the thickness and Ti concentration of the amorphous Fe-Ti-C alloy. The thicker amorphous layer on samples implanted with additional C extends tribological benefits to more severe wear regimes

  10. Whole-ecosystem nitrogen effects research in Europe

    International Nuclear Information System (INIS)

    Sullivan, T.J.

    1993-01-01

    There has been an enormous increase during the past few years in the amount of research being conducted in Europe on the effects of atmospheric deposition of nitrogen on aquatic, and especially terrestrial, ecosystems. Nitrogen deposition increases the emissions of N 2 O from forest soils and may decrease CH 4 uptake. Both increased N 2 O production and decreased CH 4 consumption would increase the concentration of greenhouse gases in the atmosphere. Thus there are important linkages between nitrogen deposition (and consequent ecosystem effects) and the release of greenhouse gases that have been implicated in potential global climate change. This paper summarises some of the European research that has been carried out in recent years. The experimental approach has shifted heavily into whole-ecosystem experimental manipulations, which are being conducted across gradients of atmospheric deposition and other environmental factors. Manipulations are focused primarily on coniferous forest ecosystems and involve increasing ambient deposition of sulfur and nitrogen; excluding ambient deposition via the construction of roofs over entire forested plots or catchments; and manipulating climatic factors, especially water availability. Experiments are designed to continue for long periods (i.e., 5-10 years) and are augmented by detailed, process-level studies at the manipulation sites. Results of the broad-scale and detailed studies are being used to build, test, and validate mathematical models that simulate nitrogen processing, nutrient cycling, and water regulation in coniferous forest ecosystems under varying depositional and climatic regimes. Ultimately, these models will be used to predict nitrogen saturation, estimate the critical loads of nitrogen for European forests, and specify emission controls needed to protect European forests and surface waters from the detrimental effects of excess nitrogen deposition. 19 refs., 1 fig., 2 photos

  11. Effect of organic manure on nitrogen mineralization, nitrogen accumulation, nitrogen use efficiency and apparent nitrogen recovery of cauliflower (Braccica oleracea L., var. Botrytis)

    NARCIS (Netherlands)

    Beah, A.A.; Norman, P.E.; Scholberg, J.M.S.; Lantinga, E.A.; Conteh, A.R.

    2015-01-01

    Aims: The main aim of the study was to assess the effects of organic manure on nitrogen mineralization, uptake, use and recovery of cauliflower.
    Methodology: Nitrogen is one of the major yield limiting nutrients in cauliflower production. However, organic manure is applied to supplement soil

  12. Surface modification of ceramics and metals by ion implantation combined with plasma irradiation

    International Nuclear Information System (INIS)

    Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Ikeyama, Masami; Saitoh, Kazuo

    2000-01-01

    To develop a new surface modification technique using ion implantation combined with plasma irradiation, thin film formation by IBAD (Ion Beam Assisted Deposition) and atom relocation processes such as radiation enhanced diffusion and ion beam mixing under high dose implantation have been studied. It was confirmed that the computer simulation code, dynamic-SASAMAL (IBAD version) developed in this research, is quite useful to evaluate ballistic components in film formation by high dose implantation on ceramics and metals, by ion beam mixing of metal-ceramics bi-layer and by the IBAD method including hydrocarbon deposition. Surface modification process of SiC by simultaneous irradiation of ions with a radical beam has also been studied. A composite of SiC and β-Si 3 N 4 was found to be formed on a SiC surface by hot implantation of nitrogen. The amount of β- Si 3 N 4 crystallites increased with increasing the dosage of the hydrogen radical beam during nitrogen implantation. (author)

  13. Optical properties of implanted Xe color centers in diamond

    Science.gov (United States)

    Sandstrom, Russell; Ke, Li; Martin, Aiden; Wang, Ziyu; Kianinia, Mehran; Green, Ben; Gao, Wei-bo; Aharonovich, Igor

    2018-03-01

    Optical properties of color centers in diamond have been the subject of intense research due to their promising applications in quantum photonics. In this work we study the optical properties of Xe related color centers implanted into nitrogen rich (type IIA) and an ultrapure, electronic grade diamond. The Xe defect has two zero phonon lines at ∼794 nm and 811 nm, which can be effectively excited using both green and red excitation, however, its emission in the nitrogen rich diamond is brighter. Near resonant excitation is performed at cryogenic temperatures and luminescence is probed under strong magnetic field. Our results are important towards the understanding of the Xe related defect and other near infrared color centers in diamond.

  14. Human Decisions: Nitrogen Footprints and Environmental Effects

    Science.gov (United States)

    Leach, A. M.; Bleeker, A.; Galloway, J. N.; Erisman, J.

    2012-12-01

    Human consumption choices are responsible for growing losses of reactive nitrogen (Nr) to the environment. Once in the environment, Nr can cause a cascade of negative impacts such as smog, acid rain, coastal eutrophication, climate change, and biodiversity loss. Although all humans must consume nitrogen as protein, the food production process releases substantial Nr to the environment. This dilemma presents a challenge: how do we feed a growing population while reducing Nr? Although top-down strategies to reduce Nr losses (e.g., emissions controls) are necessary, the bottom-up strategies focusing on personal consumption patterns will be imperative to solve the nitrogen challenge. Understanding the effects of different personal choices on Nr losses and the environment is an important first step for this strategy. This paper will utilize information and results from the N-Calculator, a per capita nitrogen footprint model (www.N-Print.org), to analyze the impact of different food consumption patterns on a personal food nitrogen footprint and the environment. Scenarios will analyze the impact of the following dietary patterns on the average United States (28 kg Nr/cap/yr) food nitrogen footprint: 1) Consuming only the recommended protein as defined by the WHO and the USDA; 2) Reducing food waste by 50%; 3) Consuming a vegetarian diet; 4) Consuming a vegan diet; 5) Consuming a demitarian diet (replacing half of animal protein consumption with vegetable protein); 6) Substituting chicken (a more efficient animal protein) with beef (a less efficient animal protein); 7) Consuming sustainably-produced food; and 8) Using advanced wastewater treatment. Preliminary results suggest that widespread advanced wastewater treatment with nutrient removal technology and halving food waste would each reduce the US personal food nitrogen footprint by 13%. In addition, reducing protein consumption to the recommended levels would reduce the footprint by about 42%. Combining these measures

  15. Effects of Controlled-Release Urea on Grain Yield of Spring Maize, Nitrogen Use Efficiency and Nitrogen Balance

    Directory of Open Access Journals (Sweden)

    JI Jing-hong

    2017-03-01

    Full Text Available The effects of mixing controlled-released urea (CRU (release period of resin coated urea is 90 days and urea (U on maize yield, nitrogen use efficiency and nitrogen balance were studied by 4 plot experiments (site:Shuangcheng, Binxian, Harbin and Zhaoyuan in two years (from year 2011 to 2012 to clarify the effect of controlled release urea on spring maize and soil nitrogen balance. Results were as follow:Spring maize yield and nitrogen absorption were increased with the increasing nitrogen fertilizer. Compared with applying urea treatment, applying CRU could increase yield, nitrogen absorption, nitrogen use efficiency, agriculture efficiency of nitrogen and nitrogen contribution rate. Under the same amount of nitrogen (100%, 75%, 50%, compared with 100% U as basic fertilizer treatment, maize yield of 100% CRU treatment increased 391, 427, 291 kg·hm-2, nitrogen use efficiency increased by 5.9%,4.9% and 5.1%, agriculture efficiency of nitrogen increased 2.0, 2.6, 2.6 kg·kg-1, and nitrogen contribution rate increased 2.7%, 3.1% and 2.4%, respectively. The value of maize yield, nitrogen absorption, nitrogen use efficiency and agriculture efficiency of nitrogen between the treatment four (40% urea as basic fertilizer+60% urea as topdressing and treatment five (40% urea plus 60% controlled release urea as basic fertilizer were similar. Apparent profit and loss of nitrogen decreased with the increase of nitrogen nitrogen fertilizer. Nitrogen apparent loss by applying 100% controlled release urea was reduced of 15.0 kg·hm-2 than applying 100% U treatment;Nitrogen apparent loss amount was decreased of 23.9 kg·hm-2 under treatment five. The method of mixing 40% urea and 60% controlled release urea should be applied in maize production in Heilongjiang Province.

  16. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Yang, C.; Dzurak, A.S.; Yang, C.; Clark, R.G.; Yang, C.

    2005-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because it will be necessary to control quantum states at the level of individual atoms, electrons or photons. We have developed a pathway to the construction of quantum devices using ion implantation and demonstrate, using charge transport analysis, that the devices exhibit single electron effects. We construct devices that employ two P donors in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved leading to the fabrication of prototype devices that display quantum effects in the transport of single charge quanta between the islands of implanted donors. (author). 9 refs., 4 figs., 1 tab

  17. Effect of residual nitrogen and fertilizer nitrogen on sugar beet production in Finland

    Directory of Open Access Journals (Sweden)

    Veikko Brummer

    1974-09-01

    Full Text Available Preliminary determinations for NO3- and NH4-N in topsoil from nitrogen field experiments are discussed. The amounts of residual nitrogen as well as the dates and depth for sampling are considerd in order to investigate the need of fertilizer-N for continuous sugar beet. Tops ploughed down as manure increased the available soil nitrogen by about 50 kg/ha. In practice nitrogen from fertilizer and farmyard manure given to previous beet crops seems to accumulate in the beet soils of Finland. The concentrations of nitrate and ammonium nitrogen in topsoil were low in the spring of 1972 and 1973. NO3-N increased in topsoil during the early summer, and the highest concentrations were found at the beginning of July. Starting from the middle of July the amount of NH4-N began to increase both in topsoil and in subsoil. With increasing amounts of nitrogen in the topsoil the sugar content decreases continuously. Also the α-amio N content of beets correlates with the soil nitrogen. There is experimental evidence that 150 180 kg/ha nitrate nitrogen in topsoil (residual + fertilizer N in early July gives the best economic result. The effects of fertilizer and accumulated soil nitrogen on the sugar beet quality together with som other experimental data have been statistically analysed. Regression coefficients indicated that both forms of nitrogen affected the suger content, the α-amino N concentration and clear juice purity, in a similar way.

  18. Effects of pore size, implantation time, and nano-surface properties on rat skin ingrowth into percutaneous porous titanium implants.

    Science.gov (United States)

    Farrell, Brad J; Prilutsky, Boris I; Ritter, Jana M; Kelley, Sean; Popat, Ketul; Pitkin, Mark

    2014-05-01

    The main problem of percutaneous osseointegrated implants is poor skin-implant integration, which may cause infection. This study investigated the effects of pore size (Small, 40-100 μm and Large, 100-160 μm), nanotubular surface treatment (Nano), and duration of implantation (3 and 6 weeks) on skin ingrowth into porous titanium. Each implant type was percutaneously inserted in the back of 35 rats randomly assigned to seven groups. Implant extrusion rate was measured weekly and skin ingrowth into implants was determined histologically after harvesting implants. It was found that all three types of implants demonstrated skin tissue ingrowth of over 30% (at week 3) and 50% (at weeks 4-6) of total implant porous area under the skin; longer implantation resulted in greater skin ingrowth (p skin integration with the potential for a safe seal. Copyright © 2013 Wiley Periodicals, Inc.

  19. Shift in room-temperature photoluminescence of low-fluence Si{sup +}-implanted SiO{sub 2} films subjected to rapid thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Mingyue, Fu [Department of Avionics Engineering, Air Force Academy, Kangshan, Kaohsiung 820, Taiwan (China); Tsai, J -H [Department of Mathematics and Physics, Air Force Academy, Kangshan, Kaohsiung 820, Taiwan (China); Yang, C -F [Department of Chemical and Materials Engineering, National Kaohsiung University, Nan-Tzu District, Kaohsiung 811, Taiwan (China); Liao, C.-H. [Department of Physics, Chinese Military Academy, Fengshan, Kaohsiung 830, Taiwan (China)], E-mail: fumy@cc.cafa.edu.tw

    2008-12-15

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO{sub 2} films implanted by different doses of Si{sup +} ions. Room-temperature PL from 400-nm-thick SiO{sub 2} films implanted to a dose of 3x10{sup 16} cm{sup -2} shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO{sub 2} films followed by RTA may be effective for tuning Si-based photonic devices.

  20. Doping of silicon carbide by ion implantation; Dopage du carbure de silicium par implantation ionique

    Energy Technology Data Exchange (ETDEWEB)

    Gimbert, J

    1999-03-04

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  1. Effects of pore size, implantation time and nano-surface properties on rat skin ingrowth into percutaneous porous titanium implants

    OpenAIRE

    Farrell, Brad J.; Prilutsky, Boris I.; Ritter, Jana M.; Kelley, Sean; Popat, Ketul; Pitkin, Mark

    2013-01-01

    The main problem of percutaneous osseointegrated implants is poor skin-implant integration, which may cause infection. This study investigated the effects of pore size (Small, 40–100 microns and Large, 100–160 microns), nanotubular surface treatment (Nano), and duration of implantation (3 and 6 weeks) on skin ingrowth into porous titanium. Each implant type was percutaneously inserted in the back of 35 rats randomly assigned to 7 groups. Implant extrusion rate was measured w...

  2. Yttrium implantation effects on extra low carbon steel and pure iron

    Energy Technology Data Exchange (ETDEWEB)

    Caudron, E.; Buscail, H. [Clermont-Ferrand-2 Univ., Le Puy en Velay (France). Lab. Vellave d`Elaboration; Jacob, Y.P.; Stroosnijder, M.F. [Institute for Advanced Materials, Joint Research Center, The European Commission, 21020, Ispra (Vatican City State, Holy See) (Italy); Josse-Courty, C. [Laboratoire de Recherche sur la Reactivite des Solides, UMR 56-13 CNRS, UFR Sciences et Techniques, 9 Avenue A. Savary, B.P. 400, 21011, Dijon Cedex (France)

    1999-05-25

    Extra low carbon steel and pure electrolytic iron samples were yttrium implanted using ion implantation technique. Compositions and structures of pure iron and steel samples were investigated before and after yttrium implantation by several analytical and structural techniques (RBS, SIMS, RHEED and XRD) to observe the yttrium implantation depth profiles in the samples. This paper shows the different effects of yttrium implantations (compositions and structures) according to the implanted sample nature. (orig.) 23 refs.

  3. Unconstrained tripolar hip implants: effect on hip stability.

    Science.gov (United States)

    Guyen, Olivier; Chen, Qing Shan; Bejui-Hugues, Jacques; Berry, Daniel J; An, Kai-Nan

    2007-02-01

    Tripolar implants were developed to treat unstable total hip arthroplasties. However, there is limited confirmation that they achieve this purpose despite their increasing use. Because they have a larger effective head size, these implants are expected to increase range of motion to impingement and improve stability in situations at risk for impingement compared with conventional implants. We assessed the range of motion to impingement using a tripolar implant mounted to an automated hip simulator using 22.2-mm and 28-mm femoral head sizes. The 22 and 28-mm tripolar implants provided increases of 30.5 degrees in flexion, 15.4 degrees in adduction, and 22.4 degrees in external rotation compared with the conventional 22.2-mm femoral head diameter implant. At the critical position of 90 degrees hip flexion, there was an increase of 45.2 degrees in internal rotation. At 0 degrees and 30 degrees external rotation, extension increases were 18.8 degrees and 7.8 degrees, respectively. Bony impingement was the limiting factor. Tripolar implants increased the arc of motion before impingement in positions at risk for dislocation and are expected to provide greater stability.

  4. The appearance and effects of metallic implants in CT images

    International Nuclear Information System (INIS)

    Kairn, T.; Crowe, S.B.; Trapp, J.V.; Fogg, P.

    2013-01-01

    The computed tomography (CT) imaging artefacts that metallic medical implants produce in surrounding tissues are usually contoured and over-ridden during radiotherapy treatment planning. In cases where radiotherapy treatment beams unavoidably pass though implants, it is especially important to understand the imaging artefacts that may occur within the implants themselves. This study examines CT images of a set of simple metallic objects, immersed in water, in order to evaluate reliability and variability of CT numbers (Hounsfield units, HUs) within medical implants. Model implants with a range of sizes (heights from 2.2 to 49.6 mm), electron densities (from 2.3 to 7.7 times the electron density of water) and effective atomic numbers (from 3.9 to 9.0 times the effective atomic number of water in a CT X-ray beam) were created by stacking metal coins from several currencies. These 'implants' were CT scanned within a large (31.0 cm across) and a small (12.8 cm across) water phantom. Resulting HU values are as much as 50 % lower than the result of extrapolating standard electron density calibration data (obtained for tissue and bone densities) up to the metal densities and there is a 6 % difference between the results obtained by scanning with 120 and 140 kVp tube potentials. Profiles through the implants show localised cupping artefacts, within the implants, as well as a gradual decline in HU outside the implants that can cause the implants' sizes to be over estimated by 1.3–9.0 mm. These effects are exacerbated when the implants are scanned in the small phantom or at the side of the large phantom, due to reduced pre-hardening of the X-ray beam in these configurations. These results demonstrate the necessity of over-riding the densities of metallic implants, as well as their artefacts in tissue, in order to obtain accurate radiotherapy dose calculations.

  5. The appearance and effects of metallic implants in CT images.

    Science.gov (United States)

    Kairn, T; Crowe, S B; Fogg, P; Trapp, J V

    2013-06-01

    The computed tomography (CT) imaging artefacts that metallic medical implants produce in surrounding tissues are usually contoured and over-ridden during radiotherapy treatment planning. In cases where radiotherapy treatment beams unavoidably pass though implants, it is especially important to understand the imaging artefacts that may occur within the implants themselves. This study examines CT images of a set of simple metallic objects, immersed in water, in order to evaluate reliability and variability of CT numbers (Hounsfield units, HUs) within medical implants. Model implants with a range of sizes (heights from 2.2 to 49.6 mm), electron densities (from 2.3 to 7.7 times the electron density of water) and effective atomic numbers (from 3.9 to 9.0 times the effective atomic number of water in a CT X-ray beam) were created by stacking metal coins from several currencies. These 'implants' were CT scanned within a large (31.0 cm across) and a small (12.8 cm across) water phantom. Resulting HU values are as much as 50 % lower than the result of extrapolating standard electron density calibration data (obtained for tissue and bone densities) up to the metal densities and there is a 6 % difference between the results obtained by scanning with 120 and 140 kVp tube potentials. Profiles through the implants show localised cupping artefacts, within the implants, as well as a gradual decline in HU outside the implants that can cause the implants' sizes to be over estimated by 1.3-9.0 mm. These effects are exacerbated when the implants are scanned in the small phantom or at the side of the large phantom, due to reduced pre-hardening of the X-ray beam in these configurations. These results demonstrate the necessity of over-riding the densities of metallic implants, as well as their artefacts in tissue, in order to obtain accurate radiotherapy dose calculations.

  6. Application of ion implantation in metals and alloys

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1981-01-01

    Ion implantation first became established as a precise method of introducing dopant elements into semiconductors. It is now appreciated that there may be equally important applications in metallic tools or components with the purpose of improving their resistance to wear, fatigue or corrosion. Nitrogen ions implanted into steels pin dislocations and thereby harden the metal. Some metallic ions such as yttrium reduce the tendency for oxidative wear. There is a fairly good understanding of how both treatments can provide a long-lasting protection that extends to many times the original depth of implantation. Nitrogen implantation also improves the wear resistance of Co-cemented tungsten carbide and of hard chromium electroplated coatings. These treatments have wide application in press tools, molds, dies and other metal-forming tools as well as in a more limited variety of cutting tools. Some striking improvements can be achieved in the corrosion field, but there are economic and technical reasons for concluding that practical applications of ion implantation will be more restricted and specialized in this area. The most promising area is that in which mechanical stress and oxidation coexist. When a metallic species has to be introduced, a promising new development is to bombard a thin coating of the metal at an elevated temperature. Several powerful mechanisms of radiation-enhanced diffusion can bring about a complete intermixing. Examples of how this has been used to produce wear resistant surfaces in titanium are given. Finally, the equipment developed for the large scale application of the ion implantation process in the engineering field is described

  7. Aluminum surface modification by a non-mass-analyzed nitrogen ion beam

    Science.gov (United States)

    Ohira, Shigeo; Iwaki, Masaya

    Non-mass-analyzed nitrogen ion implantation into polycrystal and single crystal aluminum sheets has been carried out at an accelerating voltage of 90 kV and a dose of 1 × 10 18 N ions/cm 2 using a Zymet implanter model Z-100. The pressure during implantation rose to 10 -3 Pa due to the influence of N gas feeding into the ion source. The characteristics of the surface layers were investigated by means of Auger electron spectroscopy (AES), X-ray diffraction (XRD), transmission electron diffraction (TED), and microscopy (TEM). The AES depth profiling shows a rectangular-like distribution of N atoms and little migration of O atoms near the surface. The high dose N-implantation forms c-axis oriented aluminum nitride (AIN) crystallines, and especially irradiation of Al single crystals with N ions leads to the formation of a hcp AlN single crystal. It is concluded that the high dose N-implantation in Al can result in the formation of AlN at room temperature without any thermal annealing. Furthermore, non-mass-analyzed N-implantation at a pressure of 10 -3 Pa of the nitrogen atmosphere causes the formation of pure AlN single crystals in the Al surface layer and consequently it can be practically used for AlN production.

  8. The effect of melatonin implants administered from December until ...

    African Journals Online (AJOL)

    Administrator

    2016-06-28

    Jun 28, 2016 ... implantation had no effect on the growth rate of cashmere, except from ... The treated goats received subcutaneous melatonin implants (Beijing Kangtai Biological Technology .... 2 Litter size = mean number of kids per birth.

  9. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  10. [Effects of nitrogen and irrigation water application on yield, water and nitrogen utilization and soil nitrate nitrogen accumulation in summer cotton].

    Science.gov (United States)

    Si, Zhuan Yun; Gao, Yang; Shen, Xiao Jun; Liu, Hao; Gong, Xue Wen; Duan, Ai Wang

    2017-12-01

    A field experiment was carried out to study the effects of nitrogen and irrigation water application on growth, yield, and water and nitrogen use efficiency of summer cotton, and to develop the optimal water and nitrogen management model for suitable yield and less nitrogen loss in summer cotton field in the Huang-Huai region. Two experimental factors were arranged in a split plot design. The main plots were used for arranging nitrogen factor which consisted of five nitrogen fertilizer le-vels(0, 60, 120, 180, 240 kg·hm -2 , referred as N 0 , N 1 , N 2 , N 3 , N 4 ), and the subplots for irrigation factor which consisted of three irrigation quota levels (30, 22.5, 15 mm, referred as I 1 , I 2 , I 3 ). There were 15 treatments with three replications. Water was applied with drip irrigation system. Experimental results showed that both irrigation and nitrogen fertilization promoted cotton growth and yield obviously, but nitrogen fertilizer showed more important effects than irrigation and was the main factor of regulating growth and yield of summer cotton in the experimental region. With the increase of nitrogen fertilization rate and irrigation amount, the dry mater accumulation of reproductive organs, the above-ground biomass at the flowering-bolling stage and seed cotton yield increased gradually, reached peak values at nitrogen fertilization rate of 180 kg·hm -2 and decreased slowly with the nitrogen fertilization rate further increased. The maximum yield of 4016 kg·hm -2 was observed in the treatment of N 3 I 1 . Increasing nitrogen fertilizer amount would improve significantly total N absorption of shoots and N content of stem and leaf, but decrease nitrogen partial factor productivity. The maximum irrigation-water use efficiency of 5.40 kg·m -3 and field water use efficiency of 1.24 kg·m -3 were found in the treatments of N 3 I 3 and N 3 I 1 , respectively. With increasing nitrogen fertilization amount, soil NO 3 - -N content increased and the main soil

  11. Corrosion resistance of modified layer on uranium formed by plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Long Zhong; Liu Kezhao; Bai Bin; Yan Dongxu

    2010-01-01

    Nitrogen ion was implanted into uranium surface using plasma immersion ion implantation, and the corrosion resistance of modified layer was studied by corrosion experiment. SEM was used to observe variety of samples surface. In atmosphere, the sample surface had not changed during five months. In heat-humid environment, there was dot-corrosion appearing after two months, but it did not influence the integrity of the modified layer. AES was used to study the diffusion of oxygen and nitrogen during hot-humid corrosion, in three months, both of two elements diffused to the substrate, but the diffusion was weak. The structure of modified layer was not changed. Experimental results show that the modified layer formed by plasma immersion ion implantation has good corrosion resistance.

  12. Corrosion resistance of modified layer on uranium formed by plasma immersion ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Long Zhong, E-mail: long2001@163.co [China Academy of Engineering Physics, Mianyang, Sichuan, 621900 (China); Liu Kezhao; Bai Bin; Yan Dongxu [China Academy of Engineering Physics, Mianyang, Sichuan, 621900 (China)

    2010-02-18

    Nitrogen ion was implanted into uranium surface using plasma immersion ion implantation, and the corrosion resistance of modified layer was studied by corrosion experiment. SEM was used to observe variety of samples surface. In atmosphere, the sample surface had not changed during five months. In heat-humid environment, there was dot-corrosion appearing after two months, but it did not influence the integrity of the modified layer. AES was used to study the diffusion of oxygen and nitrogen during hot-humid corrosion, in three months, both of two elements diffused to the substrate, but the diffusion was weak. The structure of modified layer was not changed. Experimental results show that the modified layer formed by plasma immersion ion implantation has good corrosion resistance.

  13. Effectiveness of Implant Therapy Analyzed in a Swedish Population: Prevalence of Peri-implantitis.

    Science.gov (United States)

    Derks, J; Schaller, D; Håkansson, J; Wennström, J L; Tomasi, C; Berglundh, T

    2016-01-01

    Peri-implantitis is an inflammatory disease affecting soft and hard tissues surrounding dental implants. As the global number of individuals that undergo restorative therapy through dental implants increases, peri-implantitis is considered as a major and growing problem in dentistry. A randomly selected sample of 588 patients who all had received implant-supported therapy 9 y earlier was clinically and radiographically examined. Prevalence of peri-implantitis was assessed and risk indicators were identified by multilevel regression analysis. Forty-five percent of all patients presented with peri-implantitis (bleeding on probing/suppuration and bone loss >0.5 mm). Moderate/severe peri-implantitis (bleeding on probing/suppuration and bone loss >2 mm) was diagnosed in 14.5%. Patients with periodontitis and with ≥4 implants, as well as implants of certain brands and prosthetic therapy delivered by general practitioners, exhibited higher odds ratios for moderate/severe peri-implantitis. Similarly, higher odds ratios were identified for implants installed in the mandible and with crown restoration margins positioned ≤1.5 mm from the crestal bone at baseline. It is suggested that peri-implantitis is a common condition and that several patient- and implant-related factors influence the risk for moderate/severe peri-implantitis (ClinicalTrials.gov NCT01825772). © International & American Associations for Dental Research 2015.

  14. The effect of implant number and position on the stress behavior of mandibular implant retained overdentures: A three-dimensional finite element analysis.

    Science.gov (United States)

    Topkaya, Tolga; Solmaz, Murat Yavuz

    2015-07-16

    The present study evaluated the effects of ball anchor abutment attached to implants with a 4.30 mm diameter and 11 mm insert length on stress distribution in a patient without any remaining teeth in the lower jaw. In the study, the stress analysis was performed for five different configurations (2 with 4 implant-supported and 3 with 2 implant-supported) and three different loading types using ANSYS Workbench software. The stresses measured in the 4 implant-supported models were lower compared to the stresses measured in the 2 implant-supported models. The stresses on the implants intensified on the cervical region of the implants. When the effects of the loading sites on the stress were examined, the loading on the first molar tooth produced the highest stresses on the implants. Copyright © 2015 Elsevier Ltd. All rights reserved.

  15. Macro design effects on stress distribution around implants: a photoelastic stress analysis.

    Science.gov (United States)

    Ozkir, Serhat Emre; Terzioglu, Hakan

    2012-01-01

    Biomechanics is one of the main factors for achieving long-term success of implant supported prostheses. Long-term failures mostly depend on biomechanical complications. It is important to distinguish the effects of macro design of the implants. In this study, the photoelastic response of four different types of implants that were inserted with different angulations were comparatively analyzed. The implant types investigated were screw cylinder (ITI, Straumann AG, Basel, Switzerland), stepped cylinder (Frialit2, Friadent GmbH, Manheim, Germany), root form (Camlog Rootline, Alatatec, Wilshelm, Germany), and cylindrical implant, with micro-threads on the implant neck (Astra, AstraTech, Mölndal, Sweden). In the test models, one of the implants was inserted straight, while the other one was aligned mesially with 15° angles. The superstructures were prepared as single crowns. A 150N loading was applied to the restorations throughout the test. A comparison of the implant designs showed that there were no significant differences between the straight implants; however, between the inclined implants, the most favorable stress distribution was seen with the stepped cylinder implants. The least favorable stress concentration was observed around the root formed implants. Microthreads around the implant neck appeared to be effective in a homogenous stress distribution. Observations showed that misaligned implants caused less stress than straight implants, but the stress concentrations were not homogenous. As there were observable differences between the implant types, straight placed cylindrical implants showed better stress distribution characteristics, while inclined tapering implants had better stress distribution characteristics.

  16. Ion implantation to improve mechanical and electrical properties of resistive materials based on ruthenium dioxide

    International Nuclear Information System (INIS)

    Byeli, A.V.; Shykh, S.K.; Beresina, V.P.

    1996-01-01

    This paper reports the influence of ion implantation, using different chemical species, on the surface micromorphology, wear resistance, coefficient of friction and electrical resistivity, and its variation during friction for resistive materials based on ruthenium dioxide. It is demonstrated that nitrogen and hydrogen ions are the most effective for modifying surface properties. (Author)

  17. Nitrogen-doped graphene: effect of graphite oxide precursors and nitrogen content on the electrochemical sensing properties.

    Science.gov (United States)

    Megawati, Monica; Chua, Chun Kiang; Sofer, Zdenek; Klímová, Kateřina; Pumera, Martin

    2017-06-21

    Graphene, produced via chemical methods, has been widely applied for electrochemical sensing due to its structural and electrochemical properties as well as its ease of production in large quantity. While nitrogen-doped graphenes are widely studied materials, the literature showing an effect of graphene oxide preparation methods on nitrogen quantity and chemical states as well as on defects and, in turn, on electrochemical sensing is non-existent. In this study, the properties of nitrogen-doped graphene materials, prepared via hydrothermal synthesis using graphite oxide produced by various classical methods using permanganate or chlorate oxidants Staudenmaier, Hummers, Hofmann and Brodie oxidation methods, were studied; the resulting nitrogen-doped graphene oxides were labeled as ST-GO, HU-GO, HO-GO and BR-GO, respectively. The electrochemical oxidation of biomolecules, such as ascorbic acid, uric acid, dopamine, nicotinamide adenine nucleotide and DNA free bases, was carried out using cyclic voltammetry and differential pulse voltammetry techniques. The nitrogen content in doped graphene oxides increased in the order ST-GO graphene followed this trend, as shown in the cyclic voltammograms. This is a very important finding that provides insight into the electrocatalytic effect of N-doped graphene. The nitrogen-doped graphene materials exhibited improved sensitivity over bare glassy carbon for ascorbic acid, uric acid and dopamine detection. These studies will enhance our understanding of the effects of graphite oxide precursors on the electrochemical sensing properties of nitrogen-doped graphene materials.

  18. An in vivo assessment of the effects of using different implant abutment occluding materials on implant microleakage and the peri-implant microbiome

    Science.gov (United States)

    Rubino, Caroline

    points were used to sample the peri-implant sulcus bacteria. All samples were then submitted to DNA purification, polymerase chain reaction (PCR), and sequencing protocols to assess relative numbers of bacterial species. Periodontal parameters were collected at both time points. Overall, our findings support several conclusions. Different implant abutment channel occluding materials appear to have no effect on the amount of observed microleakage and the peri-implant microbiome. Evidence for microleakage was found in the present study, corroborating existing in vivo evidence. Finally, we gained several insights regarding the peri implant microbiome. Of note, the peri-implant microbiome is well described by the classical periodontal microbial complexes, but a large portion consists of bacteria not previously classified into the microbial complexes.

  19. Effects of nitrogen and nitrogen getters in lithium on the corrosion of type 316 stainless steel

    International Nuclear Information System (INIS)

    Tortorelli, P.F.; DeVan, J.H.; Selle, J.E.

    1979-01-01

    This paper presents preliminary results on the corrosion of type 316 stainless steel in nitrogen-contaminated lithium. Nitrogen is a principal interstitial impurity in lithium and has a significant detrimental effect on compatibility, while O, H, and C in lithium do not enhance corrosion of type 316 stainless steel. Because of this, there is a need to understand the corrosion mechanisms and kinetics associated with nitrogen-induced attack in lithium. Results from experiments with getters in nitrogen-contaminated lithium are also reported

  20. Effect of annealing on properties of gallium-nitrogen Co-doped zinc oxide thin films prepared by sputtering and ion implantation

    International Nuclear Information System (INIS)

    Flickyngerova, S.; Vojs, M.; Novotny, I.; Tvarozek, V.; Shtereva, K. S.; Sutta, P.; Vincze, A.; Milosavlevic, M.; Jeynes, Ch.; Peng, N.

    2012-01-01

    In this paper we report an influence of post-implantation annealing (in O 2 and N 2 up to 600 grad C) on electrical and structural properties of RF sputtered ZnO:Ga thin films implanted by double energy (40 keV and 80 keV) N + ions. (authors)

  1. The effect of inter-implant distance on retention and resistance to dislodging forces for mandibular implant-tissue-supported overdentures.

    Directory of Open Access Journals (Sweden)

    Farhad Tabatabaian

    2014-10-01

    Full Text Available The effect of inter-implant distance on retention and resistance of implant-tissue-supported overdentures is lacking in the literature. An in vitro study was performed to evaluate this effect for mandibular implant-tissue-supported overdentures retained by two ball attachments.An acrylic cast of an edentulous mandible was fabricated. Three pairs of implants were symmetrically placed at both sides of the midline. The inter-implant distance was 10, 25, and 35 millimeters in positions A, B and C, respectively. A framework simulating the overdenture was fabricated on the cast. Six attachment housings were placed within the overdenture. For each sample, two ball abutments were screwed onto the implant pairs and two pink nylon inserts were seated in their respective attachment housings. The samples were tested in three groups of 15 (A, B, and C. The testing machine applied tensile dislodging forces and peak loads were measured in three directions: vertical, oblique, and anterior-posterior. A one-way ANOVA followed by Tukey's HSD was used to determine groups that were significantly different. Tests were carried out at 0.05 level of significance.Peak loads for the anterior-posteriorly directed dislodging forces were significantly the highest for group C (P0.05.Inter-implant distance did not affect the vertical retention and oblique resistance of mandibular implant-tissue-supported overdentures; however, it affected anterior-posterior resistance.

  2. Effects of Cl+ and F+ implantation of oxidation-induced stacking faults in silicon

    NARCIS (Netherlands)

    Xu, J.Y.; Bronsveld, P.M.; Boom, G.; Hosson, J.Th.M. De

    1984-01-01

    Three implantation effects were investigated in floating-zone-grown silicon: (a) the effect of Cl+ implantation resulting in the shrinkage of oxidation-induced stacking faults; (b) the effect of F+ implantation giving rise to defaulting of the 1/3 [111] Frank dislocations into 1/2[110] perfect

  3. Effect of Macrogeometry on the Surface Topography of Dental Implants.

    Science.gov (United States)

    Naves, Marina Melo; Menezes, Helder Henrique Machado; Magalhães, Denildo; Ferreira, Jessica Afonso; Ribeiro, Sara Ferreira; de Mello, José Daniel Biasoli; Costa, Henara Lillian

    2015-01-01

    Because the microtopography of titanium implants influences the biomaterial-tissue interaction, surface microtexturing treatments are frequently used for dental implants. However, surface treatment alone may not determine the final microtopography of a dental implant, which can also be influenced by the implant macrogeometry. This work analyzed the effects on surface roughness parameters of the same treatment applied by the same manufacturer to implants with differing macro-designs. Three groups of titanium implants with different macro-designs were investigated using laser interferometry and scanning electron microscopy. Relevant surface roughness parameters were calculated for different regions of each implant. Two flat disks (treated and untreated) were also investigated for comparison. The tops of the threads and the nonthreaded regions of all implants had very similar roughness parameters, independent of the geometry of the implant, which were also very similar to those of flat disks treated with the same process. In contrast, the flanks and valleys of the threads presented larger irregularities (Sa) with higher slopes (Sdq) and larger developed surface areas (Sdr) on all implants, particularly for implants with threads with smaller heights. The flanks and valleys displayed stronger textures (Str), particularly on the implants with threads with larger internal angles. Parameters associated with the height of the irregularities (Sa), the slope of the asperities (Sdq), the presence of a surface texture (Str), and the developed surface area of the irregularities (Sdr) were significantly affected by the macrogeometry of the implants. Flat disks subjected to the same surface treatment as dental implants reproduced only the surface topography of the flat regions of the implants.

  4. Effects of ion implantation on the hardness and friction behaviour of soda-lime silica glass

    International Nuclear Information System (INIS)

    Bull, S.J.; Page, T.F.

    1992-01-01

    Ion implantation-induced changes in the near-surface mechanical properties of soda-lime silica glass have been investigated by indentation and scratch testing and have been found to be more complicated than changes in the corresponding properties of crystalline ceramic materials. Argon, nitrogen, carbon and potassium ions were used with energies in the range 45-300 keV. Hardness and scratch friction tests were performed under ambient laboratory conditions. At low doses, a decrease in hardness and an increase in both friction and surface stress are observed which are attributed to the electronic damage produced by ion implantation. At higher doses, the hardness increases again and a maximum is produced similar to the behaviour observed for crystalline materials. Similarly there is found to be a second stress and friction peak at this dose. This behaviour is shown to be due to the build-up of displacement damage produced by ion implantation and is thus very similar to the radiation hardening (and eventual amorphization) behaviour of ion-implanted crystalline ceramics. For glass, ''amorphization'' probably corresponds to some change in the existing amorphous state which, in turn, is responsible for the reduction in hardness, stress and friction at the highest doses. (author)

  5. Effects of melatonin implantation during the slow period of cashmere ...

    African Journals Online (AJOL)

    This study was conducted to investigate the effects of melatonin implantation during the slow period of cashmere growth on fibre production in Inner Mongolian cashmere goats. It was found that melatonin implantation had no effect on the growth rate of cashmere, except from February to March when the rate of treated goats ...

  6. Effects of Reinforcement on Denture Strain in Maxillary Implant Overdentures: An In Vitro Study Under Various Implant Configurations.

    Science.gov (United States)

    Takahashi, Toshihito; Gonda, Tomoya; Maeda, Yoshinobu

    Maxillary implant overdentures are often designed without palatal coverage to maximize wearer comfort. Although palateless dentures have been reported to be less rigid than conventional dentures, and require reinforcement to prevent complications, there is little documentation about the effects of such reinforcement. The purpose of this study was to examine the effects of reinforcement on the strain on maxillary implant overdentures supported by implants in a variety of configurations. A maxillary edentulous model with implants inserted in the anterior, premolar, and molar area was fabricated. Five types of experimental overdentures, with and without reinforcement, were fabricated, and two strain gauges were attached at the anterior midline of the labial and palatal sides. A vertical occlusal load of 98 N was applied through a mandibular complete denture, and the shear strain on the denture was measured. The measurements were compared using the Kruskal-Wallis test (P = .05). On both the labial and palatal sides, the strain on the palateless dentures with reinforcement was significantly lower than the strain on palateless dentures without reinforcement in all implant configurations (P overdenture with residual ridge reinforcement and a palatal bar could reduce the strain in the anterior midline to almost the same level as a denture with palatal coverage. This type of reinforcement may prevent prosthetic and implant complications.

  7. Nitrogen binding behavior in ZnO films with time-resolved cathodoluminescence

    International Nuclear Information System (INIS)

    Mei, Y.F.; Fu, Ricky K.Y.; Siu, G.G.; Wong, K.W.; Chu, Paul K.; Wang, R.S.; Ong, H.C.

    2006-01-01

    ZnO film with (1 0 0) orientation was produced on silicon substrate and doped with nitrogen using plasma immersion ion implantation. The effects due to N doping were investigated using cathodoluminescence (CL). In the heavily nitrogen-doped ZnO film, the intensity of ultraviolet (UV) band decreases and that of the visible band increases as a function of the electron bombardment cycle i.e. time. Based on the X-ray photoelectron spectroscopy (XPS) analysis, the unstable Zn-N bond is responsible for the CL behavior and the experimental results agree well with the first-principle calculation. Our work is helpful to our understanding of the role of p-type dopants in ZnO

  8. Mutation effect of ion implantation on tomato breeding

    International Nuclear Information System (INIS)

    Wu Baoshan; Ling Haiqiu; Mao Peihong; Jin Xiang; Zeng Xianxian

    2003-01-01

    The mutation effects of N + ion implantation on cultivated tomato, Catchup type and Eatable type were studied. The result show that the mutation ranges of single-fruit weight and fruit number per plant were increased and their mutation frequencies were high, however the effect of ion implantation on germination rate of seed and quality of fruit was very weak. Using doses of 4 x 10 16 and 6 x 10 16 N + /cm 2 , the yield was greatly improved. The optimum mutation dosage was slightly different for seed of 2 tomato lines

  9. Influence of nitrogen ion implantation on filtration of fluoride and cadmium using polysulfone/chitosan blend membranes

    Energy Technology Data Exchange (ETDEWEB)

    Wanichapichart, P., E-mail: pikul.v@psu.ac.th [Membrane Science and Technology Research Center, Department of Physics, Faculty of Science, Prince of Songkla University, Songkhla 90110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Bootluck, W. [Membrane Science and Technology Research Center, Department of Physics, Faculty of Science, Prince of Songkla University, Songkhla 90110 (Thailand); Thopan, P. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D. [Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-05-01

    Composite membranes between polysulfone and biopolymer chitosan were produced with variations of the chitosan content and temperature during the phase inversion process. The lower chitosan content led to lower water permeability and smaller membrane cut off. When the temperature of the phase inversion process was reduced from 25 °C to 5 °C, membrane pores were further decreased from somewhat greater than 10 kDa to a value smaller than 2 kDa. After being implanted with N-ions of 50 keV to a fluence of 1 × 10{sup 15} ions/cm{sup 2}, the composite membranes showed an improvement in the rejection by about 15% for fluoride and 10% for cadmium. In addition, a slight increase in permeation flux was observed in the ion implanted membranes. Filtration tests using the N-ion implanted membranes showed that fluoride was rejected from 100 ppm NaF solution by 47% and the rejection was increased to 60% when 2.20 ppm underground water was filtered. In the case of cadmium, the rejection was increased from 80% to 90% as an effect of the N-ion implantation.

  10. Effect of combined N applied at low level on the nitrogen fixation by grasses and contribution to nitrogen fertility in soil

    International Nuclear Information System (INIS)

    Yao Yunyin; Chen Ming; Ma Changlin

    1990-01-01

    This paper reports the study on the effect of combined N applied at low level on teh nitrogen fixation by alfalfa in monoculture and mixed culture with meadow fescue, and the effect on the absorption and utilization of indigenous soil nitrogen and nitrogen fertilizer. Amount of nitrogen fixed by alfalfa could be raised and duration of high peak of symbiotic nitrogen fixation activity could be extended when nitrogen fertilizer was applied reasonably. It was especially important for the early pastures or pastures with low supporting nitrogen capacity. Transfer of nitrogen fixed by alfalfa to meadow fescue occured in mixed culture. Nitrogen fixed from alfalfa was uptaken more easily than indigenous nitrogen in soil. Planting alfalfa could raise soil fertility significantly. Meadow fescue may be able to fix nitrogen from the air in some way. When combined N was appropriately applied to soil, on which alfalfa and meadow fescue had been planted, it could promote increasing nitrogen fertility in soil

  11. Effect of defibrillation threshold testing on effectiveness of the subcutaneous implantable cardioverter defibrillator.

    Science.gov (United States)

    Peddareddy, Lakshmi; Merchant, Faisal M; Leon, Angel R; Smith, Paige; Patel, Akshar; El-Chami, Mikhael F

    2018-06-12

    Defibrillation threshold (DFT) testing is recommended with the subcutaneous ICD (SICD). To describe first shock efficacy for appropriate SICD therapies stratified by the presence of implant DFT testing. We reviewed all patients receiving SICDs at our institution and stratified them based on whether implant DFT testing was performed. Appropriate shocks were reviewed to see if ventricular tachycardia/ventricular fibrillation (VT/VF) terminated with a single shock. First shock efficacy was stratified by implant DFT status. 178 patients implanted with SICDs and followed in our center were included in this study. Of these, 135 (76 %) underwent DFT testing (DFT (+) group). In the DFT (+) 80 appropriate shocks were needed to treat 69 episodes of VT/VF. The first shock was effective in 61 out of 69 episodes (88.4 %), whereas multiple shocks were required to terminate VT/VF in the remaining 8 episodes. Among 43 patients without implant DFT testing (DFT (-) group), 20 appropriate shocks to treat 17 episodes of VT/VF occurred in 7 patients. VT/VF was successfully terminated with the first shock in 16 out of 17 episodes (first shock efficacy 94.1 %). There was no significant difference in first shock effectiveness between those with and without implant DFT testing (p = 0.97). A strategy that omits DFT testing at implant did not appear to compromise the effictiveness of the SICD. These data suggest that routine DFT testing at SICD implant might not be necessary. Randomized trials are needed to confirm this finding. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  12. Effects of water and nitrogen availability on nitrogen contribution by the legume, Lupinus argenteus Pursh

    Science.gov (United States)

    Erin Goergen; Jeanne C. Chambers; Robert Blank

    2009-01-01

    Nitrogen-fixing species contribute to ecosystem nitrogen budgets, but background resource levels influence nodulation, fixation, and plant growth. We conducted a greenhouse experiment to examine the separate and interacting effects of water and N availability on biomass production, tissue N concentration, nodulation, nodule activity, and rhizodeposition of ...

  13. Surface characterization of titanium based dental implants; Caracterizacao de implantes odontologicos a base de titanio

    Energy Technology Data Exchange (ETDEWEB)

    Castilho, Guilherme Augusto Alcaraz

    2006-07-01

    Dental implantology uses metallic devices made of commercially pure titanium in order to replace lost teeth. Titanium presents favorable characteristics as bio material and modern implants are capable of integrate, witch is the union between bone and implant without fibrous tissue development. Three of the major Brazilian implant manufacturers were chosen to join the study. A foreign manufacturer participated as standard. The manufacturers had three specimens of each implant with two different surface finishing, as machined and porous, submitted to analysis. Surface chemical composition and implant morphology were analyzed by X-ray photoelectron spectroscopy (XP S), scanning electron microscopy (SEM) and microprobe. Implant surface is mainly composed of titanium, oxygen and carbon. Few contaminants commonly present on implant surface were found on samples. Superficial oxide layer is basically composed of titanium dioxide (TiO{sub 2}), another oxides as Ti O and Ti{sub 2}O{sub 3} were also found in small amount. Carbon on implant surface was attributed to manufacturing process. Nitrogen, Phosphorous and Silicon appeared in smaller concentration on surface. There was no surface discrepancy among foreign and Brazilian made implants. SEM images were made on different magnification, 35 X to 3500 X, and showed similarity among as machined implants. Porous surface finishing implants presented distinct morphology. This result was attributed to differences on manufacturing process. Implant bioactivity was accessed through immersion on simulated body solution (SBF) in order to verify formation of an hydroxyapatite (HA) layer on surface. Samples were divided on three groups according to immersion time: G1 (7 days), G2 (14 days), G3 (21 days), and deep in SBF solution at 37 deg C. After being removed from solution, XPS analyses were made and then implants have been submitted to microprobe analysis. XPS showed some components of SBF solution on sample surface but microprobe

  14. Reduction of implantation shadowing effect by dual-wavelength exposure photo process

    CERN Document Server

    Gu, Yiming; Lee Sang Yun; Roche, William; Sturtevant, John

    2003-01-01

    As transistor engineering continues to well below 100 nm length devices, ion implantation process tolerances are making these formerly "non-critical" lithography levels more and more difficult. In order to minimize the channeling effect and to obtain a controllable profile of dopant, an angle implantation is often required. However, a shadow area of resist pattern is always accompanied with an angle implantation. This shadowing effect consumes silicon real estate, and reduces the line edge placement (LEP) tolerances. Therefore, methodologies to reduce the shadowing effect in angled implantation become a critical consideration not only for device engineering but also for photolithography. Based on the model analysis, simulation and experiments, this paper presents an effective novel process utilizing dual-wavelength exposure (DWE) to reduce the shadowing effect. The DWE process is realized by two consecutive exposures for an I-line resist with a DUV stepper/scanner and an I-line stepper. The process leverages ...

  15. Studying of ion implantation effect on the biology in China

    International Nuclear Information System (INIS)

    Yu Zengliang

    1993-04-01

    Since low energy ion effect on the biology was observed, the ion implantation as a new mutagenic source has been widely used in improving crops and modifying microbes in China. The basic phenomenon of ion implantation effect on the biology and analytical results are reported, and the examples of its application and its further development are shown

  16. The analysis of cost-effectiveness of implant and conventional fixed dental prosthesis.

    Science.gov (United States)

    Chun, June Sang; Har, Alix; Lim, Hyun-Pil; Lim, Hoi-Jeong

    2016-02-01

    This study conducted an analysis of cost-effectiveness of the implant and conventional fixed dental prosthesis (CFDP) from a single treatment perspective. The Markov model for cost-effectiveness analysis of the implant and CFDP was carried out over maximum 50 years. The probabilistic sensitivity analysis was performed by the 10,000 Monte-Carlo simulations, and cost-effectiveness acceptability curves (CEAC) were also presented. The results from meta-analysis studies were used to determine the survival rates and complication rates of the implant and CFDP. Data regarding the cost of each treatment method were collected from University Dental Hospital and Statistics Korea for 2013. Using the results of the patient satisfaction survey study, quality-adjusted prosthesis year (QAPY) of the implant and CFDP strategy was evaluated with annual discount rate. When only the direct cost was considered, implants were more cost-effective when the willingness to pay (WTP) was more than 10,000 won at 10(th) year after the treatment, and more cost-effective regardless of the WTP from 20(th) year after the prosthodontic treatment. When the indirect cost was added to the direct cost, implants were more cost-effective only when the WTP was more than 75,000 won at the 10(th) year after the prosthodontic treatment, more than 35,000 won at the 20(th) year after prosthodontic treatment. The CFDP was more cost-effective unless the WTP was more than 75,000 won at the 10(th) year after prosthodontic treatment. But the cost-effectivenss tendency changed from CFDP to implant as time passed.

  17. The Effect of Percentage of Nitrogen in Plasma Gas on Nitrogen ...

    African Journals Online (AJOL)

    Increase in nitrogen percent in the plasma gas results in increased content of dissociated nitrogen and molecular nitrogen possessing excess vibrational energy and therefore the increased solution of nitrogen in the liquid iron. It would appear that above 35% nitrogen in the plasma gas, frequency of collisions of species in ...

  18. Study of thermal treated a-Si implanted with Er and O ions

    CERN Document Server

    Plugaru, R; Piqueras, J; Tate, T J

    2002-01-01

    Visible luminescence of amorphous silicon layers either implanted with Er or co-implanted with Er and O and subsequently annealed in nitrogen has been investigated by cathodoluminescence (CL) in a scanning electron microscope. Co-implanted samples show a more intense luminescence, which is revealed by annealing at lower temperatures than the samples implanted only with erbium. Thermal treatments cause the formation of erbium oxide as well as Er-Si complexes or precipitates. Violet-blue luminescence has been found from CL images and spectra to be related to Er-Si precipitates. Emission in the green-red range is attributed to oxide species.

  19. Doping of silicon carbide by ion implantation

    International Nuclear Information System (INIS)

    Gimbert, J.

    1999-01-01

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  20. The effects of cosmic radiation on implantable medical devices

    International Nuclear Information System (INIS)

    Bradley, P.

    1996-01-01

    Metal oxide semiconductor (MOS) integrated circuits, with the benefits of low power consumption, represent the state of the art technology for implantable medical devices. Three significant sources of radiation are classified as having the ability to damage or alter the behavior of implantable electronics; Secondary neutron cosmic radiation, alpha particle radiation from the device packaging and therapeutic doses(up to 70 Gγ) of high energy radiation used in radiation oncology. The effects of alpha particle radiation from the packaging may be eliminated by the use of polyimide or silicone rubber die coatings. The relatively low incidence of therapeutic radiation incident on an implantable device and the use of die coating leaves cosmic radiation induced secondary neutron single event upset (SEU) as the main pervasive ionising radiation threat to the reliability of implantable devices. A theoretical model which predicts the susceptibility of a RAM cell to secondary neutron cosmic radiation induced SEU is presented. The model correlates well within the statistical uncertainty associated with both the theoretical and field estimate. The predicted Soft Error Rate (SER) is 4.8 x l0 -12 upsets/(bit hr) compared to an observed upset rate of 8.5 x 10 -12 upsets/(bit hr) from 20 upsets collected over a total of 284672 device days. The predicted upset rate may increase by up to 20% when consideration is given to patients flying in aircraft The upset rate is also consistent with the expected geographical variations of the secondary cosmic ray neutron flux, although insufficient upsets precluded a statistically significant test. This is the first clinical data set obtained indicating the effects of cosmic radiation on implantable devices. Importantly, it may be used to predict the susceptibility of future to the implantable device designs to the effects of cosmic radiation

  1. Effects of split nitrogen fertilization on post-anthesis photoassimilates, nitrogen use efficiency and grain yield in malting barley

    DEFF Research Database (Denmark)

    Cai, Jian; Jiang, Dong; Liu, Fulai

    2011-01-01

    photosynthesis after anthesis, dry matter accumulation and assimilates remobilization, nitrogen use efficiency and grain yield to fraction of topdressed nitrogen treatments were investigated in malting barley. Net photosynthetic rate of the penultimate leaf, leaf area index and light extinction coefficient...... assimilation rate and nitrogen use efficiency resulting in higher grain yields and proper grain protein content in malting barley.......Split nitrogen applications are widely adopted to improve grain yield and enhance nitrogen use effective in crops. In a twoyear field experiment at two eco-sites, five fractions of topdressed nitrogen of 0%, 20%, 30%, 40% and 50% were implemented. Responses of radiation interception and leaf...

  2. Nanostructured implant surface effect on osteoblast gene expression and bone-to-implant contact in vivo

    Energy Technology Data Exchange (ETDEWEB)

    Mendonca, Gustavo, E-mail: gustavo_mendonca@dentistry.unc.edu [Universidade Catolica de Brasilia, Pos-Graduacao em Ciencias Genomicas e Biotecnologia, SGAN Quadra 916, Modulo B, Av. W5 Norte 70.790-160-Asa Norte Brasilia/DF (Brazil); Bone Biology and Implant Therapy Laboratory, Department of Prosthodontics, University of North Carolina at Chapel Hill, 404 Brauer Hall, CB 7450, Chapel Hill, NC 27511 (United States); Universidade Catolica de Brasilia, Curso de Odontologia, Taguatinga/DF (Brazil); Baccelli Silveira Mendonca, Daniela [Universidade Catolica de Brasilia, Pos-Graduacao em Ciencias Genomicas e Biotecnologia, SGAN Quadra 916, Modulo B, Av. W5 Norte 70.790-160-Asa Norte Brasilia/DF (Brazil) and Bone Biology and Implant Therapy Laboratory, Department of Prosthodontics, University of North Carolina at Chapel Hill, 404 Brauer Hall, CB 7450, Chapel Hill, NC 27511 (United States); Pagotto Simoes, Luis Gustavo; Araujo, Andre Luis; Leite, Edson Roberto [Departmento de Quimica, Universidade Federal de Sao Carlos-UFSCAR, Rod. Washington Luiz, 13565-905 Sao Carlos, SP (Brazil); Golin, Alexsander Luiz [Departmento de Engenharia Mecanica, Faculdade de Engenharia Mecanica, Pontificia Universidade Catolica de Curitiba, Curitiba, PR (Brazil); Aragao, Francisco J.L. [Universidade Catolica de Brasilia, Pos-Graduacao em Ciencias Genomicas e Biotecnologia, SGAN Quadra 916, Modulo B, Av. W5 Norte 70.790-160-Asa Norte Brasilia/DF (Brazil); Embrapa Recursos Geneticos e Biotecnologia, Laboratorio de Introducao e Expressao de Genes, PqEB W5 Norte, 70770-900, Brasilia, DF (Brazil); Cooper, Lyndon F., E-mail: lyndon_cooper@dentistry.unc.edu [Bone Biology and Implant Therapy Laboratory, Department of Prosthodontics, University of North Carolina at Chapel Hill, 404 Brauer Hall, CB 7450, Chapel Hill, NC 27511 (United States)

    2011-12-01

    The aim of this study was to investigate the response of nanostructured implant surfaces at the level of osteoblast differentiation and its effects in bone-to-implant contact (BIC) and removal-torque values (RTV). CpTi grade IV implants (1.6 x 4.0 mm) were machined or machined and subsequently coated with an oxide solution. The surfaces were divided into: machined (M), titania-anatase (An), titania-rutile (Ru), and zirconia (Zr). Surfaces were examined by scanning electron microscopy, atomic force microscopy, and by X-ray microanalysis. Implants were inserted in rat tibia and harvested from 0 to 21 days for measurement of Alkaline Phosphatase, Bone Sialoprotein, Osteocalcin, Osteopontin, and RUNX-2 mRNA levels by real time PCR; from 0 to 56 days for RTV; and from 0 to 56 days for BIC. The roughness parameter (Sa) was compared by one-way ANOVA followed by Tukey Test. Comparison of Torque removal values and histomorphometric measurements on implants in vivo was performed by Kruskal-Wallis test and the significance level for all statistical analyses was set at p {<=} 0.05. mRNA levels on all nanostructured surfaces were increased compared to M. At 56 days, the mean RTV in Ncm was 11.6 {+-} 2.5, 11.3 {+-} 2.4, 11.1 {+-} 3.5, 9.7 {+-} 1.4 for An, Ru, Zr, and M, respectively. Higher BIC (%) was measured for all the nanostructured surfaces versus M at 21 and 56 days (p < 0.05). Nanostructured topographic features composed of TiO{sub 2} or ZrO{sub 2} applied to machined cpTi implant promoted greater mesenchymal stem cell commitment to the osteoblast phenotype and associated increased BIC and physical association with bone. Highlights: {yields} Nanostructured surfaces using a sol-gel technique coated cpTi with TiO{sub 2} or ZrO{sub 2}. {yields} Evaluated molecular and mechanical effect of nanofeatures in vivo in rat tibiae. {yields} Nanofeatures improved the differentiation of rat MSCs into osteoblasts. {yields} Nanofeatures improved increased bone-to-implant contact and

  3. Nanostructured implant surface effect on osteoblast gene expression and bone-to-implant contact in vivo

    International Nuclear Information System (INIS)

    Mendonca, Gustavo; Baccelli Silveira Mendonca, Daniela; Pagotto Simoes, Luis Gustavo; Araujo, Andre Luis; Leite, Edson Roberto; Golin, Alexsander Luiz; Aragao, Francisco J.L.; Cooper, Lyndon F.

    2011-01-01

    The aim of this study was to investigate the response of nanostructured implant surfaces at the level of osteoblast differentiation and its effects in bone-to-implant contact (BIC) and removal-torque values (RTV). CpTi grade IV implants (1.6 x 4.0 mm) were machined or machined and subsequently coated with an oxide solution. The surfaces were divided into: machined (M), titania-anatase (An), titania-rutile (Ru), and zirconia (Zr). Surfaces were examined by scanning electron microscopy, atomic force microscopy, and by X-ray microanalysis. Implants were inserted in rat tibia and harvested from 0 to 21 days for measurement of Alkaline Phosphatase, Bone Sialoprotein, Osteocalcin, Osteopontin, and RUNX-2 mRNA levels by real time PCR; from 0 to 56 days for RTV; and from 0 to 56 days for BIC. The roughness parameter (Sa) was compared by one-way ANOVA followed by Tukey Test. Comparison of Torque removal values and histomorphometric measurements on implants in vivo was performed by Kruskal-Wallis test and the significance level for all statistical analyses was set at p ≤ 0.05. mRNA levels on all nanostructured surfaces were increased compared to M. At 56 days, the mean RTV in Ncm was 11.6 ± 2.5, 11.3 ± 2.4, 11.1 ± 3.5, 9.7 ± 1.4 for An, Ru, Zr, and M, respectively. Higher BIC (%) was measured for all the nanostructured surfaces versus M at 21 and 56 days (p 2 or ZrO 2 applied to machined cpTi implant promoted greater mesenchymal stem cell commitment to the osteoblast phenotype and associated increased BIC and physical association with bone. Highlights: → Nanostructured surfaces using a sol-gel technique coated cpTi with TiO 2 or ZrO 2 . → Evaluated molecular and mechanical effect of nanofeatures in vivo in rat tibiae. → Nanofeatures improved the differentiation of rat MSCs into osteoblasts. → Nanofeatures improved increased bone-to-implant contact and removal torque values. → TiO 2 or ZrO 2 nanofeatures improved the biological response of machined titanium.

  4. Study of low energy hydrogen ion implantation effects in silicon: electric properties

    International Nuclear Information System (INIS)

    Barhdadi, A.

    1985-07-01

    Several analysis methods have been developed: hydrogen distribution analysis by nuclear reaction, crystal disorder evaluation by R.B.S., chemical impurities identification by SIMS, optical measurements, electrical characterization of surface barriers, deep level spectroscopy DLTS, ... All these analyses have been made after implantation then after thermal annealing. A model explaining the effect of implantation then after thermal annealing. A model explaining the effect of implanted hydrogen is proposed, the implantation creates an important quantity of defects in a thin layer near the surface; a chemical attack removes them. In Schottky devices, this layer has a basic role on carrier transport phenomena. Other results are given, some of them allow to give an account of the passivation by hydrogen implantation [fr

  5. Study on breeding of daptomycin-producing strains by nitrogen ion implantation

    International Nuclear Information System (INIS)

    Zhou Jian; Liu Ying; Fang Dongsheng; Jiang Hong; Zhang Yin; Gao Wuyan

    2008-01-01

    Streptomyces roseosporus C20, the bacteria used in production of daptomycin, were implanted with (15-200)x10 13 /cm 2 of 20keV N + ions. Survival rate of the bacteria at different absorbed doses was investigated, and mutagenic effects of the microbe were studied. After breeding under the selection pressure of resistance to streptomycin (the lethal concentration is 1.2μg/mL), several mutant strains with higher yields of daptomycin have been obtained. One of mutant strains, N3-36, can increase up to 126% compared to the original strain. It also shows that the mutant strains have high genetic stability. (authors)

  6. Plasma source ion implantation of ammonia into electroplated chromium

    International Nuclear Information System (INIS)

    Scheuer, J.T.; Walter, K.C.; Rej, D.J.; Nastasi, M.; Blanchard, J.P.

    1995-01-01

    Ammonia gas (NH 3 ) has been used as a nitrogen source for plasma source ion implantation processing of electroplated chromium. No evidence was found of increased hydrogen concentrations in the bulk material, implying that ammonia can be used without risking hydrogen embrittlement. The retained nitrogen dose of 2.1 x 10 17 N-at/cm 2 is sufficient to increase the surface hardness of electroplated Cr by 24% and decrease the wear rate by a factor of 4

  7. Studies on mass deposition effect and energy effect of biomolecules implanted by N+ ion beam

    International Nuclear Information System (INIS)

    Shao Chunlin; Yu Zengliang

    1994-05-01

    By analyzing some spectrum of tyrosine sample implanted by N + ion beam, it is deduced that the implantation N + could react with the tyrosine molecule and substitute =C 5 H- group of benzene ring to produce a N-heterocyclic compound. This compound would notably affect the residual activity of the sample. Moreover, the percentage of the product molecules to the damaged tyrosine molecules is larger than the reciprocal of the proportion of their extinction coefficients. On the other hand, by comparing the release of inorganic phosphate, it is found that the radiation sensibility for four basic nucleotides is 5'-dTMP>5'-CMP>5'-GMP>5'-AMP. to implanted nucleotides, alkali treatment and heat treatment could increase the amount of inorganic phosphate. The amount of inorganic phosphate in the nucleotide samples directly implanted by ions beam is about 60% of the total amount of inorganic phosphate that could be released from the implanted samples heated at 90 degree C for 1.75 hours. Alkali treatment could damage and split the free bases released from the implanted nucleotides, but heat treatment might repair those damaged bases. Above results prove that ions implantation to biomolecules has the mass deposition effects and energy effects

  8. Tribological studies of nitrogen ion implantation induced overlayer coatings of amorphous carbon and carbonitride phase

    International Nuclear Information System (INIS)

    Kumar, N.; Srivastava, S.K.; Pandian, R.; Bahuguna, Ashok; Dhara, S.; Nair, K.G.M.; Dash, S.; Tyagi, A.K.

    2013-01-01

    Highlights: ► Composite phase of amorphous carbon and carbonitride phase is observed on the N + ion implanted surface of steel. ► Advanced properties of implanted surface shows low friction coefficient of ∼0.05. ► High wear resistance 4.3 × 10 −8 mm 3 /Nm of N + implanted surface is obtained. -- Abstract: Morphology and microstructure of N + ion implanted 316 LN steel are found to modify with irradiated substrate temperature. At low temperature of 100 °C, self-similar micro-ripples are formed but at high temperature of 200 and 300 °C, micro-pores and blisters are observed on the implanted surface. Chemically modified surface is found to consist of amorphous carbon and carbonitride phase. Such composite characteristic of implanted steel surface at irradiated substrate temperature of 300 °C shows improved tribological properties with low friction coefficient and high wear resistance

  9. Effect of phosphorus level on nitrogen accumulation and yield in soybean

    International Nuclear Information System (INIS)

    You Yubo; Wu Dongmei; Gong Zhenping; Ma Chunmei

    2012-01-01

    In this paper, the 15 N labeling with sand culture was conducted to study effects of phosphorus level on nitrogen accumulation, nodule nitrogen fixation and yield of soybean plants. Results showed that nitrogen accumulation, fixation and yield of soybean plants all presented a single peak curve with improvement of phosphorus nutrition level, with the peak value of phosphorus concentration in nutrient solution of 31 mg/L. When phosphorus concentration of nutrient solution was 11 mg/L, no obvious promotion was found on the ratio of nodule nitrogen fixation when increasing phosphorus concentration again, However, when phosphorus concentration of nutrient solution was 21 mg/L, increasing phosphorus concentration again had no obvious promotion on soybean plant nitrogen accumulation, nodule nitrogen fixation accumulation and yield, indicating that effect of phosphorus nutrition level on nitrogen fixation was lower than that on yield formation level. (authors)

  10. Structure and micro-mechanical properties of helium-implanted layer on Ti by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Ma Xinxin; Li Jinlong; Sun Mingren

    2008-01-01

    The present paper concentrates on structure and micro-mechanical properties of the helium-implanted layer on titanium treated by plasma-based ion implantation with a pulsed voltage of -30 kV and doses of 3, 6, 9 and 12 x 10 17 ions/cm 2 , respectively. X-ray photoelectron spectroscopy and transmission electron microscopy are employed to characterize the structure of the implanted layer. The hardnesses at different depths of the layer were measured by nano-indentation. We found that helium ion implantation into titanium leads to the formation of bubbles with a diameter from a few to more than 10 nm and the bubble size increases with the increase of dose. The primary existing form of Ti is amorphous in the implanted layer. Helium implantation also enhances the ingress of O, C and N and stimulates the formations of TiO 2 , Ti 2 O 3 , TiO, TiC and TiN in the near surface layer. And the amount of the ingressed oxygen is obviously higher than those of nitrogen and carbon due to its higher activity. At the near surface layer, the hardnesses of all implanted samples increases remarkably comparing with untreated one and the maximum hardness has an increase by a factor of up to 3.7. For the samples implanted with higher doses of 6, 9 and 12 x 10 17 He/cm 2 , the local displacement bursts are clearly found in the load-displacement curves. For the samples implanted with a lower dose of 3 x 10 17 He/cm 2 , there is no obvious displacement burst found. Furthermore, the burst width increases with the increase of the dose

  11. Reactive nitrogen in the environment and its effect on climate change

    International Nuclear Information System (INIS)

    Erisman, J.W.; Bleeker, A.; Galloway, J.; Seitzinger, S.; Butterbach-Bahl, K.

    2011-01-01

    Humans have doubled levels of reactive nitrogen in circulation, largely as a result of fertilizer application and fossil fuel burning. This massive alteration of the nitrogen cycle affects climate, food security, energy security, human health and ecosystem services. Our estimates show that nitrogen currently leads to a net-cooling effect on climate with very high uncertainty. The many complex warming and cooling interactions between nitrogen and climate need to be better assessed, taking also into account the other effects of nitrogen on human health, environment and ecosystem services. Through improved nitrogen management substantial reductions in atmospheric greenhouse gas concentrations could be generated, also allowing for other co-benefits, including improving human health and improved provision of ecosystem services, for example clean air and water, and biodiversity.

  12. Effect of Attachment Type on Implant Strain in Maxillary Implant Overdentures: Comparison of Ball, Locator, and Magnet Attachments. Part 1. Overdenture with Palate.

    Science.gov (United States)

    Takahashi, Toshihito; Gonda, Tomoya; Maeda, Yoshinobu

    Implant overdentures with attachments have been used in clinical practice and the effect of attachments on implant strain has been frequently reported. However, most studies have focused on mandibular overdentures; there are few reports on maxillary overdentures. The purpose of this study was to examine the influence of attachment type on implant strain in maxillary overdentures under various implant configurations. A maxillary edentulous model with implants and experimental overdentures were fabricated. Four strain gauges were attached to each implant, positioned in anterior, premolar, and molar areas. Three types of unsplinted attachments-ball, locator, and magnet-were set on the implants under various implant configurations. A vertical occlusal load of 98 N was applied through the mandibular complete denture, and implant strain was compared using the Kruskal-Wallis test. Ball attachments caused the greatest amount of strain, while magnet attachments caused the least amount under all conditions. For all attachments, two anterior implants caused significantly more strain than four implants (P magnet attachments are recommended to reduce implant stress. Using only two implants, especially two anterior implants, is not recommended regardless of attachment type.

  13. The effects of handling and storage on magnesium based implants — First results

    Energy Technology Data Exchange (ETDEWEB)

    Ullmann, Berit, E-mail: berit.ullmann@tiho-hannover.de [University of Veterinary Medicine, Small Animal Clinic, Bünteweg 9, 30559 Hannover (Germany); Angrisani, Nina, E-mail: nina.angrisani@tiho-hannover.de [University of Veterinary Medicine, Small Animal Clinic, Bünteweg 9, 30559 Hannover (Germany); Reifenrath, Janin, E-mail: janin.reifenrath@tiho-hannover.de [University of Veterinary Medicine, Small Animal Clinic, Bünteweg 9, 30559 Hannover (Germany); Seitz, Jan-M., E-mail: seitz@iw.uni-hannover.de [Leibniz University, Institute of Materials Science, An der Universität 2, 30823 Hannover (Germany); Bormann, Dirk, E-mail: dirk.bormann@trimet.de [Leibniz University, Institute of Materials Science, An der Universität 2, 30823 Hannover (Germany); Trimet Aluminium AG, Automotive, Recycling Harzgerode, Aluminiumallee 1, 06493 Harzgerode (Germany); Bach, Friedrich-Wilhelm, E-mail: bach@iw.uni-hannover.de [Leibniz University, Institute of Materials Science, An der Universität 2, 30823 Hannover (Germany); Meyer-Lindenberg, Andrea, E-mail: meyer-lindenberg@chir.vetmed.uni-muenchen.de [University of Veterinary Medicine, Small Animal Clinic, Bünteweg 9, 30559 Hannover (Germany); Clinic for Small Animal Surgery and Reproduction, Centre of Clinical Veterinary Medicine, Faculty of Veterinary Medicine, Ludwig-Maximilians-Universität München, Veterinärstraße 3, 80539 München (Germany)

    2013-07-01

    The present work aimed to investigate the influence of acetone and formalin as well as the duration and type of storage on magnesium based implants by means of microscopic, μ-computed tomographic, scanning electron microscopic, EDX and metallographic investigations. In contrast to storing in acetone, storage in formalin led to an increase in surface to volume ratio, and a decrease of the volume and the density. The various types of storage exerted no differing effects on the implants but with increasing storage duration, a spreading of oxygen rich areas on the surface, increased precipitations and a decrease in grain size could be observed. - Highlights: • Acetone treatment had no detectable effect on magnesium based implants. • Formalin caused distinct changes of the implant's surface, volume and density. • Storage types had no differing effect on the implants. • Storage duration led to distinct changes of the implant's surface and structure.

  14. Temperature effects on lithium-nitrogen reaction rates

    International Nuclear Information System (INIS)

    Ijams, W.J.; Kazimi, M.S.

    1985-08-01

    A series of experiments have been run with the aim of measuring the reaction rate of lithium and nitrogen over a wide spectrum of lithium pool temperatures. In these experiments, pure nitrogen was blown at a controlled flow rate over a preheated lithium pool. The pool had a surface area of approximately 4 cm 2 and a total volume of approximately 6 cm 3 . The system pressure varied from 0 to 4 psig. The reaction rate was very small - approximately 0.002 to 0.003 g Li min cm 2 for lithium temperatures below 500 0 C. Above 500 0 C the reaction rate began to increase sharply, and reached a maximum of approximately 0.80 g Li min cm 2 above 700 0 C. It dropped off beyond 1000 0 C and seemed to approach zero at 1150 0 C. The maximum reaction rate observed in these forced convection experiments was higher by 60% than those previously observed in experiments where the nitrogen flowed to the reaction site by means of natural convection. During a reaction, a hard nitride layer built up on the surface of the lithium pool - its effect on the reaction rate was observed. The effect of the nitrogen flow rate on the reaction rate was also observed

  15. Cost-effectiveness of nitrogen mitigation by alternative household wastewater management technologies.

    Science.gov (United States)

    Wood, Alison; Blackhurst, Michael; Hawkins, Troy; Xue, Xiaobo; Ashbolt, Nicholas; Garland, Jay

    2015-03-01

    Household wastewater, especially from conventional septic systems, is a major contributor to nitrogen pollution. Alternative household wastewater management technologies provide similar sewerage management services but their life cycle costs and nitrogen flow implications remain uncertain. This paper addresses two key questions: (1) what are the total costs, nitrogen mitigation potential, and cost-effectiveness of a range of conventional and alternative municipal wastewater treatment technologies, and (2) what uncertainties influence these outcomes and how can we improve our understanding of these technologies? We estimate a household nitrogen mass balance for various household wastewater treatment systems and combine this mass balance with life cycle cost assessment to calculate the cost-effectiveness of nitrogen mitigation, which we define as nitrogen removed from the local watershed. We apply our methods to Falmouth, MA, where failing septic systems have caused heightened eutrophication in local receiving water bodies. We find that flushing and dry (composting) urine-diversion toilets paired with conventional septic systems for greywater management demonstrate the lowest life cycle cost and highest cost-effectiveness (dollars per kilogram of nitrogen removed from the watershed). Composting toilets are also attractive options in some cases, particularly best-case nitrogen mitigation. Innovative/advanced septic systems designed for high-level nitrogen removal are cost-competitive options for newly constructed homes, except at their most expensive. A centralized wastewater treatment plant is the most expensive and least cost-effective option in all cases. Using a greywater recycling system with any treatment technology increases the cost without adding any nitrogen removal benefits. Sensitivity analysis shows that these results are robust considering a range of cases and uncertainties. Copyright © 2014 The Authors. Published by Elsevier Ltd.. All rights reserved.

  16. The effect of metallic implants on radiation therapy in spinal tumor patients with metallic spinal implants.

    Science.gov (United States)

    Son, Seok Hyun; Kang, Young Nam; Ryu, Mi-Ryeong

    2012-01-01

    The aim of this study was to evaluate the effect of metallic implants on the dose calculation for radiation therapy in patients with metallic implants and to find a way to reduce the error of dose calculation. We made a phantom in which titanium implants were inserted into positions similar to the implant positions in spinal posterior/posterolateral fusion. We compared the calculated dose of the treatment planning systems with the measured dose in the treatment equipment. We used 3 kinds of computed tomography (CT) (kilovoltage CT, extended-scaled kilovoltage CT, and megavoltage CT) and 3 kinds of treatment equipment (ARTISTE, TomoTherapy Hi-Art, and Cyberknife). For measurement of doses, we used an ionization chamber and Gafchromic external beam therapy film. The absolute doses that were measured using an ionization chamber at the isocenter in the titanium phantom were on average 1.9% lower than those in the reference phantom (p = 0.002). There was no statistically significant difference according to the kinds of CT images, the treatment equipment, and the size of the targets. As the distance from the surface of the titanium implants became closer, the measured doses tended to decrease (p metallic implants was less in the megavoltage CT than in the kilovoltage CT or the extended-scaled kilovoltage CT. The error caused by the titanium implants was beyond a clinically acceptable range. To reduce the error of dose calculation, we suggest that the megavoltage CT be used for planning. In addition, it is necessary to consider the distance between the titanium implants and the targets or the organs at risk to prescribe the dose for the target and the dose constraint for the organs at risk. Copyright © 2012 American Association of Medical Dosimetrists. Published by Elsevier Inc. All rights reserved.

  17. Macro design effects on stress distribution around implants: A photoelastic stress analysis

    OpenAIRE

    Serhat Emre Ozkir; Hakan Terzioglu

    2012-01-01

    Objectives: Biomechanics is one of the main factors for achieving long-term success of implant supported prostheses. Long-term failures mostly depend on biomechanical complications. It is important to distinguish the effects of macro design of the implants. Materials and Methods: In this study, the photoelastic response of four different types of implants that were inserted with different angulations were comparatively analyzed. The implant types investigated were screw cylinder (ITI, Str...

  18. Improved tribological behavior of boron implanted Ti-6Al-4V

    International Nuclear Information System (INIS)

    Baker, N.P.; Walter, K.C.; Nastasi, M.

    1998-03-01

    Boron implanted of Ti6Al4V has been conducted at combinations of 32 and 40 keV to supplement that done previously at 75 keV. Shallower boron depth profiles with higher B-concentrations in the Ti64 surface have been obtained by tailoring the combinations of ion energy and dose. This work used three different ion energy and dose combinations of 4 x 10 17 B-at/cm 2 at 40 keV plus 2 x 10 17 B-at/cm 2 at 32 keV, 4 x 10 17 B-at/cm 2 at 40 keV, and 4 x 10 17 B-at/cm 2 at 32 keV plus 2 x 10 17 B-at/cm 2 at 40 keV. Comparisons are made between Ti6Al4V with a shallow implanted boron depth profile, Ti6Al4V with a deeper boron depth profile and nitrogen implanted using a plasma source ion implantation process. It has been previously shown that while boron implanted Ti64 has a ∼ 30% higher surface hardness than nitrogen implanted Ti64, the N-implantation reduced the wear coefficient of Ti64 by 25--120x, while B-implantation reduced the wear coefficient by 6.5x or less. The results show that no significant improvement is made in the wear resistance of boron implanted Ti6Al4V by increasing the concentration of boron at the surface from approximately 10% to 43%. Transmission electron microscopy (TEM) and selected area diffraction (SAD) indicated the formation of crystalline TiB in the implanted surface layer. Shallower depth profiles result in reductions of the Ti6Al4V wear coefficient by 6.5x or less which is the same result obtained earlier with the deeper boron depth profile. Surface hardness of Ti6Al4V with shallower boron depth profiles was improved approximately 10% compared to the results previously acquired with deeper boron depth profiles

  19. Accuracy of various impression materials and methods for two implant systems: An effect size study.

    Science.gov (United States)

    Schmidt, Alexander; Häussling, Teresa; Rehmann, Peter; Schaaf, Heidrun; Wöstmann, Bernd

    2018-04-01

    An accurate impression is required for implant treatment. The aim of this in-vitro study was to determine the effect size of the impression material/method, implant system and implant angulation on impression transfer precision. An upper jaw model with three BEGO and three Straumann implants (angulations 0°, 15°, 20°) in the left and right maxilla was used as a reference model. One polyether (Impregum Penta) and two polyvinyl siloxanes (Flexitime Monophase/Aquasil Ultra Monophase) were examined with two impression techniques (open and closed tray). A total of 60 impressions were made. A coordinate measurement machine was used to measure the target variables for 3D-shift, implant axis inclination and implant axis rotation. All the data were subjected to a four-way ANOVA. The effect size (partial eta-squared [η 2 P ]) was reported. The impression material had a significant influence on the 3D shift and the implant axis inclination deviation (p-values=.000), and both factors had very large effect sizes (3D-shift [η 2 P ]=.599; implant axis inclination [η 2 P ]=.298). Impressions made with polyvinyl siloxane exhibited the highest transfer precision. When the angulation of the implants was larger, more deviations occurred for the implant axis rotational deviation. The implant systems and impression methods showed partially significant variations (p-values=.001-.639) but only very small effect sizes (η 2 P =.001-.031). The impression material had the greatest effect size on accuracy in terms of the 3D shift and the implant axis inclination. For multiunit restorations with disparallel implants, polyvinyl siloxane materials should be considered. In addition, the effect size of a multivariate investigation should be reported. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  20. Incorporation of low energy activated nitrogen onto HOPG surface: Chemical states and thermal stability studies by in-situ XPS and Raman spectroscopy

    Science.gov (United States)

    Chandran, Maneesh; Shasha, Michal; Michaelson, Shaul; Hoffman, Alon

    2016-09-01

    In this paper we report the chemical states analysis of activated nitrogen incorporated highly oriented pyrolytic graphite (HOPG) surface under well-controlled conditions. Nitrogen incorporation is carried out by two different processes: an indirect RF nitrogen plasma and low energy (1 keV) N2+ implantation. Bonding configuration, concentration and thermal stability of the incorporated nitrogen species by aforesaid processes are systematically compared by in-situ X-ray photoelectron spectroscopy (XPS). Relatively large concentration of nitrogen is incorporated onto RF nitride HOPG surface (16.2 at.%), compared to N2+ implanted HOPG surface (7.7 at.%). The evolution of N 1s components (N1, N2, N3) with annealing temperature is comprehensively discussed, which indicates that the formation and reorganization of local chemical bonding states are determined by the process of nitridation and not by the prior chemical conditioning (i.e., amorphization or hydrogenation) of the HOPG surface. A combined XPS and Raman spectroscopy studies revealed that N2+ implantation process resulted in a high level of defects to the HOPG surface, which cannot be annealed-out by heat treatment up to 1000 °C. On the other hand, the RF nitrogen plasma process did not produce a high level of surface defects, while incorporating nearly the same amount of stable nitrogen species.

  1. Bioremediation of oil-contaminated shorelines: Effects of different nitrogen sources

    International Nuclear Information System (INIS)

    Ramstad, S.; Sveum, P.

    1995-01-01

    The present study was designed to examine the fate and effect of various nitrogen sources in oil-contaminated sediments in a continuous-flow seawater column system fed with nutrient-enriched seawater. Degradation of oil components is stimulated by a supply of an enhanced concentration of nitrogen. The most pronounced effect was found with nitrate, compared to ammonium and organic nitrogen. Ammonium was more readily sorbed by the sediment system, either by chemical adsorption or by microbial immobilization

  2. Nitrogen mediates above-ground effects of ozone but not below-ground effects in a rhizomatous sedge

    International Nuclear Information System (INIS)

    Jones, M.L.M.; Hodges, G.; Mills, G.

    2010-01-01

    Ozone and atmospheric nitrogen are co-occurring pollutants with adverse effects on natural grassland vegetation. Plants of the rhizomatous sedge Carex arenaria were exposed to four ozone regimes representing increasing background concentrations (background-peak): 10-30, 35-55, 60-80 and 85-105 ppb ozone at two nitrogen levels: 12 and 100 kg N ha -1 yr -1 . Ozone increased the number and proportion of senesced leaves, but not overall leaf number. There was a clear nitrogen x ozone interaction with high nitrogen reducing proportional senescence in each treatment and increasing the ozone dose (AOT40) at which enhanced senescence occurred. Ozone reduced total biomass due to significant effects on root biomass. There were no interactive effects on shoot:root ratio. Rhizome tissue N content was increased by both nitrogen and ozone. Results suggest that nitrogen mediates above-ground impacts of ozone but not impacts on below-ground resource translocation. This may lead to complex interactive effects between the two pollutants on natural vegetation. - Nitrogen alters threshold of ozone-induced senescence, but not below-ground resource allocation.

  3. Enhancing the antibacterial performance of orthopaedic implant materials by fibre laser surface engineering

    DEFF Research Database (Denmark)

    Chan, Chi-Wai; Carson, Louise; Smith, Graham C.

    2017-01-01

    to the effort on enhancing osseointegration, wear and corrosion resistance of implant materials. In this study, the effects of laser surface treatment on enhancing the antibacterial properties of commercially pure (CP) Ti (Grade 2), Ti6Al4V (Grade 5) and CoCrMo alloy implant materials were studied and compared...... for the first time. Laser surface treatment was performed by a continuous wave (CW) fibre laser with a near-infrared wavelength of 1064 nm in a nitrogen-containing environment. Staphylococcus aureus, commonly implicated in infection associated with orthopaedic implants, was used to investigate the antibacterial...... properties of the laser-treated surfaces. The surface roughness and topography of the laser-treated materials were analysed by a 2D roughness testing and by AFM. The surface morphologies before and after 24 h of bacterial cell culture were captured by SEM, and bacterial viability was determined using live...

  4. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W.; Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X.; Brown, I.G. [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1993-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  5. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W; Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X; Brown, I G [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1994-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  6. A Mutant of Bacillus Subtilis with High-Producing Surfactin by Ion Beam Implantation

    International Nuclear Information System (INIS)

    Liu Qingmei; Yuan Hang; Wang Jun; Gong Guohong; Zhou Wei; Fan Yonghong; Wang Li; Yao Jianming; Yu Zengliang

    2006-01-01

    In order to generate a mutant of Bacillus subtilis with enhanced surface activity through low energy nitrogen ion beam implantation, the effects of energy and dose of ions implanted were studied. The morphological changes in the bacteria were observed by scanning electron microscope (SEM). The optimum condition of ions implantation, 20 keV of energy and 2.6x10 15 N + /cm 2 in dose, was determined. A mutant, B.s-E-8 was obtained, whose surface activity of 50-fold and 100-fold diluted cell-free Landy medium was as 5.6-fold and 17.4-fold as the wild strain. The microbial growth and biosurfactant production of both the mutant and the wild strain were compared. After purified by ultrafiltration and SOURCE 15PHE, the biosurfactant was determined to be a complex of surfactin family through analysis of electrospray ionization mass spectrum (ESI/MS) and there was an interesting finding that after the ion beam implantation the intensities of the components were different from the wild type strain

  7. A case study of the relative effects of power plant nitrogen oxides and sulfur dioxide emission reductions on atmospheric nitrogen deposition.

    Science.gov (United States)

    Vijayaraghavan, Krish; Seigneur, Christian; Bronson, Rochelle; Chen, Shu-Yun; Karamchandani, Prakash; Walters, Justin T; Jansen, John J; Brandmeyer, Jo Ellen; Knipping, Eladio M

    2010-03-01

    The contrasting effects of point source nitrogen oxides (NOx) and sulfur dioxide (SO2) air emission reductions on regional atmospheric nitrogen deposition are analyzed for the case study of a coal-fired power plant in the southeastern United States. The effect of potential emission reductions at the plant on nitrogen deposition to Escambia Bay and its watershed on the Florida-Alabama border is simulated using the three-dimensional Eulerian Community Multiscale Air Quality (CMAQ) model. A method to quantify the relative and individual effects of NOx versus SO2 controls on nitrogen deposition using air quality modeling results obtained from the simultaneous application of NOx and SO2 emission controls is presented and discussed using the results from CMAQ simulations conducted with NOx-only and SO2-only emission reductions; the method applies only to cases in which ambient inorganic nitrate is present mostly in the gas phase; that is, in the form of gaseous nitric acid (HNO3). In such instances, the individual effects of NOx and SO2 controls on nitrogen deposition can be approximated by the effects of combined NOx + SO2 controls on the deposition of NOy, (the sum of oxidized nitrogen species) and reduced nitrogen species (NHx), respectively. The benefit of controls at the plant in terms of the decrease in nitrogen deposition to Escambia Bay and watershed is less than 6% of the overall benefit due to regional Clean Air Interstate Rule (CAIR) controls.

  8. Superior sealing effect of hydroxyapatite in porous-coated implants

    DEFF Research Database (Denmark)

    Rahbek, Ole; Kold, Søren; Bendix, Knud

    2005-01-01

    Migration of wear debris to the periprosthetic bone is a major cause of osteolysis and implant failure. Both closed-pore porous coatings and hydroxyapatite (HA) coatings have been claimed to prevent the migration of wear debris. We investigated whether HA could augment the sealing effect of a por......Migration of wear debris to the periprosthetic bone is a major cause of osteolysis and implant failure. Both closed-pore porous coatings and hydroxyapatite (HA) coatings have been claimed to prevent the migration of wear debris. We investigated whether HA could augment the sealing effect...

  9. Silicon Heterojunction Solar Cells Using AlOx and Plasma-Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-06-01

    Full Text Available Aluminum oxide (AlOx and plasma immersion ion implantation (PIII were studied in relation to passivated silicon heterojunction solar cells. When aluminum oxide (AlOx was deposited on the surface of a wafer; the electric field near the surface of wafer was enhanced; and the mobility of the carrier was improved; thus reducing carrier traps associated with dangling bonds. Using PIII enabled implanting nitrogen into the device to reduce dangling bonds and achieve the desired passivation effect. Depositing AlOx on the surface of a solar cell increased the short-circuit current density (Jsc; open-circuit voltage (Voc; and conversion efficiency from 27.84 mA/cm2; 0.52 V; and 8.97% to 29.34 mA/cm2; 0.54 V; and 9.68%; respectively. After controlling the depth and concentration of nitrogen by modulating the PIII energy; the ideal PIII condition was determined to be 2 keV and 10 min. As a result; a 15.42% conversion efficiency was thus achieved; and the Jsc; Voc; and fill factor were 37.78 mA/cm2; 0.55 V; and 0.742; respectively.

  10. Modifications of the hydriding kinetics of a metallic surface, using ion implantation

    International Nuclear Information System (INIS)

    Crusset, D.

    1992-10-01

    Uranium reacts with hydrogen to form an hydride: this reaction leads to the total destruction of the material. To modify the reactivity of an uranium surface towards hydrogen, ion implantation was selected, among surface treatments techniques. Four elements (carbon, nitrogen, oxygen, sulfur) were implanted to different doses. The results show a modification of the hydriding mechanism and a significant increase in the reaction induction times, notably at high implantation doses. Several techniques (SIMS, X-rays phases analysis and residual stresses determination) were used to characterize the samples and understand the different mechanisms involved

  11. Effect of antibiotics on implant failure and postoperative infection.

    Science.gov (United States)

    Bafail, Arwa S; Alamri, Ahmed M; Spivakovsky, Silvia

    2014-06-01

    Medline and hand search of the British Journal of Oral and Maxillofacial Surgery, Clinical Implant Dentistry and Related Research, Clinical Oral Investigations, Clinical Oral Implants Research, European Journal of Oral Implantology, Implant Dentistry, International Journal of Oral and Maxillofacial Implants, International Journal of Oral and Maxillofacial Surgery, Journal of Clinical Periodontology, Journal of Oral Implantology, Journal of Oral and Maxillofacial Surgery, Journal of Periodontology Medicina Oral, Patologa Oral y Cirugía Bucal, and Oral Surgery, Oral Medicine, Oral Pathology, Oral Radiology and Endodontology. Search of the literature was made to identify randomised controlled trials (RCTs) on the efficacy of antibiotics compared with a control group (not receiving antibiotics or receiving placebo. All articles selected from the electronic and manual searches were independently assessed by the first and second authors of this study, in accordance with the established inclusion criteria. Two reviewers independently and in duplicate evaluated the quality of the included RCTs as part of the data extraction process. Four RCTs were included in the final review. These four RCTs grouped a total of 2063 implants and a total of 1002 patients. Antibiotic use significantly lowered the implant failure rate (P = 0.003), with an odds ratio of 0.331, implying that antibiotic treatment reduced the odds of failure by 66.9%. The number needed to treat (NNT) to prevent one patient from having an implant failure was 48 (95% confidence interval 31-109). In contrast, antibiotic use did not significantly reduce the incidence of postoperative infection (P = 0.754). Based on the results of this meta-analysis, and pending further research in the field, it can be concluded that there is evidence in favour of systematic antibiotic use in patients receiving dental implants, since such treatment significantly reduces implant failure. In contrast, antibiotic use does not exert a

  12. Short Implants: New Horizon in Implant Dentistry.

    Science.gov (United States)

    Jain, Neha; Gulati, Manisha; Garg, Meenu; Pathak, Chetan

    2016-09-01

    The choice of implant length is an essential factor in deciding the survival rates of these implants and the overall success of the prosthesis. Placing an implant in the posterior part of the maxilla and mandible has always been very critical due to poor bone quality and quantity. Long implants can be placed in association with complex surgical procedures such as sinus lift and bone augmentation. These techniques are associated with higher cost, increased treatment time and greater morbidity. Hence, there is need for a less invasive treatment option in areas of poor bone quantity and quality. Data related to survival rates of short implants, their design and prosthetic considerations has been compiled and structured in this manuscript with emphasis on the indications, advantages of short implants and critical biomechanical factors to be taken into consideration when choosing to place them. Studies have shown that comparable success rates can be achieved with short implants as those with long implants by decreasing the lateral forces to the prosthesis, eliminating cantilevers, increasing implant surface area and improving implant to abutment connection. Short implants can be considered as an effective treatment alternative in resorbed ridges. Short implants can be considered as a viable treatment option in atrophic ridge cases in order to avoid complex surgical procedures required to place long implants. With improvement in the implant surface geometry and surface texture, there is an increase in the bone implant contact area which provides a good primary stability during osseo-integration.

  13. Effect of nitrogen and potassium fertilization on radiocesium absorption in soybean

    International Nuclear Information System (INIS)

    Nihei, Naoto; Hirose, Atsushi; Tanoi, Keitaro; Nakanishi, Tomoko M.

    2015-01-01

    Radioactive materials that were released during the nuclear accident contaminated the soil and agricultural products. It has become clear that potassium fertilization is effective for the reduction of radiocesium concentrations in agricultural crops. However, apart from reports about potassium, few reports have examined how nitrogen, which has a large effect on crop growth, contributes to the radiocesium absorption. Focusing on this point, we studied the effect of nitrogen and potassium fertilizer on the radiocesium absorption in soybean seedlings. The concentration of radiocesium in the seed of soybean was higher in nitrogen-fertilized plants than in plants grown without fertilizer. The radiocesium concentration in the aboveground biomass increased as the amount of nitrogen fertilization increased. But the concentrations of radiocesium were higher in potassium-fertilized plants at high-N than in plants without added nitrogen and potassium. Further study is required to clarify the factors that incur an increase in radiocesium concentration in response to nitrogen fertilization. Special care is required to start farming soybean on fallow fields evacuated after the accident or on fields where rice has been grown before, which tend to have higher available nitrogen than the regularly cultivated fields. (author)

  14. Effect of water and nitrogen additions on free-living nitrogen fixer populations in desert grass root zones.

    Science.gov (United States)

    Herman, R P; Provencio, K R; Torrez, R J; Seager, G M

    1993-01-01

    In this study we measured changes in population levels of free-living N2-fixing bacteria in the root zones of potted Bouteloua eriopoda and Sporobolus flexuosus plants as well as the photosynthetic indices of the plants in response to added nitrogen, added water, and added water plus nitrogen treatments. In addition, N2 fixer population changes in response to added carbon source and nitrogen were measured in plant-free soil columns. There were significant increases in the numbers of N2 fixers associated with both plant species in the water and the water plus nitrogen treatments. Both treatments increased the photosynthetic index, suggesting that plant exudates were driving N2 fixer population changes. Population increases were greatest in the water plus nitrogen treatments, indicating that added nitrogen was synergistic with added water and suggesting that nitrogen addition spared bacteria the metabolic cost of N2 fixation, allowing greater reproduction. Plant-free column studies demonstrated a synergistic carbon-nitrogen effect when carbon levels were limiting (low malate addition) but not when carbon was abundant (high malate), further supporting this hypothesis. The results of this study indicate the presence of N2 fixer populations which interact with plants and which may play a role in the nitrogen balance of desert grasslands. PMID:8215373

  15. Heat generation during implant placement in low-density bone: effect of surgical technique, insertion torque and implant macro design.

    Science.gov (United States)

    Marković, Aleksa; Mišić, Tijana; Miličić, Biljana; Calvo-Guirado, Jose Luis; Aleksić, Zoran; Ðinić, Ana

    2013-07-01

    The study aimed to investigate the effect of surgical technique, implant macrodesign and insertion torque on bone temperature changes during implant placement. In the in vitro study, 144 self-tapping (blueSKY(®) 4 × 10 mm; Bredent) and 144 non-self-tapping (Standard implant(®) 4.1 × 10 mm; Straumann) were placed in osteotomies prepared in pig ribs by lateral bone condensing or bone drilling techniques. The maximum insertion torque values of 30, 35 and 40 Ncm were used. Real-time bone temperature measurement during implant placement was performed by three thermocouples positioned vertically, in tripod configuration around every osteotomy, at a distance of 5 mm from it and at depths of 1, 5 and 10 mm. Data were analysed using Kruskal-Wallis, Mann-Whitney U-tests and Regression analysis. Significant predictor of bone temperature at the osteotomy depth of 1 mm was insertion torque (P = 0.003) and at the depth of 10-mm implant macrodesign (P = 0.029), while no significant predictor at depth of 5 mm was identified (P > 0.05). Higher insertion torque values as well as non-self-tapping implant macrodesign were related to higher temperatures. Implant placement in sites prepared by bone drilling induced significantly higher temperature increase (P = 0.021) compared with bone condensing sites at the depth of 5 mm, while no significant difference was recorded at other depths. Compared with 30 Ncm, insertion torque values of 35 and 40 Ncm produced significantly higher temperature increase (P = 0.005; P = 0.003, respectively) at the depth of 1 mm. There was no significant difference in temperature change induced by 35 and 40 Ncm, neither by implant macrodesign at all investigated depths (P > 0.05). Placement of self-tapping implants with low insertion torque into sites prepared by lateral bone condensing technique might be advantageous in terms of thermal effect on bone. © 2012 John Wiley & Sons A/S.

  16. Chemical and catalytic effects of ion implantation

    International Nuclear Information System (INIS)

    Wolf, G.K.

    1982-01-01

    Energetic particles are used for inducing chemical reactions as well as for modifying the properties of materials with regard to their bulk and surface chemical behavior. The effects are partly caused by radiation damage or phase intermixing, partly by the chemical properties of the individual bombarding particles. In this contribution a survey of relevant applications of these techniques is presented: (1) Chemical reactions of implanted and recoil atoms and their use for syntheses, doping and labeling of compounds. (2) The formation of thin films by decomposing chemical compounds with ion beams. 3) Catalytic effects on substrates treated by sputtering or ion implantation. Recent results with nonmetallic substrates are reviewed. Mainly hydrogenation reactions at a solid/gas interface or redox reactions at an electrified solid/liquid interface are mentioned. The present status and future prospects of these kinds of investigations will be discussed. (author)

  17. The Effect of Ag and Ag+N Ion Implantation on Cell Attachment Properties

    International Nuclear Information System (INIS)

    Urkac, Emel Sokullu; Oztarhan, Ahmet; Gurhan, Ismet Deliloglu; Iz, Sultan Gulce; Tihminlioglu, Funda; Oks, Efim; Nikolaev, Alexey; Ila, Daryush

    2009-01-01

    Implanted biomedical prosthetic devices are intended to perform safely, reliably and effectively in the human body thus the materials used for orthopedic devices should have good biocompatibility. Ultra High Molecular Weight Poly Ethylene (UHMWPE) has been commonly used for total hip joint replacement because of its very good properties. In this work, UHMWPE samples were Ag and Ag+N ion implanted by using the Metal-Vapor Vacuum Arc (MEVVA) ion implantation technique. Samples were implanted with a fluency of 1017 ion/cm2 and extraction voltage of 30 kV. Rutherford Backscattering Spectrometry (RBS) was used for surface studies. RBS showed the presence of Ag and N on the surface. Cell attachment properties investigated with model cell lines (L929 mouse fibroblasts) to demonstrate that the effect of Ag and Ag+N ion implantation can favorably influence the surface of UHMWPE for biomedical applications. Scanning electron microscopy (SEM) was used to demonstrate the cell attachment on the surface. Study has shown that Ag+N ion implantation represents more effective cell attachment properties on the UHMWPE surfaces.

  18. Influence of the residual oxygen in the plasma immersion ion implantation (PI3) processing of materials

    International Nuclear Information System (INIS)

    Ueda, M.; Silva, A.R.; Mello, Carina B.; Silva, G.; Reuther, H.; Oliveira, V.S.

    2011-01-01

    In this work, we investigated the effects of the contaminants present in the vacuum chamber of the PI3 system, in particular, the residual oxygen, which results in the formation of the oxide compounds on the surface and hence is responsible for the high implantation energies required to achieve reasonably thick treated layers. We used a mass spectrometer (RGA) with a quadruple filter to verify the composition of the residual vacuum and pressure of the elements present in the chamber. Initially we found a high proportion of residual oxygen in a vacuum with a pressure of 1 × 10 −3 Pa. Minimizing the residual oxygen percentage in about 80%, by efficient cleaning of the chamber walls and by improving the gas feeding process, we mitigated the formation of oxides during the PI3 process. Therefore we achieved a highly efficient PI3 processing obtaining implanted layers reaching about 50 nm, even in cases such as an aluminum alloy, where is very difficult to nitrogen implant at low energies. We performed nitrogen PI3 treatment of SS304 and Al7075 using pulses of only 3 kV and 15 × 10 −6 s at 1 kHz with an operating pressure of 1 Pa.

  19. Effect of implant design and bioactive glass coating on biomechanical properties of fiber-reinforced composite implants.

    Science.gov (United States)

    Ballo, Ahmed M; Akca, Eralp; Ozen, Tuncer; Moritz, Niko; Lassila, Lippo; Vallittu, Pekka; Närhi, Timo

    2014-08-01

    This study aimed to evaluate the influence of implant design and bioactive glass (BAG) coating on the response of bone to fiber-reinforced composite (FRC) implants. Three different FRC implant types were manufactured for the study: non-threaded implants with a BAG coating; threaded implants with a BAG coating; and threaded implants with a grit-blasted surface. Thirty-six implants (six implants for each group per time point) were installed in the tibiae of six pigs. After an implantation period of 4 and 12 wk, the implants were retrieved and prepared for micro-computed tomography (micro-CT), push-out testing, and scanning electron microscopy analysis. Micro-CT demonstrated that the screw-threads and implant structure remained undamaged during the installation. The threaded FRC/BAG implants had the highest bone volume after 12 wk of implantation. The push-out strengths of the threaded FRC/BAG implants after 4 and 12 wk (463°N and 676°N, respectively) were significantly higher than those of the threaded FRC implants (416°N and 549°N, respectively) and the nonthreaded FRC/BAG implants (219°N and 430°N, respectively). Statistically significant correlation was found between bone volume and push-out strength values. This study showed that osseointegrated FRC implants can withstand the static loading up to failure without fracture, and that the addition of BAG significantly improves the push-out strength of FRC implants. © 2014 Eur J Oral Sci.

  20. Effect of cyclic loading and retightening on reverse torque value in external and internal implants.

    Science.gov (United States)

    Cho, Woong-Rae; Huh, Yoon-Hyuk; Park, Chan-Jin; Cho, Lee-Ra

    2015-08-01

    The aim of this study was to evaluate the effect of cyclic loading and screw retightening on reverse torque value (RTV) in external and internal type implants. Cement-retained abutments were connected with 30 Ncm torque to external and internal type implants. Experimental groups were classified according to implant connection type and retightening/loading protocol. In groups with no retightening, RTV was evaluated after cyclic loading for 100,000 cycles. In groups with retightening, RTV was measured after 3, 10, 100 cycles as well as every 20,000 cycles until 100,000 cycles of loading. Every group showed decreased RTV after cyclic loading. Before and after cyclic loading, external type implants had significantly higher RTVs than internal type implants. In external type implants, retightening did not affect the decrease in RTV. In contrast, retightening 5 times and retightening after 10 cycles of dynamic loading was effective for maintaining RTV in internal type implants. Retightening of screws is more effective in internal type implants than external type implants. Retightening of screws is recommended in the early stage of functional loading.

  1. Remarkable activity of nitrogen-doped hollow carbon spheres encapsulated Cu on synthesis of dimethyl carbonate: Role of effective nitrogen

    Science.gov (United States)

    Li, Haixia; Zhao, Jinxian; Shi, Ruina; Hao, Panpan; Liu, Shusen; Li, Zhong; Ren, Jun

    2018-04-01

    A critical aspect in the improvement of the catalytic performance of Cu-based catalysts for the synthesis of dimethyl carbonate (DMC) is the development of an appropriate support. In this work, nitrogen-doped hollow carbon spheres (NHCSs), with 240 nm average diameter, 17 nm shell thickness, uniform mesoporous structure and a specific surface area of 611 m2 g-1, were prepared via a two-step Stӧber method. By varying the quantity of nitrogen-containing phenols used in the preparation it has been possible to control the nitrogen content and, consequently, the sphericity of the NHCSs. It was found that perfect spheres were obtained for nitrogen contents below 5.4 wt.%. The catalysts (Cu@NHCSs) were prepared by the hydrothermal impregnation method. The catalytic activity towards DMC synthesis was notably enhanced due to the immobilization effect on Cu particles and the enhanced electron transfer effect exercised by the effective nitrogen species, including pyridinic-N and graphitic-N. When the average size of the copper nanoparticles was 7.4 nm and the nitrogen content was 4.0 wt.%, the values of space-time yield of DMC and of turnover frequency (TOF) reached 1528 mg/(g h) and 11.0 h-1, respectively. The TOF value of Cu@NHCSs was 6 times higher than non-doped Cu@Carbon (2.1 h-1). The present work introduces the potential application of nitrogen-doped carbon materials and presents a novel procedure for the preparation of catalysts for DMC synthesis.

  2. Cost-effectiveness of implant-supported mandibular removable partial dentures.

    Science.gov (United States)

    Jensen, Charlotte; Ross, Jamila; Feenstra, Talitha L; Raghoebar, Gerry M; Speksnijder, Caroline; Meijer, Henny J A; Cune, Marco S

    2017-05-01

    The aim of this study was to conduct a cost-effectiveness analysis comparing conventional removable partial dentures (RPDs) and implant-supported RPDs (ISRPDs) treatment in patients with an edentulous maxilla and a bilateral free-ending situation in the mandible. Thirty subjects were included. A new RPD was made and implant support was provided 3 months later. Treatment costs (opportunity costs and costs based on tariffs) were calculated. Treatment effect was expressed by means of the Dutch Oral Health Impact Profile questionnaire (OHIP-NL49), a chewing ability test (Mixing Ability Index, MAI) and a short-form health survey measuring perceived general health (SF-36), which was subsequently converted into quality-adjusted-life-years (QALYs). The incremental cost-effectiveness ratio (ICER) was the primary outcome measure of cost-effectiveness, comparing both treatment strategies. The mean total opportunity costs were €981 (95% CI €971-€991) for the RPD treatment and €2.480 (95% CI €2.461-€2.500) for the ISRPD treatment. The total costs derived from the national tariff structure were €850 for the RPD treatment and €2.610 for the ISRPD treatment. The ICER for OHIP-NL49 and MAI using the opportunity costs was €80 and €786, respectively. When using the tariff structure, corresponding ICERs were €94 and €921. The effect of supporting an RPD with implants when expressed in QALYs was negligible; hence an ICER was not determined. It is concluded that depending on the choice of outcome measure and monetary threshold, supporting an RPD with implants is cost-effective when payers are willing to pay more than €80 per OHIP point gained. Per MAI point gained, an additional €786 has to be invested. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  3. Nitrogen accumulation and residual effects of nitrogen catch crops

    DEFF Research Database (Denmark)

    Jensen, E.S.

    1991-01-01

    The nitrogen accumulation in Italian ryegrass (Lolium multiflorum Lam.), perennial ryegrass (Lolium perenne L.), white mustard (Sinapis alba L.) and tansy phacelia (Phacelia tanacetifolia L.), under- or aftersown as nitrogen catch crops to spring barley (Hordeum vulgare L.) and field pea (Pisum s...

  4. Effect of ion implantation on apple wine yeast

    International Nuclear Information System (INIS)

    Song Andong; Chen Hongge; Zhang Shimin; Jia Cuiying

    2004-01-01

    The wild type apple wine yeast Y 02 was treated by ion implantation with the dose of 8 x 10 15 ion/cm 2 . As results, a special mutant strain, ION II -11 dry, was obtained. The morphology characters, partial biochemistry characters, mycelium protein of the mutant strain were distinctively changed compared with original strain Y 02 . After the fermentation test ,the apple wine producing rate of the mutant strain increased 22.4% compared with original strain. These results showed that ion implantation was an effective method for mutagenesis

  5. First-principles study on the interaction of nitrogen atom with α–uranium: From surface adsorption to bulk diffusion

    International Nuclear Information System (INIS)

    Su, Qiulei; Deng, Huiqiu; Xiao, Shifang; Li, Xiaofan; Hu, Wangyu; Ao, Bingyun; Chen, Piheng

    2014-01-01

    Experimental studies of nitriding on uranium surfaces show that the modified layers provide considerable protection against air corrosion. The bimodal distribution of nitrogen is affected by both its implantation and diffusion, and the diffusion of nitrogen during implantation is also governed by vacancy trapping. In the present paper, nitrogen adsorption, absorption, diffusion, and vacancy trapping on the surface of and in the bulk of α–uranium are studied with a first-principles density functional theory approach and the climbing image nudged elastic band method. The calculated results indicate that, regardless of the nitrogen coverage, a nitrogen atom prefers to reside at the hollow1 site and octahedral (Oct) site on and below the surface, respectively. The lowest energy barriers for on-surface and penetration diffusion occur at a coverage of 1/2 monolayer. A nitrogen atom prefers to occupy the Oct site in bulk α–uranium. High energy barriers are observed during the diffusion between neighboring Oct sites. A vacancy can capture its nearby interstitial nitrogen atom with a low energy barrier, providing a significant attractive nitrogen-vacancy interaction at the trapping center site. This study provides a reference for understanding the nitriding process on uranium surfaces

  6. The effect of provisional restoration type on micromovement of implants.

    Science.gov (United States)

    Holst, Stefan; Geiselhoeringer, Hans; Wichmann, Manfred; Holst, Alexandra Ioana

    2008-09-01

    The osseointegration or fibrous encapsulation of immediately loaded dental implants depends largely on the extent of implant micromovement. The impact of acrylic resin or metal-reinforced acrylic resin provisional restorations on this movement is currently unknown. The purpose of this study was to isolate and measure the effect of provisional restoration type on the vertical displacement of adjacent implants under load at 2 locations. Vertical loads ranging from 10-200 N were applied to polymethyl methacrylate resin (n=56) or metal-reinforced acrylic resin provisional restorations (n=56) supported by 4 implants inserted into homogenous artificial bone in a "u-shaped" alignment. Provisional restorations were first loaded in the anterior segment where the provisional restoration was supported by a mesial and distal implant, followed by loading on an extension 8 mm distal to the last implant. Vertical displacement of the 2 implants nearest the load application was measured and recorded using an optical image correlation technique based on photogrammetric principles. Data were subjected to a nonparametric multivariate analysis (generalized Wilcoxon test) and a Mann-Whitney test with a 2-tailed P value (alpha=.05). There was no significant difference in the vertical implant displacement of the 2 provisional restoration groups when they were loaded in the anterior segment. However, when loads were applied to the distal cantilever, metal reinforcement resulted in less vertical displacement of the next-to-last implant. The mean vertical displacement of the next-to-last implant when supporting an acrylic resin provisional restoration increased from 20 microm +/-3 microm (pooled loads of 10-50 N) to 130 microm +/-21 microm (pooled loads of 160-200 N), while the vertical displacement of the implant when retaining a metal-reinforced acrylic resin provisional restoration increased from 10 microm +/-2 microm to 69 microm +/-13 microm under the same loads (Pprovisional

  7. High fluence effects on ion implantation stopping and range

    International Nuclear Information System (INIS)

    Selvi, S.; Tek, Z.; Oeztarhan, A.; Akbas, N.; Brown, I.G.

    2005-01-01

    We have developed a code STOPPO which can be used to modify the more-widely used ion implantation codes to more accurately predict the mean nuclear and electronic stopping power, preferential sputtering and range of heavy ions in monatomic target materials. In our simulations an effective atomic number and effective atomic mass are introduced into conveniently available analytical stopping cross-sections and a better fitting function for preferential sputtering yield is carefully evaluated for each ion implantation. The accuracy of the code confirmed experimentally by comparison with measured Rutherford backscattering spectrometry (RBS) concentration profiles for 130 keV Zr ions implanted into Be to fluences of 1 x 10 17 , 2 x 10 17 and 4 x 10 17 ions/cm 2 . We find a steady increase in the mean nuclear and electronic stopping powers of the target; the increase in nuclear stopping power is much greater than the increase in electronic stopping power

  8. Effect of implant position, angulation, and attachment height on peri-implant bone stress associated with mandibular two-implant overdentures: a finite element analysis.

    Science.gov (United States)

    Hong, Hae Ryong; Pae, Ahran; Kim, Yooseok; Paek, Janghyun; Kim, Hyeong-Seob; Kwon, Kung-Rock

    2012-01-01

    The aim of this study was to analyze and compare the level and distribution of peri-implant bone stresses associated with mandibular two-implant overdentures with different implant positions. Mathematical models of mandibles and overdentures were designed using finite element analysis software. Two intraosseous implants and ball attachment systems were placed in the interforaminal region. The overdenture, which was supported by the two implants, was designed to withstand bilateral and unilateral vertical masticatory loads (total 100 N). In all, eight types of models, which differed according to assigned implant positions, height of attachments, and angulation, were tested: MI (model with implants positioned in the lateral incisor sites), MC (implants in canine sites), MP (implants in premolar sites), MI-Hi (greater height of attachments), MC-M (canine implants placed with mesial inclination), MC-D (canine implants placed with distal inclination), MC-B (canine implants placed with buccal inclination), and MC-L (canine implants placed with lingual inclination). Peri-implant bone stress levels associated with overdentures retained by lateral incisor implants resulted in the lowest stress levels and the highest efficiency in distributing peri-implant stress. MI-Hi showed increased stress levels and decreased efficiency in stress distribution. As the implants were inclined, stress levels increased and the efficiency of stress distribution decreased. Among the inclined models, MC-B showed the lowest stress level and best efficiency in stress distribution. The lowest stress and the best stability of implants in mandibular two-implant overdentures were obtained when implants were inserted in lateral incisor areas with shorter attachments and were placed parallel to the long axes of the teeth.

  9. Computer simulation for the formation of the insulator layer of silicon-on-insulator devices by N sup + and O sup + Co-implantation

    CERN Document Server

    Lin Qing; Xie Xin Yun; Lin Chenglu; Liu Xiang Hua

    2002-01-01

    A buried sandwiched layer consisting of silicon dioxide (upper part), silicon oxynitride (medium part) and silicon nitride (lower part) is formed by N sup + and O sup + co-implantation in silicon wafers at a constant temperature of 550 degree C. The microstructure is performed by cross-sectional transmission electron microscopy. To predict the quality of the buried sandwiched layer, the authors study the computer simulation for the formation of the SIMON (separated by implantation of oxygen and nitrogen) structure. The simulation program for SIMOX (separated by implantation of oxygen) is improved in order to be applied in O sup + and N sup + co-implantation on the basis of different formation mechanism between SIMOX and SIMNI (separated by implantation of nitrogen) structures. There is a good agreement between experiment and simulation results verifying the theoretical model and presumption in the program

  10. Delayed addition of nitrogen-rich substrates during composting of municipal waste: Effects on nitrogen loss, greenhouse gas emissions and compost stability.

    Science.gov (United States)

    Nigussie, Abebe; Bruun, Sander; Kuyper, Thomas W; de Neergaard, Andreas

    2017-01-01

    Municipal waste is usually composted with an N-rich substrate, such as manure, to increase the N content of the product. This means that a significant amount of nitrogen can be lost during composting. The objectives of this study were (i) to investigate the effect of split addition of a nitrogen-rich substrate (poultry manure) on nitrogen losses and greenhouse gas emissions during composting and to link this effect to different bulking agents (coffee husks and sawdust), and (ii) to assess the effect of split addition of a nitrogen-rich substrate on compost stability and sanitisation. The results showed that split addition of the nitrogen-rich substrate reduced nitrogen losses by 9% when sawdust was used and 20% when coffee husks were used as the bulking agent. Depending on the bulking agent used, split addition increased cumulative N 2 O emissions by 400-600% compared to single addition. In contrast, single addition increased methane emissions by up to 50% compared to split addition of the substrate. Hence, the timing of the addition of the N-rich substrate had only a marginal effect on total non-CO 2 greenhouse gas emissions. Split addition of the N-rich substrate resulted in compost that was just as stable and effective at completely eradicating weed seeds as single addition. These findings therefore show that split addition of a nitrogen-rich substrate could be an option for increasing the fertilising value of municipal waste compost without having a significant effect on total greenhouse gas emissions or compost stability. Copyright © 2016 Elsevier Ltd. All rights reserved.

  11. Effect of disorder and defects in ion-implanted semiconductors electrical and physiochemical characterization

    CERN Document Server

    Willardson, Robert K; Christofides, Constantinos; Ghibaudo, Gerard

    2014-01-01

    Defects in ion-implanted semiconductors are important and will likely gain increased importance in the future as annealing temperatures are reduced with successive IC generations. Novel implant approaches, such as MdV implantation, create new types of defects whose origin and annealing characteristics will need to be addressed. Publications in this field mainly focus on the effects of ion implantation on the material and the modification in the implanted layer afterhigh temperature annealing.Electrical and Physicochemical Characterization focuses on the physics of the annealing kine

  12. Microstructures of group III-nitrides after implantation with gallium

    International Nuclear Information System (INIS)

    Kench, P.J.

    2001-05-01

    High doses of gallium have been implanted into layers of aluminium nitride (AIN), indium nitride (InN) and amorphous silicon nitride (a-SiN x ) in an attempt to bond gallium with nitrogen and form binary or ternary alloys. The microstructure of the resultant layers have been characterised using, principally, transmission electron microscopy and X-ray photoelectron spectroscopy. The implantation of a high dose of Ga ions into AIN was successful in synthesising a GaN/GaAlN compound. The resultant layers were largely uniform but contained aluminium precipitates near the surface. These precipitates were pure Al and were most common in the region associated with the maximum Ga concentration. Deconvolution of X-ray photoelectron spectroscopy peaks indicated that Ga existed in a number of chemical states, including the nitride. Electron diffraction patterns from the implanted layers were closely indexed to both AIN and GaN. A further N implant was used to reduce the concentration of the aluminium precipitates and increase the concentration of GaN bonds. The yield of Ga-N bonds dramatically increased and a reduction in the concentration of Al precipitates was observed. Laser and thermal annealing was performed on the implanted AIN substrates. The near surface regions of the implanted specimens appeared to free of precipitates and bubbles. Laser annealing did have a noticeable effect on the electrical and optical properties of the layers. After laser annealing the conductivity of the Ga implanted layer was lower, indicating that the quality of the material had improved. PL measurements showed that a new PL peak at 2.6 eV appeared after laser annealing. It has been found that implanting InN with gallium can yield Ga-N bonds. However, Ga implants into InN were not as successful at synthesising GaN compounds as those by implanting Ga into AIN, due to the low thermal stability of InN. The implanted InN layers were very irregular and contained large indium precipitates and

  13. Plasma immersion ion implantation for reducing metal ion release

    Energy Technology Data Exchange (ETDEWEB)

    Diaz, C.; Garcia, J. A.; Maendl, S.; Pereiro, R.; Fernandez, B.; Rodriguez, R. J. [Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain); Leibniz-Institut fuer Oberflaechenmodifizierung, 04318 Leipzig (Germany); Universidad de Oviedo, Departamento Quimica Fisica y Analitica (Spain); Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain)

    2012-11-06

    Plasma immersion ion implantation of Nitrogen and Oxygen on CoCrMo alloys was carried out to improve the tribological and corrosion behaviors of these biomedical alloys. In order to optimize the implantation results we were carried experiments at different temperatures. Tribocorrosion tests in bovine serum were used to measure Co, Cr and Mo releasing by using Inductively Coupled Plasma Mass Spectrometry analysis after tests. Also, X-ray Diffraction analysis were employed in order to explain any obtained difference in wear rate and corrosion tests. Wear tests reveals important decreases in rate of more than one order of magnitude for the best treatment. Moreover decreases in metal release were found for all the implanted samples, preserving the same corrosion resistance of the unimplanted samples. Finally this paper gathers an analysis, in terms of implantation parameters and achieved properties for industrial implementation of these treatments.

  14. Survival of dental implants placed in sites of previously failed implants.

    Science.gov (United States)

    Chrcanovic, Bruno R; Kisch, Jenö; Albrektsson, Tomas; Wennerberg, Ann

    2017-11-01

    To assess the survival of dental implants placed in sites of previously failed implants and to explore the possible factors that might affect the outcome of this reimplantation procedure. Patients that had failed dental implants, which were replaced with the same implant type at the same site, were included. Descriptive statistics were used to describe the patients and implants; survival analysis was also performed. The effect of systemic, environmental, and local factors on the survival of the reoperated implants was evaluated. 175 of 10,096 implants in 98 patients were replaced by another implant at the same location (159, 14, and 2 implants at second, third, and fourth surgeries, respectively). Newly replaced implants were generally of similar diameter but of shorter length compared to the previously placed fixtures. A statistically significant greater percentage of lost implants were placed in sites with low bone quantity. There was a statistically significant difference (P = 0.032) in the survival rates between implants that were inserted for the first time (94%) and implants that replaced the ones lost (73%). There was a statistically higher failure rate of the reoperated implants for patients taking antidepressants and antithrombotic agents. Dental implants replacing failed implants had lower survival rates than the rates reported for the previous attempts of implant placement. It is suggested that a site-specific negative effect may possibly be associated with this phenomenon, as well as the intake of antidepressants and antithrombotic agents. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  15. The effect of vibrationally excited nitrogen on the low-latitude ionosphere

    Directory of Open Access Journals (Sweden)

    B. Jenkins

    1997-11-01

    Full Text Available The first five vibrationally excited states of molecular nitrogen have been included in the Sheffield University plasmasphere ionosphere model. Vibrationally excited molecular nitrogen reacts much more strongly with atomic oxygen ions than ground-state nitrogen; this means that more O+ ions are converted to NO+ ions, which in turn combine with the electrons to give reduced electron densities. Model calculations have been carried out to investigate the effect of including vibrationally excited molecular nitrogen on the low-latitude ionosphere. In contrast to mid-latitudes, a reduction in electron density is seen in all seasons during solar maximum, the greatest effect being at the location of the equatorial trough.

  16. A review on nickel-free nitrogen containing austenitic stainless steels for biomedical applications.

    Science.gov (United States)

    Talha, Mohd; Behera, C K; Sinha, O P

    2013-10-01

    The field of biomaterials has become a vital area, as these materials can enhance the quality and longevity of human life. Metallic materials are often used as biomaterials to replace structural components of the human body. Stainless steels, cobalt-chromium alloys, commercially pure titanium and its alloys are typical metallic biomaterials that are being used for implant devices. Stainless steels have been widely used as biomaterials because of their very low cost as compared to other metallic materials, good mechanical and corrosion resistant properties and adequate biocompatibility. However, the adverse effects of nickel ions being released into the human body have promoted the development of "nickel-free nitrogen containing austenitic stainless steels" for medical applications. Nitrogen not only replaces nickel for austenitic structure stability but also much improves steel properties. Here we review the harmful effects associated with nickel and emphatically the advantages of nitrogen in stainless steel, as well as the development of nickel-free nitrogen containing stainless steels for medical applications. By combining the benefits of stable austenitic structure, high strength, better corrosion and wear resistance and superior biocompatibility in comparison to the currently used austenitic stainless steel (e.g. 316L), the newly developed nickel-free high nitrogen austenitic stainless steel is a reliable substitute for the conventionally used medical stainless steels. Copyright © 2013 Elsevier B.V. All rights reserved.

  17. Biological effects of N+ ion implantation and UV radiation on streptomyces albus

    International Nuclear Information System (INIS)

    Wu Jian; Dai Guifu

    2005-01-01

    The results of both 30 keV N + ion implantation and UV irradiation of Streptomyces albus showed complicate biological effects. The 'saddle shape' pattern of the dose-dependent curve formed by N + ion implantation with low energy was studied, and it proved that vacuum was not the reason, and the fact, the 'saddle shape' curve may be regarded as a HRS/IRR (hyper-radiosensitivity/increased radiaoresistance) effect caused by low dose irradiation. But Streptomyces albus UV irradiated after vacuum treatment only showed IRR effect or hormesis (survival rate >100%). The streptomycin resistance mutation of Streptomyces albus caused by low energy N + ion implantation and UV irradiation was also studied. the results showed that UV radiation is one effective means for streptomyces albus breeding. (authors)

  18. A study on mutagenic effects of antibiotic-producers by ion implantation

    International Nuclear Information System (INIS)

    Xie Liqing; Zhang Yinfen; Chen Ruyi; Zhou Ruiying; Zhang Peiling; Ying Hengfeng; Yang Guorong; Yang Guifang

    1995-01-01

    Mutagenic effects of Streptomyces ribosidificus, Streptomyces kanamyceticus and the phage-resistant culture of Streptomyces kanamyceticus induced by N + and C + ion implantation with different doses have been investigated. The experimental results show that the death rates of antibiotic-producers increase with the increase of ion implantation dose, and the form mutation of the antibiotic-producers is rather obvious. After N + ion implantation, the titer units increase by 10%-25%, 5.2%-12.1% and 2.1%-12.75% for the above three strains respectively; while after C + ion implantation the titer units increase by 10%-16.9%, 1.05%-3.08% and 5%-20% respectively. The selected strains of Micromonospora echimospoora and Streptomyces kanamyceticus after N + ion implantation have been used in the factory. The increase of production is 20% and 12.5% respectively and marked economic benefits are obtained

  19. Effects of the implant design on peri-implant bone stress and abutment micromovement: three-dimensional finite element analysis of original computer-aided design models.

    Science.gov (United States)

    Yamanishi, Yasufumi; Yamaguchi, Satoshi; Imazato, Satoshi; Nakano, Tamaki; Yatani, Hirofumi

    2014-09-01

    Occlusal overloading causes peri-implant bone resorption. Previous studies examined stress distribution in alveolar bone around commercial implants using three-dimensional (3D) finite element analysis. However, the commercial implants contained some different designs. The purpose of this study is to reveal the effect of the target design on peri-implant bone stress and abutment micromovement. Six 3D implant models were created for different implant-abutment joints: 1) internal joint model (IM); 2) external joint model (EM); 3) straight abutment (SA) shape; 4) tapered abutment (TA) shapes; 5) platform switching (PS) in the IM; and 6) modified TA neck design (reverse conical neck [RN]). A static load of 100 N was applied to the basal ridge surface of the abutment at a 45-degree oblique angle to the long axis of the implant. Both stress distribution in peri-implant bone and abutment micromovement in the SA and TA models were analyzed. Compressive stress concentrated on labial cortical bone and tensile stress on the palatal side in the EM and on the labial side in the IM. There was no difference in maximum principal stress distribution for SA and TA models. Tensile stress concentration was not apparent on labial cortical bone in the PS model (versus IM). Maximum principal stress concentrated more on peri-implant bone in the RN than in the TA model. The TA model exhibited less abutment micromovement than the SA model. This study reveals the effects of the design of specific components on peri-implant bone stress and abutment displacement after implant-supported single restoration in the anterior maxilla.

  20. Enhancing the antibacterial performance of orthopaedic implant materials by fibre laser surface engineering

    Science.gov (United States)

    Chan, Chi-Wai; Carson, Louise; Smith, Graham C.; Morelli, Alessio; Lee, Seunghwan

    2017-05-01

    Implant failure caused by bacterial infection is extremely difficult to treat and usually requires the removal of the infected components. Despite the severe consequence of bacterial infection, research into bacterial infection of orthopaedic implants is still at an early stage compared to the effort on enhancing osseointegration, wear and corrosion resistance of implant materials. In this study, the effects of laser surface treatment on enhancing the antibacterial properties of commercially pure (CP) Ti (Grade 2), Ti6Al4V (Grade 5) and CoCrMo alloy implant materials were studied and compared for the first time. Laser surface treatment was performed by a continuous wave (CW) fibre laser with a near-infrared wavelength of 1064 nm in a nitrogen-containing environment. Staphylococcus aureus, commonly implicated in infection associated with orthopaedic implants, was used to investigate the antibacterial properties of the laser-treated surfaces. The surface roughness and topography of the laser-treated materials were analysed by a 2D roughness testing and by AFM. The surface morphologies before and after 24 h of bacterial cell culture were captured by SEM, and bacterial viability was determined using live/dead staining. Surface chemistry was analysed by XPS and surface wettability was measured using the sessile drop method. The findings of this study indicated that the laser-treated CP Ti and Ti6Al4V surfaces exhibited a noticeable reduction in bacterial adhesion and possessed a bactericidal effect. Such properties were attributable to the combined effects of reduced hydrophobicity, thicker and stable oxide films and presence of laser-induced nano-features. No similar antibacterial effect was observed in the laser-treated CoCrMo.

  1. The effects of soil water conditions on nitrogen fertilization use efficiency

    International Nuclear Information System (INIS)

    Zhou Lingyun

    1996-01-01

    Concerning with applied nitrogen fertilizer, the uptake as well as loss of nitrogen is mainly related to soil water content. The effects of soil water condition in wheat field on the uptake, leach and loss of nitrogen fertilizer were studied using 15 N tracing technique. The results showed that within certain range of soil water supply, from 180 to 360 mm of available water storage, the loss of nitrogen was in direct proportion to the amount of fertilizer application and the nitrogen use efficiency decreased with the increase of nitrogen application. In other words, the nitrogen use efficiency descended with the nitrogen application increased in an order of 75 kgN/ha, 150 kgN/ha, 225 kgN/ha. One interesting result was that the nitrogen use efficiencies ranged from 17.0% to 30.5% for the treatments receiving the same application rate of 75 kgN/ha

  2. Uptake of fertilizer nitrogen and soil nitrogen by rice using 15N-labelled nitrogen fertilizer

    International Nuclear Information System (INIS)

    Reddy, K.R.; Patrick, W.H. Jr.

    1980-01-01

    Data from five field experiments using labelled nitrogen fertilizer were used to determine the relative effects of soil nitrogen and fertilizer nitrogen on rice yield. Yield of grain was closely correlated with total aboveground nitrogen uptake (soil + fertilizer), less closely correlated with soil nitrogen uptake and not significantly correlated with fertilizer nitrogen uptake. When yield increase rather than yield was correlated with fertilizer nitrogen uptake, the correlation coefficient was statistically significant. (orig.)

  3. Effect of implanted doses of N+-ions on the contact resistance of copper contacts

    International Nuclear Information System (INIS)

    Dubravec, B.; Kovac, P.; Lipka, F.; Padysak, M.

    1997-01-01

    The paper deals with the effect of implanted doses of N + ions on the contact resistance. Dependencies of the contact resistance versus contact force R c =f(F c ) and microhardness of implanted surfaces were measured for three implanted profiles. The influence of the aggressive environs on the contact resistance of implanted contact is given too

  4. Effect of insertion torque on titanium implant osseointegration: an animal experimental study.

    Science.gov (United States)

    Duyck, Joke; Roesems, Rutger; Cardoso, Marcio V; Ogawa, Toru; De Villa Camargos, Germana; Vandamme, Katleen

    2015-02-01

    To evaluate the effect of implant insertion torque on the peri-implant bone healing and implant osseointegration. Bilaterally in the tibia of five adult New Zealand white rabbits, 20 implants were installed, subdivided into four groups, corresponding to two insertion torque conditions (low, 50 Ncm) and 2 experimental periods (2 weeks vs. 4 weeks of healing). The implant insertion torque was determined by the surgical drill diameter relative to the implant diameter. Implant osseointegration was evaluated by quantitative histology (bone-to-implant contact with host bone [BIC-host], with neoformed bone [BIC-de novo], with both bone types [BIC-total], and peri-implant bone [BA/TA]). Every response was modelled over time using GEE (general estimation equation) with an unstructured variance-covariance matrix to correct for dependency between the measurements from one animal. The statistical significance level of α = 0.05 was applied. Significantly, more BIC-host and BIC-total were recorded for H implants compared with L implants after 2 week of healing (P = 0.010 and P = 0.0001, respectively). However, this result was no longer found for the extended healing period. Furthermore, BIC-total significantly increased over time for L implants (P torque led to an increased BA/TA after 4 week of healing (P torque implants installed in the rabbit tibial bone osseointegrate with considerable de novo bone formation. This bone neoformation enables L implants to catch up, already during the early osseointegration stage, the initial inferior amount BIC contact compared with that of H implants. A negative impact of the created strain environment accompanying H insertion torque implant installation on the biological process of osseointegration could not be observed, at least not at tissue level. © 2013 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  5. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  6. The effect of the rate and method of nitrogen application on nitrogen uptake and utilization by broccoli (Brassica oleracea var. italica)

    NARCIS (Netherlands)

    Everaarts, A.P.; Willigen, de P.

    1999-01-01

    The effect of the rate and method of nitrogen application on nitrogen uptake and utilization by broccoli (Brassica oleracea var. italica) was studied in four field experiments. The methods of application were broadcast application vs band placement and split application. Maximum uptake of nitrogen

  7. Effects of seed migration on post-implant dosimetry of prostate brachytherapy

    International Nuclear Information System (INIS)

    Gao, M.; Wang, J. Z.; Nag, S.; Gupta, N.

    2007-01-01

    Brachytherapy using permanent seed implants has been an effective treatment for prostate cancer. However, seeds will migrate after implant, thus making the evaluation of post-implant dosimetry difficult. In this study, we developed a computer program to simulate seed migration and analyzed dosimetric changes due to seed migration at various migration amounts. The study was based on 14 patients treated with Pd-103 at the James Cancer Hospital. Modeling of seed migration, including direction, distance as well as day of migration, was based on clinical observations. Changes of commonly used dosimetric parameters as a function of migration amount (2, 4, 6 mm respectively), prostate size (from 20 to 90 cc), and prostate region (central vs peripheral) were studied. Change of biological outcome (tumor control probability) due to migration was also estimated. Migration reduced prostate D90 to 99±2% of original value in 2 mm migration, and the reduction increased to 94±6% in 6 mm migration. The reduction of prostate dose led to a 14% (40%) drop in the tumor control probability for 2 mm (6 mm) migration, assuming radiosensitive tumors. However, migration has less effect on a prostate implanted with a larger number of seeds. Prostate V100 was less sensitive to migration than D90 since its mean value was still 99% of original value even in 6 mm migration. Migration also showed a different effect in the peripheral region vs the central region of the prostate, where the peripheral mean dose tended to drop more significantly. Therefore, extra activity implanted in the peripheral region during pre-plan can be considered. The detrimental effects of migration were more severe in terms of increasing the dose to normal structures, as rectum V50 may be 70% higher and urethra V100 may be 50% higher in the case of 6 mm migration. Quantitative knowledge of these effects is helpful in treatment planning and post-implant evaluation

  8. Formation of slab waveguides in eulytine type BGO and CaF{sub 2} crystals by implantation of MeV nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Banyasz, I., E-mail: bakonyjako@yahoo.es [Department of Crystal Physics, Research Institute for Solid State Physics and Optics of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Berneschi, S. [Centro Studi e Ricerche ' Enrico Fermi' , Piazza del Viminale 2, 00184 Roma (Italy); MDF-Lab, ' ' Nello Carrara' ' Institute of Applied Physics, IFAC-CNR, Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy); Khanh, N.Q.; Lohner, T. [Research Institute for Technical Physics and Materials Science of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Lengyel, K. [Department of Crystal Physics, Research Institute for Solid State Physics and Optics of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Fried, M. [Research Institute for Technical Physics and Materials Science of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Peter, A. [Department of Crystal Physics, Research Institute for Solid State Physics and Optics of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Petrik, P.; Zolnai, Z. [Research Institute for Technical Physics and Materials Science of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Watterich, A. [Department of Crystal Physics, Research Institute for Solid State Physics and Optics of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Nunzi-Conti, G.; Pelli, S.; Righini, G.C. [MDF-Lab, ' ' Nello Carrara' ' Institute of Applied Physics, IFAC-CNR, Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy)

    2012-09-01

    Ion implantation, compared with other waveguide fabrication methods, has some unique advantages. It has proved to be a universal technique for producing waveguides in most optical materials. The authors of the present article reported fabrication of channel and slab waveguides in an Erbium-doped tungsten tellurite glass by implantation of MeV energy N{sup +} ions. The present article reports successful adaptation of the same technique to the fabrication of slab waveguides in eulytine type bismuth germanate (BGO) and CaF{sub 2} crystals. This is the first report on successful waveguide fabrication in these materials using 3.5 MeV N{sup +} ions at implanted fluences between 5 Multiplication-Sign 10{sup 15} and 4 Multiplication-Sign 10{sup 16} ions/cm{sup 2}. Spectroscopic ellipsometric measurements revealed the existence of guiding structures in both materials. M-line spectroscopic measurements indicated guiding effect in the as-implanted BGO up to 1550 nm and up to 980 nm in the as-implanted CaF{sub 2}. Ion implantation induced the appearance of three peaks in the UV/Vis absorption spectrum of CaF{sub 2}, that can be attributed to colour centres.

  9. Ion implantation and ion assisted coatings for wear resistance in metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1986-01-01

    The implantation of electrically accelerated ions of chosen elements into the surface of material provides a method for improving surface properties such as wear resistance. High concentrations of nitrogen implanted into metals create obstacles to dislocation movement, and certain combinations of metallic and non-metallic species will also strengthen the surface. The process is best applied to situations involving mild abrasive wear and operating temperatures that are not too high. Some dramatic increases in life have been reported under such favourable conditions. A more recent development has been the combination of a thin coating with reactive ion bombardment designed to enhance adhesion by ion mixing at the interface and so provide hardness by the formation of finely dispersed nitrides, including cubic boron nitride. These coatings often possess vivid and decorative colours as an added benefit. Developments in the equipment for industrial ion implantation now offer more attractive costs per unit area and a potentially greater throughput of work. A versatile group of related hard vacuum treatments is now emerging, involving the use of intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (author)

  10. Polydopamine deposition with anodic oxidation for better connective tissue attachment to transmucosal implants.

    Science.gov (United States)

    Teng, F; Chen, H; Xu, Y; Liu, Y; Ou, G

    2018-04-01

    Nowadays, most designs for the transmucosal surface of implants are machined-smooth. However, connective tissue adhered to the smooth surface of an implant has poor mechanical resistance, which can render separation of tissue from the implant interface and induce epithelial downgrowth. Modification of the transmucosal surface of implants, which can help form a good seal of connective tissue, is therefore desired. We hypothesized that anodic oxidation (AO) and polydopamine (PD) deposition could be used to enhance the attachment between an implant and peri-implant connective tissue. We tested this hypothesis in the mandibles of Beagle dogs. AO and PD were used to modify the transmucosal region of transmucosal implants (implant neck). The surface microstructure, surface roughness and elemental composition were investigated in vitro. L929 mouse fibroblasts were cultured to test the effect of PD on cell adhesion. Six Beagle dogs were used for the in vivo experiment (n = 6 dogs per group). Three months after building the edentulous animal model, four groups of implants (control, AO, PD and AO + PD) were inserted. After 4 months of healing, samples were harvested for histometric analyses. The surfaces of anodized implant necks were overlaid with densely distributed pores, 2-7 μm in size. On the PD-modified surfaces, N1s, the chemical bond of nitrogen in PD, was detected using X-ray photoelectron spectroscopy. L929 developed pseudopods more quickly on the PD-modified surfaces than on the surfaces of the control group. The in vivo experiment showed a longer connective tissue seal and a more coronally located peri-implant soft-tissue attachment in the AO + PD group than in the control group (P connective tissue. © 2017 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  11. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  12. The effect of implant macro-thread design on implant stability in the early post-operative period: a randomized, controlled pilot study.

    Science.gov (United States)

    McCullough, Jeffrey J; Klokkevold, Perry R

    2017-10-01

    Available literature suggests there is a transient drop in implant stability from approximately week 0 to week 3-4 as a result of peri-implant bone remodeling as it transitions from a primary, mechanical stability to a secondary, biological stability. Research investigating the influence of macro-thread design on this process is scant. The specific aim of this study was to evaluate the role of macro-thread design on implant stability in the early post-operative healing period using resonance frequency analysis (RFA). Seven patients, each missing at least two posterior teeth in the same arch, were included in the study. Three patients qualified for four implants resulting in a total of 10 matched pairs. All sites were healed (>6 months), non-grafted sites with sufficient bone to place implants. Each site in a matched pair was randomly assigned to receive either a control (Megagen EZ Plus Internal; EZ) or test (Megagen AnyRidge; AR) implant. The test implant incorporates a novel thread design with a wide thread depth and increased thread pitch. RFA was used to determine implant stability quotient (ISQ) values for each implant at the time of placement and weekly for the first 8 weeks. Implants consistently achieved a relatively high insertion torque (30-45 N/cm) and high initial ISQ value (79.8 ± 1.49). Baseline ISQ values for test (AR; 79.55 ± 1.61) and control (EZ; 80.05 ± 1.37) implants were similar. A general pattern of stability from baseline through all eight follow-up evaluations was observed for the test implants. A pattern of decreasing ISQ values was observed for the control implants across the early follow-up evaluations up to week four, where the value plateaued. There was a statistically significant main effect due to implant type (P implant type and time (P implants performed differently at certain time points. Within the limitations of this study, macro-thread design appears to play a role in implant stability in the early post

  13. Evaluating the Effectiveness of Biomaterial Removal from Dental Implant Drills

    Science.gov (United States)

    2016-06-13

    dental implant drills. More research is indicated to differentiate surgical debris from solution remnants, to verify results with different cleaning...In the past 50 years, research studies evaluating the effectiveness of cleaning dental instruments focused primarily on endodontic files and dental...of 1.64 implants per visit (T Oringderff, oral communication, APR 2016); combined with the additional use of a starter drill and the possible use

  14. Cost-effectiveness analysis of implants versus autologous perforator flaps using the BREAST-Q.

    Science.gov (United States)

    Matros, Evan; Albornoz, Claudia R; Razdan, Shantanu N; Mehrara, Babak J; Macadam, Sheina A; Ro, Teresa; McCarthy, Colleen M; Disa, Joseph J; Cordeiro, Peter G; Pusic, Andrea L

    2015-04-01

    Reimbursement has been recognized as a physician barrier to autologous reconstruction. Autologous reconstructions are more expensive than prosthetic reconstructions, but provide greater health-related quality of life. The authors' hypothesis is that autologous tissue reconstructions are cost-effective compared with prosthetic techniques when considering health-related quality of life and patient satisfaction. A cost-effectiveness analysis from the payer perspective, including patient input, was performed for unilateral and bilateral reconstructions with deep inferior epigastric perforator (DIEP) flaps and implants. The effectiveness measure was derived using the BREAST-Q and interpreted as the cost for obtaining 1 year of perfect breast health-related quality-adjusted life-year. Costs were obtained from the 2010 Nationwide Inpatient Sample. The incremental cost-effectiveness ratio was generated. A sensitivity analysis for age and stage at diagnosis was performed. BREAST-Q scores from 309 patients with implants and 217 DIEP flap reconstructions were included. The additional cost for obtaining 1 year of perfect breast-related health for a unilateral DIEP flap compared with implant reconstruction was $11,941. For bilateral DIEP flaps compared with implant reconstructions, the cost for an additional breast health-related quality-adjusted life-year was $28,017. The sensitivity analysis demonstrated that the cost for an additional breast health-related quality-adjusted life-year for DIEP flaps compared with implants was less for younger patients and earlier stage breast cancer. DIEP flaps are cost-effective compared with implants, especially for unilateral reconstructions. Cost-effectiveness of autologous techniques is maximized in women with longer life expectancy. Patient-reported outcomes findings can be incorporated into cost-effectiveness analyses to demonstrate the relative value of reconstructive procedures.

  15. Cochlear implantation in late-implanted adults with prelingual deafness.

    Science.gov (United States)

    Most, Tova; Shrem, Hadas; Duvdevani, Ilana

    2010-01-01

    The purpose of this study was to examine the effect of cochlear implantation (CI) on prelingually deafened participants who were implanted as adults. The effect of the CI was examined with regard to the following variables: communication, family, social skills, education, and work satisfaction with one's life, loneliness, and self-esteem. Thirty-eight adults participated. Four self-report questionnaires were used at 2 points in time: before and after CI. The research findings show significant differences in the reports of most variables before and after implantation. The participants felt better with regard to communication, social skills, education, and work and satisfaction with one's life after implantation in comparison to their feelings before implantation. Furthermore, they felt less lonely after implantation. However, there were no significant differences before and after implantation regarding their feelings within the family and regarding their self-esteem. The results demonstrated the need to evaluate the benefits resulting from the CI not only with traditional clinical measures but with additional measures as well. Furthermore, they demonstrated the benefit of the CI on the positive psychosociological implications of prelingually deafened adults. Copyright © 2010 Elsevier Inc. All rights reserved.

  16. Dosimetric effects of edema in permanent prostate seed implants: a rigorous solution

    International Nuclear Information System (INIS)

    Chen Zhe; Yue Ning; Wang Xiaohong; Roberts, Kenneth B.; Peschel, Richard; Nath, Ravinder

    2000-01-01

    Purpose: To derive a rigorous analytic solution to the dosimetric effects of prostate edema so that its impact on the conventional pre-implant and post-implant dosimetry can be studied for any given radioactive isotope and edema characteristics. Methods and Materials: The edema characteristics observed by Waterman et al (Int. J. Rad. Onc. Biol. Phys, 41:1069-1077; 1998) was used to model the time evolution of the prostate and the seed locations. The total dose to any part of prostate tissue from a seed implant was calculated analytically by parameterizing the dose fall-off from a radioactive seed as a single inverse power function of distance, with proper account of the edema-induced time evolution. The dosimetric impact of prostate edema was determined by comparing the dose calculated with full consideration of prostate edema to that calculated with the conventional dosimetry approach where the seed locations and the target volume are assumed to be stationary. Results: A rigorous analytic solution on the relative dosimetric effects of prostate edema was obtained. This solution proved explicitly that the relative dosimetric effects of edema, as found in the previous numerical studies by Yue et. al. (Int. J. Radiat. Oncol. Biol. Phys. 43, 447-454, 1999), are independent of the size and the shape of the implant target volume and are independent of the number and the locations of the seeds implanted. It also showed that the magnitude of relative dosimetric effects is independent of the location of dose evaluation point within the edematous target volume. It implies that the relative dosimetric effects of prostate edema are universal with respect to a given isotope and edema characteristic. A set of master tables for the relative dosimetric effects of edema were obtained for a wide range of edema characteristics for both 125 I and 103 Pd prostate seed implants. Conclusions: A rigorous analytic solution of the relative dosimetric effects of prostate edema has been

  17. Primary prevention of peri-implantitis: managing peri-implant mucositis.

    Science.gov (United States)

    Jepsen, Søren; Berglundh, Tord; Genco, Robert; Aass, Anne Merete; Demirel, Korkud; Derks, Jan; Figuero, Elena; Giovannoli, Jean Louis; Goldstein, Moshe; Lambert, France; Ortiz-Vigon, Alberto; Polyzois, Ioannis; Salvi, Giovanni E; Schwarz, Frank; Serino, Giovanni; Tomasi, Cristiano; Zitzmann, Nicola U

    2015-04-01

    Over the past decades, the placement of dental implants has become a routine procedure in the oral rehabilitation of fully and partially edentulous patients. However, the number of patients/implants affected by peri-implant diseases is increasing. As there are--in contrast to periodontitis--at present no established and predictable concepts for the treatment of peri-implantitis, primary prevention is of key importance. The management of peri-implant mucositis is considered as a preventive measure for the onset of peri-implantitis. Therefore, the remit of this working group was to assess the prevalence of peri-implant diseases, as well as risks for peri-implant mucositis and to evaluate measures for the management of peri-implant mucositis. Discussions were informed by four systematic reviews on the current epidemiology of peri-implant diseases, on potential risks contributing to the development of peri-implant mucositis, and on the effect of patient and of professionally administered measures to manage peri-implant mucositis. This consensus report is based on the outcomes of these systematic reviews and on the expert opinion of the participants. Key findings included: (i) meta-analysis estimated a weighted mean prevalence for peri-implant mucositis of 43% (CI: 32-54%) and for peri-implantitis of 22% (CI: 14-30%); (ii) bleeding on probing is considered as key clinical measure to distinguish between peri-implant health and disease; (iii) lack of regular supportive therapy in patients with peri-implant mucositis was associated with increased risk for onset of peri-implantitis; (iv) whereas plaque accumulation has been established as aetiological factor, smoking was identified as modifiable patient-related and excess cement as local risk indicator for the development of peri-implant mucositis; (v) patient-administered mechanical plaque control (with manual or powered toothbrushes) has been shown to be an effective preventive measure; (vi) professional intervention

  18. A simple ion implanter for material modifications in agriculture and gemmology

    Science.gov (United States)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  19. A simple ion implanter for material modifications in agriculture and gemmology

    International Nuclear Information System (INIS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M.W.; Suwankosum, R.; Rattanarin, S.; Yu, L.D.

    2015-01-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  20. A simple ion implanter for material modifications in agriculture and gemmology

    Energy Technology Data Exchange (ETDEWEB)

    Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Department of Physics, University of York, Heslington, York YO10 5DD (United Kingdom); Suwannakachorn, D.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Phanchaisri, B.; Techarung, J. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Rhodes, M.W.; Suwankosum, R.; Rattanarin, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2015-12-15

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  1. The Effect of Breast Implants on Mammogram Outcomes.

    Science.gov (United States)

    Kam, Kelli; Lee, Esther; Pairawan, Seyed; Anderson, Kendra; Cora, Cherie; Bae, Won; Senthil, Maheswari; Solomon, Naveenraj; Lum, Sharon

    2015-10-01

    Breast cancer detection in women with implants has been questioned. We sought to evaluate the impact of breast implants on mammographic outcomes. A retrospective review of women undergoing mammography between March 1 and October 30, 2013 was performed. Demographic characteristics and mammogram results were compared between women with and without breast implants. Overall, 4.8 per cent of 1863 women identified during the study period had breast implants. Median age was 59 years (26-93). Women with implants were younger (53.9 vs 59.2 years, P breast tissue (72.1% vs 56.4%, P = 0.004) than those without. There were no statistically significant differences with regards to Breast Imaging Recording and Data System 0 score (13.3% with implants vs 21.4% without), call back exam (18.9% with vs 24.1% without), time to resolution of abnormal imaging (58.6 days with vs 43.3 without), or cancer detection rate (0% with implants vs 1.0% without). Because implants did not significantly affect mammogram results, women with implants should be reassured that mammography remains useful in detecting cancer. However, future research is required to determine whether lower call back rates and longer time to resolution of imaging findings contribute to delays in diagnosis in patients with implants.

  2. Effects of Watering and Nitrogen Fertilization on Yield and Water and Nitrogen Use Efficiency of Cropping Oil Sunflower

    Directory of Open Access Journals (Sweden)

    TAN Jian-xin

    2015-10-01

    Full Text Available The field experiment with split-plot design was conducted to study the effects of the interaction of water and nitrogen fertilization on the growth and yield of oil sunflower, water and nitrogen use efficiency of cropping oil sunflower. This experiment set three irrigation rate treatments, including high irrigation treatment (5 250 m3·hm-2, middle irrigation treatment (3 750 m3·hm-2, low irrigation treatment (2 250 m3·hm-2, and four nitrogen application rate treatments, covering no nitrogen fertilization treatment (0 kg·hm-2, low nitrogen application treatment (120 kg·hm-2, middle nitrogen application treatment (240 kg·hm-2 and high nitrogen application treatment (360 kg·hm-2. The results showed that the nitrogen absorption and nitrogen use efficiency of cropping oil sunflower increased as the irrigation rate increased. With the nitrogen application rate increased, the yield of cropping oil sunflower was increased when the nitrogen application rate was 0~240 kg·hm-2, but beyond the 240 kg·hm-2, there was no significant increase. With the irrigation rate increased, the water consumption amount of cropping oil sunflower increased all the time, but the water use efficiency increased first, and hen decreased. Besides there was no significant difference between 240 kg·hm-2 and 360 kg·hm-2 treatment. Under our experiment condition, during the cropping oil sunflower growth period, when the irrigation rate was 5 250 m3·hm-2 (high irrigation rate and the nitrogen ertilization was 360 m3·hm-2 (high nitrogen application rate, the yield of cropping oil sunflower was 3 598 kg·hm-2. When the irrigation rate was 3 750 m3·hm-2 (middle irrigation rate and the nitrogen fertilization was 240 m3·hm-2 (middle nitrogen application rate, the yield was 3 518 kg·hm-2, with the yield components similar with the high irrigation rate and high nitrogen application rate treatment. Considering various factors, middle irrigation rate and middle nitrogen

  3. Effects on cuytoskeleton system in pollen tube of pinus thunbergii induced by ion beam implantation

    International Nuclear Information System (INIS)

    Huang Qunce; Liang Qiuxia; Li Guopin

    2008-01-01

    The damage of the cytoskeleton system in the pollen and the pollen tube of Pinus thunbergii induced by ion beam implantation were researched. The results showed that the disorganization of the micro-tubules in the pollen tube was produced by N + implantation. The abnormal states of the pollen tube in morphology were very correlative with the abnormality of the cytoskeleton system. N + implantation was responsible for morphological abnormalities in the pollen tubes. There was a distinct correlation between the damage effects and the ion implantation dose. The add of dose caused more obvious damage effects. Furthermore, the state of the cytoskeleton system in the pollen tube was influenced by the ion implantation. The impact grade depended also on the ion implantation dose. (authors)

  4. Ion beam system for implanting industrial products of various shapes

    International Nuclear Information System (INIS)

    Denholm, A.S.; Wittkower, A.

    1985-01-01

    Implantation of metals and ceramics with ions of nitrogen and other species has improved surface properties such as friction, wear and corrosion in numerous industrial applications. Zymet has built a production machine to take advantage of this process which can implant a 2 x 10 17 ions/cm 2 dose of nitrogen ions into a 20 cm x 20 cm area in about 30 min using a 100 keV beam. Treatment is accomplished by mounting the product on a cooled, tiltable, turntable which rotates continuously, or is indexed in 15 0 steps to expose different surfaces in fixed position. Product cooling is accomplished by using a chilled eutectic metal to mount and grip the variously shaped objects. A high voltage supply capable of 10 mA at 100 kV is used, and the equipment is microcomputer controlled via serial light links. All important machine parameters are presented in sequenced displays on a CRT. Uniformity of treatment and accumulated dose are monitored by a Faraday cup system which provides the microprocessor with data for display of time to completion on the process screen. For routine implants the operator requires only two buttons; one for chamber vacuum control, and the other for process start and stop. (orig.)

  5. Effects of potassium application on the accumulated nitrogen source and yield of peanut

    International Nuclear Information System (INIS)

    Wang Yuefu; Kang Yujie; Wang Minglun; Zhao Changxing

    2013-01-01

    Pot experiments and were carried out respectively to study the effects of different potassium application on soil nitrogen uptake, fertilizer nitrogen uptake, nodule nitrogen fixation and their proportion and yield of peanut (Arachis Hypogaea L.) by "1"5N tracer technique, and explore the reasons, which may provide a theoretical basis and technical guidance for peanut production in the scientific fertilizer application. Results showed that nitrogen in peanut all mainly accumulated in the kernel for different treatments of potassium fertilizer application. However, with increasing of potassium application, the increasing extent of nitrogen content of stems was the biggest during all the peanut organs, with nut shells the smallest. Properly increasing the amount of potassium can improve nitrogen content, "1"5N abundance, nitrogen and "1"5N accumulation of every organ, and promote absorption and utilization three nitrogen-source especially with the most effect for the kernel biomass (economic output). The ratio of fertilizer nitrogen, soil nitrogen and atmospheric nitrogen absorbed by peanut was respectively between 12.37%-13.10%, 38.29%-45.10%, and 42.53%-48.31% respectively. Properly increasing potassium fertilizer application improved the absorption ratio of fertilizer nitrogen and nodule nitrogen fixation, reduced the proportion of soil uptake and enhanced fertilizer nitrogen use efficiency. However, the influences of excessive application of potassium fertilizer decreased. (authors)

  6. The Effect of the Crystalline Lens on Central Vault After Implantable Collamer Lens Implantation.

    Science.gov (United States)

    Qi, Meng-Ying; Chen, Qian; Zeng, Qing-Yan

    2017-08-01

    To identify associations between crystalline lens-related factors and central vault after Implantable Collamer Lens (ICL) (Staar Surgical, Monrovia, CA) implantation. This retrospective clinical study included 320 eyes from 186 patients who underwent ICL implantation surgery. At 1 year after surgery, the central vault was measured using anterior segment optical coherence tomography. Preoperative anterior chamber depth, lens thickness, lens position (lens position = anterior chamber depth + 1/2 lens thickness), and vault were analyzed to investigate the effects of lens-related factors on postoperative vault. The mean vault was 513 ± 215 µm at 1 year after surgery. Vault was positively correlated with preoperative anterior chamber depth (r = 0.495, P lens position (r = 0.371, P lens thickness (r = -0.262, P lens position than eyes in the other two vault groups (which had vaults ≥ 250 µm) (P lens position less than 5.1 mm had greatly reduced vaults (P lens could have an important influence on postoperative vault. Eyes with a shallower anterior chamber and a forward lens position will have lower vaults. [J Refract Surg. 2017;33(8):519-523.]. Copyright 2017, SLACK Incorporated.

  7. Effects of N+ implantation on polysaccharide and osmosis stress resistance of liquorice

    International Nuclear Information System (INIS)

    Wei Shenglin; Wu Lijun; Yu Zengliang

    2007-01-01

    In order to study the effects of N + implantation on osmosis stress resistance of plant, the experiment was taken with liquorice as plant model and 15% PEG as the osmosis stress agent. The results showed that the stem height growth of liquorice increased by 40.2% compared with controls (p + implantation parameters may be useful to increase osmosis stress resistance cultivation of liquorice and to make it mutated with ions beam implantation. (authors)

  8. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  9. Annealing effects on the migration of ion-implanted cadmium in glassy carbon

    Energy Technology Data Exchange (ETDEWEB)

    Hlatshwayo, T.T., E-mail: thulani.hlatshwayo@up.ac.za [Physics Department, University of Pretoria, Pretoria (South Africa); Sebitla, L.D. [Physics Department, University of Pretoria, Pretoria (South Africa); Physics Department, University of Botswana, Gaborone (Botswana); Njoroge, E.G.; Mlambo, M.; Malherbe, J.B. [Physics Department, University of Pretoria, Pretoria (South Africa)

    2017-03-15

    The migration behaviour of cadmium (Cd) implanted into glassy carbon and the effects of annealing on radiation damage introduced by ion implantation were investigated. The glassy carbon substrates were implanted with Cd at a dose of 2 × 10{sup 16} ions/cm{sup 2} and energy of 360 keV. The implantation was performed at room temperature (RT), 430 °C and 600 °C. The RT implanted samples were isochronally annealed in vacuum at 350, 500 and 600 °C for 1 h and isothermally annealed at 350 °C up to 4 h. The as-implanted and annealed samples were characterized by Raman spectroscopy and Rutherford backscattering spectrometry (RBS). Raman results revealed that implantation at room temperature amorphized the glassy carbon structure while high temperature implantations resulted in slightly less radiation damage. Isochronal annealing of the RT implanted samples resulted in some recrystallization as a function of increasing temperature. The original glassy carbon structure was not achieved at the highest annealing temperature of 600 °C. Diffusion of Cd in glassy carbon was already taking place during implantation at 430 °C. This diffusion of Cd was accompanied by significant loss from the surface during implantation at 600 °C. Isochronal annealing of the room temperature implanted samples at 350 °C for 1 h caused Cd to diffuse towards the bulk while isothermal annealing at 500 and 600 °C resulted in the migration of implanted Cd toward the surface accompanied by a loss of Cd from the surface. Isothermal annealing at 350 °C for 1 h caused Cd to diffuse towards the bulk while for annealing time >1 h Cd diffused towards the surface. These results were interpreted in terms of trapping and de-trapping of implanted Cd by radiation damage.

  10. First results from the Los Alamos plasma source ion implantation experiment

    International Nuclear Information System (INIS)

    Rej, D.J.; Faehl, R.J.; Gribble, R.J.; Henins, I.; Kodali, P.; Nastasi, M.; Reass, W.A.; Tesmer, J.; Walter, K.C.; Wood, B.P.; Conrad, J.R.; Horswill, N.; Shamim, M.; Sridharan, K.

    1993-01-01

    A new facility is operational at Los Alamos to examine plasma source ion implantation on a large scale. Large workpieces can be treated in a 1.5-m-diameter, 4.6-m-long plasma vacuum chamber. Primary emphasis is directed towards improving tribological properties of metal surfaces. First experiments have been performed at 40 kV with nitrogen plasmas. Both coupons and manufactured components, with surface areas up to 4 m 2 , have been processed. Composition and surface hardness of implanted materials are evaluated. Implant conformality and dose uniformity into practical geometries are estimated with multidimensional particle-in-cell computations of plasma electron and ion dynamics, and Monte Carlo simulations of ion transport in solids

  11. Effect of elemental composition of ion beam on the phase formation and surface strengthening of structural materials

    International Nuclear Information System (INIS)

    Avdienko, K.I.; Avdienko, A.A.; Kovalenko, I.A.

    2001-01-01

    The investigation results are reported on the influence of ion beam element composition on phase formation, wear resistance and microhardness of surface layers of titanium alloys VT-4 and VT-16 as well as stainless steel 12Kh18N10T implanted with nitrogen, oxygen and boron. It is stated that ion implantation into structural materials results in surface hardening and is directly dependent on element composition of implanted ion beam. The presence of oxygen in boron or nitrogen ion beams prevents the formation of boride and nitride phases thus decreasing a hardening effect [ru

  12. [Effects of elevated atmospheric CO2 and nitrogen application on cotton biomass, nitrogen utilization and soil urease activity].

    Science.gov (United States)

    Lyu, Ning; Yin, Fei-hu; Chen, Yun; Gao, Zhi-jian; Liu, Yu; Shi, Lei

    2015-11-01

    In this study, a semi-open-top artificial climate chamber was used to study the effect of CO2 enrichment (360 and 540 µmol · mol(-1)) and nitrogen addition (0, 150, 300 and 450 kg · hm(-2)) on cotton dry matter accumulation and distribution, nitrogen absorption and soil urease activity. The results showed that the dry matter accumulation of bud, stem, leaf and the whole plant increased significantly in the higher CO2 concentration treatment irrespective of nitrogen level. The dry matter of all the detected parts of plant with 300 kg · hm(-2) nitrogen addition was significantly higher than those with the other nitrogen levels irrespective of CO2 concentration, indicating reasonable nitrogen fertilization could significantly improve cotton dry matter accumulation. Elevated CO2 concentration had significant impact on the nitrogen absorption contents of cotton bud and stem. Compared to those under CO2 concentration of 360 µmol · mol(-1), the nitrogen contents of bud and stem both increased significantly under CO2 concentration of 540 µmol · mol(-1). The nitrogen content of cotton bud in the treatment of 300 kg · hm(-2) nitrogen was the highest among the four nitrogen fertilizer treatments. While the nitrogen contents of cotton stem in the treatments of 150 kg · hm(-2) and 300 kg · hm(-2) nitrogen levels were higher than those in the treatment of 0 kg · hm(-2) and 450 kg · hm(-2) nitrogen levels. The nitrogen content of cotton leaf was significantly influenced by the in- teraction of CO2 elevation and N addition as the nitrogen content of leaf increased in the treatments of 0, 150 and 300 kg · hm(-2) nitrogen levels under the CO2 concentration of 540 µmol · mol(-1). The nitrogen content in cotton root was significantly increased with the increase of nitrogen fertilizer level under elevated CO2 (540 µmol · mol(-1)) treatment. Overall, the cotton nitrogen absorption content under the elevated CO2 (540 µmol · mol(-1)) treatment was higher than that

  13. Lithium implantation at low temperature in silicon for sharp buried amorphous layer formation and defect engineering

    International Nuclear Information System (INIS)

    Oliviero, E.; David, M. L.; Beaufort, M. F.; Barbot, J. F.; Fichtner, P. F. P.

    2013-01-01

    The crystalline-to-amorphous transformation induced by lithium ion implantation at low temperature has been investigated. The resulting damage structure and its thermal evolution have been studied by a combination of Rutherford backscattering spectroscopy channelling (RBS/C) and cross sectional transmission electron microscopy (XTEM). Lithium low-fluence implantation at liquid nitrogen temperature is shown to produce a three layers structure: an amorphous layer surrounded by two highly damaged layers. A thermal treatment at 400 °C leads to the formation of a sharp amorphous/crystalline interfacial transition and defect annihilation of the front heavily damaged layer. After 600 °C annealing, complete recrystallization takes place and no extended defects are left. Anomalous recrystallization rate is observed with different motion velocities of the a/c interfaces and is ascribed to lithium acting as a surfactant. Moreover, the sharp buried amorphous layer is shown to be an efficient sink for interstitials impeding interstitial supersaturation and {311} defect formation in case of subsequent neon implantation. This study shows that lithium implantation at liquid nitrogen temperature can be suitable to form a sharp buried amorphous layer with a well-defined crystalline front layer, thus having potential applications for defects engineering in the improvement of post-implantation layers quality and for shallow junction formation.

  14. Effect of Cyclic Loading on Micromotion at the Implant-Abutment Interface.

    Science.gov (United States)

    Karl, Matthias; Taylor, Thomas D

    2016-01-01

    Cyclic loading may cause settling of abutments mounted on dental implants, potentially affecting screw joint stability and implant-abutment micromotion. It was the goal of this in vitro study to compare micromotion of implant-abutment assemblies before and after masticatory simulation. Six groups of abutments (n = 5) for a specific tissue-level implant system with an internal octagon were subject to micromotion measurements. The implant-abutment assemblies were loaded in a universal testing machine, and an apparatus and extensometers were used to record displacement. This was done twice, in the condition in which they were received from the abutment manufacturer and after simulated loading (100,000 cycles; 100 N). Statistical analysis was based on analysis of variance, two-sample t tests (Welch tests), and Pearson product moment correlation (α = .05). The mean values for micromotion ranged from 33.15 to 63.41 μm and from 30.03 to 42.40 μm before and after load cycling. The general trend toward reduced micromotion following load cycling was statistically significant only for CAD/CAM zirconia abutments (P = .036) and for one type of clone abutment (P = .012), with no significant correlation between values measured before and after cyclic loading (Pearson product moment correlation; P = .104). While significant differences in micromotion were found prior to load cycling, no significant difference among any of the abutment types tested could be observed afterward (P > .05 in all cases). A quantifiable settling effect at the implant-abutment interface seems to result from cyclic loading, leading to a decrease in micromotion. This effect seems to be more pronounced in low-quality abutments. For the implant system tested in this study, retightening of abutment screws is recommended after an initial period of clinical use.

  15. Short dental implants: an emerging concept in implant treatment.

    Science.gov (United States)

    Al-Hashedi, Ashwaq Ali; Taiyeb Ali, Tara Bai; Yunus, Norsiah

    2014-06-01

    Short implants have been advocated as a treatment option in many clinical situations where the use of conventional implants is limited. This review outlines the effectiveness and clinical outcomes of using short implants as a valid treatment option in the rehabilitation of edentulous atrophic alveolar ridges. Initially, an electronic search was performed on the following databases: Medline, PubMed, Embase, Cochrane Database of Systematic Reviews, and DARE using key words from January 1990 until May 2012. An additional hand search was included for the relevant articles in the following journals: International Journal of Oral and Maxillofacial Implants, Clinical Oral Implants Research, Journal of Clinical Periodontology, International Journal of Periodontics, Journal of Periodontology, and Clinical Implant Dentistry and Related Research. Any relevant papers from the journals' references were hand searched. Articles were included if they provided detailed data on implant length, reported survival rates, mentioned measures for implant failure, were in the English language, involved human subjects, and researched implants inserted in healed atrophic ridges with a follow-up period of at least 1 year after implant-prosthesis loading. Short implants demonstrated a high rate of success in the replacement of missing teeth in especially atrophic alveolar ridges. The advanced technology and improvement of the implant surfaces have encouraged the success of short implants to a comparable level to that of standard implants. However, further randomized controlled clinical trials and prospective studies with longer follow-up periods are needed.

  16. Effect of various carbon and nitrogen sources on cellulose synthesis ...

    African Journals Online (AJOL)

    The effect of various carbon and nitrogen sources on cellulose production by Acetobacter lovaniensis HBB5 was examined. In this study, glucose, fructose, sucrose and ethanol as carbon source and yeast extract, casein hydrolysate and ammonium sulphate as nitrogen source were used. Among the carbon sources, ...

  17. Effects of implant system, impression technique, and impression material on accuracy of the working cast.

    Science.gov (United States)

    Wegner, Kerstin; Weskott, Katharina; Zenginel, Martha; Rehmann, Peter; Wöstmann, Bernd

    2013-01-01

    This in vitro study aimed to identify the effects of the implant system, impression technique, and impression material on the transfer accuracy of implant impressions. The null hypothesis tested was that, in vitro and within the parameters of the experiment, the spatial relationship of a working cast to the placement of implants is not related to (1) the implant system, (2) the impression technique, or (3) the impression material. A steel maxilla was used as a reference model. Six implants of two different implant systems (Standard Plus, Straumann; Semados, Bego) were fixed in the reference model. The target variables were: three-dimensional (3D) shift in all directions, implant axis direction, and rotation. The target variables were assessed using a 3D coordinate measuring machine, and the respective deviations of the plaster models from the nominal values of the reference model were calculated. Two different impression techniques (reposition/pickup) and four impression materials (Aquasil Ultra, Flexitime, Impregum Penta, P2 Magnum 360) were investigated. In all, 80 implant impressions for each implant system were taken. Statistical analysis was performed using multivariate analysis of variance. The implant system significantly influenced the transfer accuracy for most spatial dimensions, including the overall 3D shift and implant axis direction. There was no significant difference between the two implant systems with regard to rotation. Multivariate analysis of variance showed a significant effect on transfer accuracy only for the implant system. Within the limits of the present study, it can be concluded that the transfer accuracy of the intraoral implant position on the working cast is far more dependent on the implant system than on the selection of a specific impression technique or material.

  18. Combined effects of nitrogen to phosphorus ratios and nitrogen speciation on cyanobacterial metabolite concentrations in eutrophic Midwestern USA reservoirs.

    NARCIS (Netherlands)

    Harris, T.D.; Smith, V.H.; Graham, J.L.; Van de Waal, D.B.; Tedesco, L.P.; Clercin, N.

    2016-01-01

    Recent studies have shown that the total nitrogen to total phosphorus (TN:TP) ratio and nitrogen oxidation state may have substantial effects on secondary metabolite (e.g., microcystins) production in cyanobacteria. We investigated the relationship between the water column TN:TP ratio and the

  19. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  20. Effect of annealing on photoluminescence properties of neon implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Majid, Abdul [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Ali, Akbar [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan); Zhu, J J [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Wang, Y T [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Liu, W [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Lu, G J [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Liu, W B [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Zhang, L Q [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Liu, Z S [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Zhao, D G [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Zhang, S M [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Jiang, D S; Yang, H [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China)

    2008-01-21

    The effect of thermal annealing on the luminescence properties of neon implanted GaN thin films was studied. Low temperature photoluminescence (PL) measurements were carried out on the samples implanted with different doses ranging from 10{sup 14} to 9 x 10{sup 15} cm{sup -2} and annealed isochronally at 800 and 900 deg. C. We observed a new peak appearing at 3.44 eV in the low temperative PL spectra of all the implanted samples after annealing at 900 deg. C. This peak has not been observed in the PL spectra of implanted samples annealed at 800 deg. C except for the samples implanted with the highest dose. The intensity of the yellow luminescence (YL) band noticed in the PL spectra measured after annealing was observed to decrease with the increase in dose until it was completely suppressed at a dose of 5 x 10{sup 15} cm{sup -2}. The appearance of a new peak at 3.44 eV and dose dependent suppression of the YL band are attributed to the dissociation of V{sub Ga}O{sub N} complexes caused by high energy ion implantation.

  1. Effect of annealing on photoluminescence properties of neon implanted GaN

    International Nuclear Information System (INIS)

    Majid, Abdul; Ali, Akbar; Zhu, J J; Wang, Y T; Liu, W; Lu, G J; Liu, W B; Zhang, L Q; Liu, Z S; Zhao, D G; Zhang, S M; Jiang, D S; Yang, H

    2008-01-01

    The effect of thermal annealing on the luminescence properties of neon implanted GaN thin films was studied. Low temperature photoluminescence (PL) measurements were carried out on the samples implanted with different doses ranging from 10 14 to 9 x 10 15 cm -2 and annealed isochronally at 800 and 900 deg. C. We observed a new peak appearing at 3.44 eV in the low temperative PL spectra of all the implanted samples after annealing at 900 deg. C. This peak has not been observed in the PL spectra of implanted samples annealed at 800 deg. C except for the samples implanted with the highest dose. The intensity of the yellow luminescence (YL) band noticed in the PL spectra measured after annealing was observed to decrease with the increase in dose until it was completely suppressed at a dose of 5 x 10 15 cm -2 . The appearance of a new peak at 3.44 eV and dose dependent suppression of the YL band are attributed to the dissociation of V Ga O N complexes caused by high energy ion implantation

  2. Amorphous GaP produced by ion implantation

    International Nuclear Information System (INIS)

    Shimada, T.; Kato, Y.; Shiraki, Y.; Komatsubara, K.F.

    1976-01-01

    Two types of non-crystalline states ('disordered' and 'amorphous') of GaP were produced by using ion implantation and post annealing. A structural-phase-transition-like annealing behaviour from the 'disordered' state to the 'amorphous' state was observed. The ion dose dependence and the annealing behaviour of the atomic structure of GaP implanted with 200 keV -N + ions were studied by using electron diffraction, backscattering and volume change measurements. The electronic structure was also investigated by measuring optical absorption and electrical conductivity. The implanted layer gradually loses the crystalline order with the increase of the nitrogen dose. The optical absorption coefficient α and electric conductivity sigma of GaP crystals implanted with 200 keV -N + ions of 1 x 10 16 cm -2 were expressed as αhν = C(hν - E 0 )sup(n) and log sigma = A -BTsup(-1/4), respectively. Moreover, the volume of the implanted layer increased about three percent and the electron diffraction pattern was diffused halo whose intensity monotonically decreases along the radial direction. These results indicate that the as-implanted layer has neither a long range order or short range order ('disordered state'). In the sample implanted at 1 x 10 16 cm -2 , a structural phase-transition-like annealing stage was observed at around 400 0 C. That is, the optical absorption coefficient abruptly fell off from 6 x 10 4 to 7 x 10 3 cm -1 and the volume of the implanted layer decreased about 2% within an increase of less than 10 degrees in the anneal temperature. Moreover, the short range order of the lattice structure appeared in the electron diffraction pattern. According to the backscattering experiment, the heavily implanted GaP was still in the non-crystalline state even after annealing. These facts suggest that heavily implanted GaP, followed by annealing at around 400 0 C, is in the 'amorphous' state, although as-implanted GaP is not in the 'amorphous' state but in the

  3. Effects of zirconium and nitrogen plasma immersion ion implantation on the electrochemical corrosion behavior of Mg–Y–RE alloy in simulated body fluid and cell culture medium

    International Nuclear Information System (INIS)

    Jamesh, Mohammed Ibrahim; Wu, Guosong; Zhao, Ying; Jin, Weihong; McKenzie, David R.; Bilek, Marcela M.M.; Chu, Paul K.

    2014-01-01

    Highlights: • Dual Zr and N plasma ion implantation are conducted on WE43Mg alloy. • Zr and N implanted WE43 (ZrN-WE43) enhanced corrosion resistance in cell culture medium. • ZrN-WE43 enhanced corrosion resistance in simulated body fluid (SBF). • ZrN-WE43 shows near capacitive impedance spectra in cell culture medium. • Calcium phosphate is formed on the corrosion product. - Abstract: The effects of dual Zr and N plasma immersion ion implantation (PIII) on the corrosion behavior of WE43Mg alloy are evaluated in simulated body fluid (SBF) and cell culture medium (cDMEM). Zr and N PIII improves the corrosion resistance of WE43 which exhibits smaller i corr , larger R 1 and R 2 , smaller CPE 2 , and larger phase angle maxima in SBF and cDMEM. The Zr and N PIII WE43 samples exhibit 12-folds decrease in i corr in SBF and 71-folds decrease in i corr with near capacitive EIS in cDMEM. Analysis of the corrosion products reveals calcium phosphate

  4. Effects of ion implantation on the electrochemical characteristics of carbon electrodes

    International Nuclear Information System (INIS)

    Takahashi, Katsuo; Iwaki, Masaya

    1994-01-01

    Various carbon materials are important electrode materials for electrochemical field. By ion implantation, the surface layer reforming of carbon materials (mainly galssy carbon) was carried out, and the effect that it exerts to their electrode characteristics was investigated. As the results of the ion implantation of Li, N, O, K, Ti, Zn, Cd and others performed so far, it was found that mainly by the change of the surface layer to amorphous state, there were the effects of the lowering of base current and the lowering of electrode reaction rate, and it was known that the surface layers of carbon materials doped with various kinds of ions showed high chemical stability. The use of carbon materials as electrodes in electrochemistry is roughly divided into the electrodes for electrolytic industry and fuel cells for large current and those for the measurement in electrochemical reaction for small current. The structure of carbon materials and electrode characteristics, and the reforming effect by ion implantation are reported. (K.I.)

  5. Contact of dual mobility implants: effects of cup wear and inclination.

    Science.gov (United States)

    Uddin, M S

    2015-01-01

    Cup wear and inclination on the pelvic bone are significant factors, which change the contact of the articulating surfaces, thus, impacting the long-term performance of hip implants. This paper presents a finite element (FE) analysis of the contact of the dual mobility implants under the influence of cup wear and inclination. A 3D FE model of the implant was developed with the application of equivalent physiological loading and boundary conditions. Effects of cup inclination angle ranging from 45° to 60° and the wear depth ranging from 0 to 2.46 mm equivalent to up to 30 years of the implant's life on the contact pressure and von Mises stress were investigated. Simulation results show that the contact pressure and von Mises stress decrease significantly with a modest wear depth and remains quite in-sensitive to the cup inclination angle and wear depth up to 1.64 mm. With wear depth further up to 2.46 mm, the cup thickness (i.e. cup thinning on worn region) may be more predominant than increasing of contact area between the cup and the head. The wear on the inner surface of the cup is found to rule out the overall contact pressure and stress in the implant. Furthermore, individual and combined effects of both important parameters are analysed and discussed with respect to available clinical/laboratory studies.

  6. Effect of nitrogen alloying of stainless steels on their corrosion stability

    International Nuclear Information System (INIS)

    Chigal, V.; Knyazheva, V.M.; Pitter, Ya.; Babich, S.G.; Bogolyubskij, S.D.

    1986-01-01

    Results of corrosion tests and structural investigations of 03Cr18Ni10 and 03Cr18Ni10Mo3 steels without nitrogen and with nitrogen content of 0.15-0.3% are presented. Corrosion-electrochemical behaviour of Cr20Ni20 steel with ultralow carbon content (0.004-0.006%) and nitrogen content with 0-0.5% as well as Cr 2 N nitride behaviour are investigated. A conclusion is made on nitrogen and excessive nitride phase effect on corrosion stability of steel in corrosive media with different reduction-oxidation properties

  7. Bruxism and dental implant failures: a multilevel mixed effects parametric survival analysis approach.

    Science.gov (United States)

    Chrcanovic, B R; Kisch, J; Albrektsson, T; Wennerberg, A

    2016-11-01

    Recent studies have suggested that the insertion of dental implants in patients being diagnosed with bruxism negatively affected the implant failure rates. The aim of the present study was to investigate the association between the bruxism and the risk of dental implant failure. This retrospective study is based on 2670 patients who received 10 096 implants at one specialist clinic. Implant- and patient-related data were collected. Descriptive statistics were used to describe the patients and implants. Multilevel mixed effects parametric survival analysis was used to test the association between bruxism and risk of implant failure adjusting for several potential confounders. Criteria from a recent international consensus (Lobbezoo et al., J Oral Rehabil, 40, 2013, 2) and from the International Classification of Sleep Disorders (International classification of sleep disorders, revised: diagnostic and coding manual, American Academy of Sleep Medicine, Chicago, 2014) were used to define and diagnose the condition. The number of implants with information available for all variables totalled 3549, placed in 994 patients, with 179 implants reported as failures. The implant failure rates were 13·0% (24/185) for bruxers and 4·6% (155/3364) for non-bruxers (P bruxism was a statistically significantly risk factor to implant failure (HR 3·396; 95% CI 1·314, 8·777; P = 0·012), as well as implant length, implant diameter, implant surface, bone quantity D in relation to quantity A, bone quality 4 in relation to quality 1 (Lekholm and Zarb classification), smoking and the intake of proton pump inhibitors. It is suggested that the bruxism may be associated with an increased risk of dental implant failure. © 2016 John Wiley & Sons Ltd.

  8. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  9. Effect of Ahmed valve implantation on late neovascular glaucoma

    Directory of Open Access Journals (Sweden)

    Ping-An Mao

    2013-09-01

    Full Text Available AIM: To discuss the effect and safety of Ahmed valve implantation in late neovascular glaucoma. METHODS: The Ahmed glaucoma valve were implanted in 41 eyes(41 caseswith late neovascular glaucoma, the follow-up was 12 months on average, intraocular pressure was recorded at 1 day, 1 week, 1 month, 3, 6, 12 months respectively after operation and compared with that before operation, at the same time, the complications and treatment were analyzed.RESULTS: The mean intraocular pressure before operation, 1 day, 1 week, 1 month, 3, 6, 12 months after operation was 59.83±5.53, 19.27±8.19, 19.69±6.86, 20.67±6.73, 21.05±6.93, 21.49±7.42, 22.14±8.08mmHg, the mean intraocular pressure before operation was higher than that after operation and the difference was obvious in statistics. Major complications included hyphema, low intraocular pressure early postoperation, shallow anterior chamber, obstruction of the tube, the plate wrapped or exposed.CONCLUSION: Ahmed valve implantation is an effective method to treatlate neovascular glaucoma.

  10. The effect of ion implantation on the fatigue behavior of metals and alloys

    International Nuclear Information System (INIS)

    Chakrabortty, S.B.; Kujore, A.; Legg, K.O.; Starke, E.A.

    1981-01-01

    The effect of ion implantation on the strain and stress controlled fatigue behavior of polycrystalline copper has been investigated. The cyclic stress-strain response, strain-life and stress-life relationships and fatigue crack nucleation behavior have been studied. The results from the non-implanted materials have been compared with those from the implanted materials. Four implant species, one with a positive misfit, one with a negative misfit, one with a zero misfit, and one insoluble under equilibrium conditions have been used. Most of the fatigue tests were performed in laboratory air. Ion implantation changes the surface deformation behavior for both monotonic and cyclic loading with a corresponding change in hardening rate. Larger changes are observed for the cyclic loading. Implantations which lead to a more homogeneous deformation (fine slip) near the surface, improves the resistance to fatigue crack initiation. Surface compressive residual stresses, induced from implanting a positive misfit species, have a major influence on crack initiation in the stress-life regime

  11. effect of population density and dose of nitrogen and potassium ...

    African Journals Online (AJOL)

    A. Hussein

    2018-01-01

    Jan 1, 2018 ... while, nitrogen consumption increased dry weight resulting in increased plant yield (Hatami et al., 2009). Vorob (2000) ... of this study was to investigate the effect of plant density and dose of nitrogen and potassium on Green bean Cv. ..... biogeochem. cycle., 2008, 22(1), 1022-1041. [11] Moniruzzaman M ...

  12. Corrosion behavior of low energy, high temperature nitrogen ion ...

    Indian Academy of Sciences (India)

    Corrosion behavior of low energy, high temperature nitrogen ion-implanted AISI 304 stainless steel. M GHORANNEVISS1, A SHOKOUHY1,∗, M M LARIJANI1,2,. S H HAJI HOSSEINI 1, M YARI1, A ANVARI4, M GHOLIPUR SHAHRAKI1,3,. A H SARI1 and M R HANTEHZADEH1. 1Plasma Physics Research Center, Science ...

  13. Effect of unilateral and simultaneous bilateral cochlear implantation on tinnitus : A Prospective Study

    NARCIS (Netherlands)

    van Zon, Alice; Smulders, Yvette E.; Ramakers, Geerte G. J.; Stegeman, Inge; Smit, Adriana L.; Van Zanten, Gijsbert A.; Stokroos, Robert J.; Hendrice, Nadia; Free, Rolien H.; Maat, Bert; Frijns, Johan H. M.; Mylanus, Emmanuel A. M.; Huinck, Wendy J.; Topsakal, Vedat; Tange, Rinze A.; Grolman, Wilko

    Objectives/HypothesisTo determine the effect of cochlear implantation on tinnitus perception in patients with severe bilateral postlingual sensorineural hearing loss and to demonstrate possible differences between unilateral and bilateral cochlear implantation. Study DesignProspective study.

  14. The clinical implications of poly implant prothèse breast implants: an overview.

    Science.gov (United States)

    Wazir, Umar; Kasem, Abdul; Mokbel, Kefah

    2015-01-01

    Mammary implants marketed by Poly Implant Prothèse (PIP) were found to contain industrial grade silicone and this caused heightened anxiety and extensive publicity regarding their safety in humans. These implants were used in a large number of patients worldwide for augmentation or breast reconstruction. We reviewed articles identified by searches of Medline, PubMed, Embase, and Google Scholar databases up to May 2014 using the terms: "PIP", "Poly Implant Prothèse", "breast implants" and "augmentation mammoplasty" "siloxanes" or "silicone". In addition the websites of regulating bodies in Europe, USA, and Australia were searched for reports related to PIP mammary implants. PIP mammary implants are more likely to rupture than other implants and can cause adverse effects in the short to the medium term related to the symptoms of rupture such as pain, lumps in the breast and axilla and anxiety. Based on peer-reviewed published studies we have calculated an overall rupture rate of 14.5% (383/2,635) for PIP implants. However, there is no evidence that PIP implant rupture causes long-term adverse health effects in humans so far. Silicone lymphadenopathy represents a foreign body reaction and should be treated conservatively. The long-term adverse effects usually arise from inappropriate extensive surgery, such as axillary lymph node dissection or extensive resection of breast tissue due to silicone leakage.

  15. The Clinical Implications of Poly Implant Prothèse Breast Implants: An Overview

    Directory of Open Access Journals (Sweden)

    Umar Wazir

    2015-01-01

    Full Text Available Mammary implants marketed by Poly Implant Prothèse (PIP were found to contain industrial grade silicone and this caused heightened anxiety and extensive publicity regarding their safety in humans. These implants were used in a large number of patients worldwide for augmentation or breast reconstruction. We reviewed articles identified by searches of Medline, PubMed, Embase, and Google Scholar databases up to May 2014 using the terms: "PIP", "Poly Implant Prothèse", "breast implants" and "augmentation mammoplasty" "siloxanes" or "silicone". In addition the websites of regulating bodies in Europe, USA, and Australia were searched for reports related to PIP mammary implants. PIP mammary implants are more likely to rupture than other implants and can cause adverse effects in the short to the medium term related to the symptoms of rupture such as pain, lumps in the breast and axilla and anxiety. Based on peer-reviewed published studies we have calculated an overall rupture rate of 14.5% (383/2,635 for PIP implants. However, there is no evidence that PIP implant rupture causes long-term adverse health effects in humans so far. Silicone lymphadenopathy represents a foreign body reaction and should be treated conservatively. The long-term adverse effects usually arise from inappropriate extensive surgery, such as axillary lymph node dissection or extensive resection of breast tissue due to silicone leakage.

  16. Ion implantation and diamond-like coatings of aluminum alloys

    Science.gov (United States)

    Malaczynski, G. W.; Hamdi, A. H.; Elmoursi, A. A.; Qiu, X.

    1997-04-01

    In an attempt to increase the wear resistance of some key automotive components, General Motors Research and Development Center initiated a study to determine the potential of surface modification as a means of improving the tribological properties of automotive parts, and to investigate the feasibility of mass producing such parts. This paper describes the plasma immersion ion implantation system that was designed for the study of various options for surface treatment, and it discusses bench testing procedures used for evaluating the surface-treated samples. In particular, both tribological and microstructural analyses are discussed for nitrogen implants and diamond-like hydrocarbon coatings of some aluminum alloys.

  17. Adverse effects of nickel in transosseous wires and surgical implants: literature review.

    Science.gov (United States)

    Nwashindi, A; Dim, E M

    2014-01-01

    Transosseous wires used in the management of fractures are stainless steel alloys which contain nickel 14.5%, chromium 17.6%, iron 62.5% and molybdenum 2.8%. Gradual disintegration of the transosseous wires release nickel into the blood leading to increase nickel concentration in the blood. Nickel has been found to have some adverse systemic effects on the body. The aim of this paper is to discuss the sources of Nickel in the body as well as the systemic adverse effects of Nickel as a degradation product of stainless steel surgical implants. A study of pertinent literature on nickel as a content of stainless steel alloy used in implant surgery was done, taking note also of other sources of nickel in the body, the toxicokinetics of nickel and the related adverse effects of this metal and its compound in humans. As outcome,the sources of human exposure to nickel,distribution and metabolism of nickel in the body, host responseto stainless steel wires and the adverse effects of nickel in the body are presented. It may be necessary to discourage the use of wires or implants containing nickel in the management of fractures.The need for removal of these implants after they have served their purposes is emphasized.

  18. Plasma source ion implantation process for corrosion protection of 6061 aluminum

    International Nuclear Information System (INIS)

    Zhang, L.; Booske, J.H.; Shohet, J.L.; Jacobs, J.R.; Bernardini, A.J.

    1995-01-01

    This paper describes results of an investigation of the feasibility of using nitrogen plasma source ion implantation (PSII) treatment to improve corrosion resistance of 6061 aluminum to salt water. Flat Al samples were implanted with various doses of nitrogen. The surface microstructures and profiles of Al and N in the flat samples were examined using transmission electron microscopy (TEM), scanning Auger microprobe, x-ray diffraction. Corrosion properties of the samples and the components were evaluated using both a 500 hour salt spray field test and a laboratory electrochemical corrosion system. The tested samples were then analyzed by scanning electron microscopy. Corrosion measurements have demonstrated that PSII can significantly improve the pitting resistance of 6061 aluminum. By correlating the analytical results with the corrosion test results, it has been verified that the improved corrosion resistance in PSII-treated coupons is due to the formation of a continuous AlN layer. It was also identified that the formation of a continuous AlN layer. It was also identified that the formation of a continuous AlN layer is mainly determined by the bias voltage and the total integrated implantation dose, and relatively insensitive to factors such as the plasma source, pulse length, or frequency

  19. Effects of weak magnetic fields on post-implantation damage in superconducting oxides

    International Nuclear Information System (INIS)

    Khait, Y.L.

    1996-01-01

    Experimentally verifiable effects of weak permanent magnetic fields (PMF's) acting during ion implantation in high-T c superconducting (HTSC) materials at T∼300 K on post-implantation damage (PID) and material parameters are considered. The presence of PMF's of H∼10 3 Oe during ion implantation can enlarge substantially the PID in HTSC materials implanted with ions of moderate energies (e.g. 200-400 keV) and dosage (10 11- 10 12 cm -3 ) at room temperature. The PMF-induced increase in the radiation damage causes the corresponding enhancement in the material resistivity R and reduction in the critical current j cir (measured after the cooling of the HTSC material down to T (L) c after the ion implantation). This is an extension of the PMF effects found experimentally (and explained theoretically) in semiconductors in our previous work. The experimentally verifiable PMF effects on the defect (atomic) migration and radiation damage is a generic consequence of the kinetic electron-related theory of atomic rate processes in solids. The theory links the PMF effects with electron transitions occurring in the nanometer vicinity of atoms overcoming energy barriers which affect exponentially rates of atomic (defect) diffusion. The magnetic field can enhance the number of downward electron transitions that accompany atomic (defect) jumps over energy barriers and synchronize with the jumps. This enhances exponentially the rates of defect migration out of thermal spikes that prevents the defects from fast recombination, and thus, the PMF increases the PID and changes correspondingly R and j cir . (orig.)

  20. Effect of Nitrogen Foliar Application on Canola Yield (Brassica napus L. and Nitrogen Efficiency across Different Sowing Dates

    Directory of Open Access Journals (Sweden)

    S Doori

    2016-12-01

    Full Text Available Introduction Between oil seeds, from the quality, quantity and nutrition index point of view, canola has the top level . Because of the solubility of N fertilizers, the time of urea application, is very important and one of the main reasons of the reduction in N application efficiency is utilization of urea in an inappropriate time. By precisely foliar application of nitrogen, the efficiency of nitrogen transformation to the grain will be very high because in this method the leaf is considered the main organ of nitrogen uptake and a low amount of absorbed nitrogen was transferred to the root and entered the soil. The more division of N application in growth stages and in accordance with plant need and foliar application result in increasing nitrogen use efficiency. The delay in sowing will result in the reduction of yield and this is due to low LAI, and thus low radiation absorb in vegetable phase and shorter reproductive phase with high temperature in flowering and subsequent stages that result in low prolific silique and make disorder in transferring stored material to grain. In this experiment using N foliar application to decrease the adverse effect of delay in sowing is objective. Materials and Methods The experiment was conducted in 2013-2014 in Ramin Agriculture and Natural Resource University of Khuzestan. Experiment was conducted as split plots in a randomized complete blocks design with three replications. In this experiment sowing date]optimum sowing (27 November, 17 December and late sowing (30 December [were assigned to main plots and several time of N-foliar application with 5 percent density from urea (20 liter per ha, ]TO (control, T1 (foliar N application in rosette stage, T2 (foliar N application in budding stage, T3 (foliar N application in flowering stage[ were placed in sub-plots in randomized way. Fertilizing was based on the results of soil examination. Therefore, 162 kg ha-1 of pure nitrogen (from resource urea in the way

  1. Effect of helium implantation on mechanical properties of EUROFER97 evaluated by nanoindentation

    International Nuclear Information System (INIS)

    Roldán, M.; Fernández, P.; Rams, J.; Jiménez-Rey, D.; Ortiz, C.J.; Vila, R.

    2014-01-01

    Helium effects on EUROFER97 mechanical properties were studied by means of nanoindentation. The steel was implanted with He ions in a stair-like profile configuration using energies from 2 to 15 MeV at room temperature. Firstly, a deep nanoindentation study was carried out on as-received state (normalized + tempered) in order to obtain a reliable properties database at the nanometric scale, including aspects such as indentation size effect. The nanoindentation hardness of tests on He implanted samples showed a hardness increase depending on the He concentration. The hardness increase follows the He implantation concentration profile with a good accuracy according to BCA calculations using MARLOWE code, considering the whole volume affected by the nanoindentation tests. The results obtained in this work shown that nanoindentation technique permits to assess any change of hardness properties due to ion implantation

  2. Nonlinear damage effect in graphene synthesis by C-cluster ion implantation

    International Nuclear Information System (INIS)

    Zhang Rui; Zhang Zaodi; Wang Zesong; Wang Shixu; Wang Wei; Fu Dejun; Liu Jiarui

    2012-01-01

    We present few-layer graphene synthesis by negative carbon cluster ion implantation with C 1 , C 2 , and C 4 at energies below 20 keV. The small C-clusters were produced by a source of negative ion by cesium sputtering with medium beam current. We show that the nonlinear effect in cluster-induced damage is favorable for graphene precipitation compared with monomer carbon ions. The nonlinear damage effect in cluster ion implantation shows positive impact on disorder reduction, film uniformity, and the surface smoothness in graphene synthesis.

  3. [Clinical effects of micro-implant and traditional anchorage in orthodontic treatments].

    Science.gov (United States)

    Qian, Yi; Zhou, Hua-Jie; Wu, Jian-Hua

    2017-06-01

    To analyze the value of micro-implant and traditional anchorage in the treatment of malocclusion. From Jan 2015 to Jan 2016, 20 cases with malocclusion were randomly divided into control group(10) and experimental group (10). A comparison was conducted between the control group, in which traditional anchorage was used and the experimental group, in which micro-implant anchorage was adopted. The data were analyzed with SPSS 17.0 software package. There was significant difference of U1-NA, L1-NB, U1-APg, U6-PtPNS between the 2 groups(PMicro-implant anchorage can improve overjet relation of the anterior teeth and effect of orthodontic treatment.

  4. Nanoscale nonlinear effects in Erbium-implanted Yttrium Orthosilicate

    Energy Technology Data Exchange (ETDEWEB)

    Kukharchyk, Nadezhda, E-mail: nadezhda.kukharchyk@physik.uni-saarland.de [Experimentalphysik, Universität des Saarlandes, D-66123 Saarbrücken (Germany); Angewandte Festkörperphysik, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Shvarkov, Stepan [Optoelektronische Materialien und Bauelemente, Universität Paderborn, D-33098 Padeborn (Germany); Probst, Sebastian [Quantronics group, Service de Physique de l' Etat Condense, DSM/IRAMIS/SPEC, CNRS UMR 3680, CEA-Saclay, 91191 Gif-sur-Yvette cedex (France); Xia, Kangwei [3. Physikalisches Institut, Universität Stuttgart, D-70569 Stuttgart (Germany); Becker, Hans-Werner [RUBION, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Pal, Shovon [Angewandte Festkörperphysik, Ruhr-Universität Bochum, D-44780 Bochum (Germany); AG THz Spectroscopie und Technologie, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Markmann, Sergej [AG THz Spectroscopie und Technologie, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Kolesov, Roman; Siyushev, Petr; Wrachtrup, Jörg [3. Physikalisches Institut, Universität Stuttgart, D-70569 Stuttgart (Germany); Ludwig, Arne [Angewandte Festkörperphysik, Ruhr-Universität Bochum, D-44780 Bochum (Germany); Ustinov, Alexey V. [Physikalisches Institut, Karlsruhe Institute of Technology, D-76128 Karlsruhe (Germany); Wieck, Andreas D. [Angewandte Festkörperphysik, Ruhr-Universität Bochum, D-44780 Bochum (Germany); and others

    2016-09-15

    Doping of substrates at desired locations is a key technology for spin-based quantum memory devices. Focused ion beam implantation is well-suited for this task due to its high spacial resolution. In this work, we investigate ion-beam implanted Erbium ensembles in Yttrium Orthosilicate crystals by means of confocal photoluminescence spectroscopy. The sample temperature and the post-implantation annealing step strongly reverberate in the properties of the implanted ions. We find that hot implantation leads to a higher activation rate of the ions. At high enough fluences, the relation between the fluence and final concentration of ions becomes non-linear. Two models are developed explaining the observed behavior.

  5. Spin properties of dense near-surface ensembles of nitrogen-vacancy centers in diamond

    Science.gov (United States)

    Tetienne, J.-P.; de Gille, R. W.; Broadway, D. A.; Teraji, T.; Lillie, S. E.; McCoey, J. M.; Dontschuk, N.; Hall, L. T.; Stacey, A.; Simpson, D. A.; Hollenberg, L. C. L.

    2018-02-01

    We present a study of the spin properties of dense layers of near-surface nitrogen-vacancy (NV) centers in diamond created by nitrogen ion implantation. The optically detected magnetic resonance contrast and linewidth, spin coherence time, and spin relaxation time, are measured as a function of implantation energy, dose, annealing temperature, and surface treatment. To track the presence of damage and surface-related spin defects, we perform in situ electron spin resonance spectroscopy through both double electron-electron resonance and cross-relaxation spectroscopy on the NV centers. We find that, for the energy (4 -30 keV) and dose (5 ×1011-1013ions/cm 2 ) ranges considered, the NV spin properties are mainly governed by the dose via residual implantation-induced paramagnetic defects, but that the resulting magnetic sensitivity is essentially independent of both dose and energy. We then show that the magnetic sensitivity is significantly improved by high-temperature annealing at ≥1100 ∘C . Moreover, the spin properties are not significantly affected by oxygen annealing, apart from the spin relaxation time, which is dramatically decreased. Finally, the average NV depth is determined by nuclear magnetic resonance measurements, giving ≈10 -17 nm at 4-6 keV implantation energy. This study sheds light on the optimal conditions to create dense layers of near-surface NV centers for high-sensitivity sensing and imaging applications.

  6. International food trade reduces environmental effects of nitrogen pollution in China.

    Science.gov (United States)

    Shi, Yaxing; Wu, Shaohua; Zhou, Shenglu; Wang, Chunhui; Chen, Hao

    2016-09-01

    The globalization of agricultural trade has dramatically altered global nitrogen flows by changing the spatial pattern of nitrogen utilization and emissions at a global scale. As a major trading country, China uses a large amount of nitrogen, which has a profound impact on global nitrogen flows. Using data on food production and trade between China and 26 other countries and regions, we calculated nitrogen inputs and outputs in food production ecosystem in each country. We estimated nitrogen flows in international food trade and analyzed their impact on nitrogen pollution in China. We divided nitrogen flows into embodied and virtual nitrogen flows. Embodied nitrogen is taken up by the plant and incorporated into the final food product, whereas virtual nitrogen is lost to the environment throughout the food production process and is not contained in the final food product. Our results show that China mainly imports food products from America and Asia, accounting for 95 % of all imported food. Asia (mainly Japan) and Europe are the main exporters of food from China, with Japan and the EU accounting for 17 and 10 % of all exported food, respectively. Total nitrogen inputs and outputs in food production in China were 55,400 and 61,000 Gg respectively, which were much higher than in other countries. About 1440 and 950 Gg of embodied and virtual nitrogen respectively flow into China through the food trade, mainly from food-exporting countries such as the USA, Argentina, and Brazil. Meanwhile, 177 and 160 Gg of embodied and virtual nitrogen respectively flow out of China from the export of food products, mainly to Japan. China's net food imports have reduced 720 and 458 Gg for nitrogen utilization and outputs, respectively, which accounted for 1.3 and 0.78 % of total nitrogen inputs and outputs in China. These results suggest that food trade in China has a profound effect on nitrogen flows and has greatly reduced environmental impacts on nitrogen pollution in China.

  7. Effect of crown-to-implant ratio on peri-implant stress: a finite element analysis.

    Science.gov (United States)

    Verri, Fellippo Ramos; Batista, Victor Eduardo de Souza; Santiago, Joel Ferreira; Almeida, Daniel Augusto de Faria; Pellizzer, Eduardo Piza

    2014-12-01

    The aim of this study was to evaluate stress distribution in the fixation screws and bone tissue around implants in single-implant supported prostheses with crowns of different heights (10, 12.5, 15 mm - crown-to-implant ratio 1:1, 1.25:1, 1.5:1, respectively). It was designed using three 3-D models. Each model was developed with a mandibular segment of bone block including an internal hexagon implant supporting a screw-retained, single metal-ceramic crown. The crown height was set at 10, 12.5, and 15 mm with crown-to-implant ratio of 1:1, 1.25:1, 1.5:1, respectively. The applied forces were 200N (axial) and 100 N (oblique). The increase of crown height showed differences with the oblique load in some situations. By von Mises' criterion, a high stress area was concentrated at the implant/fixation screw and abutment/implant interfaces at crown-to-implant ratio of 1:1, 1.25:1, 1.5:1, respectively. Using the maximum principal criteria, the buccal regions showed higher traction stress intensity, whereas the distal regions showed the largest compressive stress in all models. The increase of C/I ratio must be carefully evaluated by the dentist since the increase of this C/I ratio is proportional to the increase of average stress for both screw fixation (C/I 1:1 to 1:1.25 ratio=30.1% and C/I 1:1 to 1:1.5 ratio=46.3%) and bone tissue (C/I 1:1 to 1:1.25 ratio=30% and C/I 1:1 to 1:1.5 ratio=51.5%). Copyright © 2014 Elsevier B.V. All rights reserved.

  8. The effects of implant surface roughness and surgical technique on implant fixation in an in vitro model.

    NARCIS (Netherlands)

    Shalabi, M.M.; Wolke, J.G.C.; Jansen, J.A.

    2006-01-01

    OBJECTIVES: The aim of the present study was to determine the relationship between implant surface parameters, surgical approach and initial implant fixation. MATERIAL AND METHODS: Sixty tapered, conical, screw-shaped implants with machined or etched surface topography were implanted into the

  9. Effect of disorder and defects in ion-implanted semiconductors optical and photothermal characterization

    CERN Document Server

    Willardson, R K; Christofides, Constantinos; Ghibaudo, Gerard

    1997-01-01

    Defects in ion-implanted semiconductors are important and will likely gain increased importance as annealing temperatures are reduced with successive IC generations. Novel implant approaches, such as MdV implantation, create new types of defects whose origin and annealing characteristics will need to be addressed. Publications in this field mainly focus on the effects of ion implantation on the material and the modification in the implanted layer after high temperature annealing. The editors of this volume and Volume 45 focus on the physics of the annealing kinetics of the damaged layer. An overview of characterization tehniques and a critical comparison of the information on annealing kinetics is also presented. Key Features * Provides basic knowledge of ion implantation-induced defects * Focuses on physical mechanisms of defect annealing * Utilizes electrical, physical, and optical characterization tools for processed semiconductors * Provides the basis for understanding the problems caused by the defects g...

  10. Colorimetric properties of TiN coating implanted by aluminum

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Q.G. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China)]. E-mail: zhouqg99@mails.tsinghua.edu.cn; Bai, X.D. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Xue, X.Y. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Ling, Y.H. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Chen, X.W. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Xu, J. [Beijing Great Wall Ti-Gold Corporation, Beijing 100095 (China); Wang, D.R. [Beijing Great Wall Ti-Gold Corporation, Beijing 100095 (China)

    2005-04-05

    TiN coating was prepared by cathodic arc deposition and implanted aluminum using a metal vacuum vapor arc ion source with doses ranging from 5 x 10{sup 16} to 2 x 10{sup 17} ions/cm{sup 2}. The purpose of this work was to determine the dependence of the colorimetric properties of TiN films on the implanting conditions, especially by the aluminum ion implantation. The colorimetry of coatings was evaluated quantitatively in terms of CIE L * a * b *. The color coordinate values L *, a *, and b * provide a numerical representation of the color of the surface. With the dose increasing, the surface color has no obvious change but the surface turns brighter, and a * as well as b * values all decline. The X-ray diffraction patterns showed that the aluminum implantation induced a slight shift of diffraction peaks. X-ray photoemission spectroscopy was employed to analyze the surface valence states. The oxygen in surface top layer does not decrease a * and b * values, it partially combined with nitrogen.

  11. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  12. Influence of the compound effect between nitrogen and zinc on the resource of nitrogen of herbage in mixed and pure culture

    International Nuclear Information System (INIS)

    He Zhongjun; Hua Luo

    2002-01-01

    Under pot culture, the influence of compound effect between nitrogen and zinc on the resource of nitrogen of ryegrass in mono-culture and ryegrass/clover in mixture were studied on yellow brown earth which collected from sub-tropic mountain sward of southern China. The result showed as follows: (1) The percentage of nitrogen derived from atmosphere (% Ndfa) was decreased by nitrogen application in early growth stage and suitable amount of nitrogen application increased it in later growth stage. The % Ndfa of white clover in mixture was the highest with 6 mg/kg zinc application in all nitrogen levels. The transfer of fixed nitrogen by white clover to ryegrass was decreased by nitrogen application and increased by low amount of zinc application. (2) The percentage of nitrogen derived from fertilizer (% Ndff) was significantly increased by nitrogen application, of which the treatments with 6-20 mg/kg zinc application was higher than other zinc application treatments on ryegrass in monoculture, and the treatments with 6-20 mg/kg zinc application on white clover/ryegrass in mixture was lower than other zinc treatments at the range from 30 to 90 mg/kg nitrogen application. (3) The percentage of nitrogen derived from soil (% Ndfs) of ryegrass both in pure and in mixture was significantly decreased as the increasing of the levels of nitrogen application, of which ryegrass in mono-culture was not obviously influenced by zinc application, and ryegrass/clover in mixture was decreased by 6-20 mg/kg zinc application under all nitrogen levels

  13. An economic evaluation of maxillary implant overdentures based on six vs. four implants.

    Science.gov (United States)

    Listl, Stefan; Fischer, Leonhard; Giannakopoulos, Nikolaos Nikitas

    2014-08-18

    The purpose of the present study was to assess the value for money achieved by bar-retained implant overdentures based on six implants compared with four implants as treatment alternatives for the edentulous maxilla. A Markov decision tree model was constructed and populated with parameter estimates for implant and denture failure as well as patient-centred health outcomes as available from recent literature. The decision scenario was modelled within a ten year time horizon and relied on cost reimbursement regulations of the German health care system. The cost-effectiveness threshold was identified above which the six-implant solution is preferable over the four-implant solution. Uncertainties regarding input parameters were incorporated via one-way and probabilistic sensitivity analysis based on Monte-Carlo simulation. Within a base case scenario of average treatment complexity, the cost-effectiveness threshold was identified to be 17,564 € per year of denture satisfaction gained above of which the alternative with six implants is preferable over treatment including four implants. Sensitivity analysis yielded that, depending on the specification of model input parameters such as patients' denture satisfaction, the respective cost-effectiveness threshold varies substantially. The results of the present study suggest that bar-retained maxillary overdentures based on six implants provide better patient satisfaction than bar-retained overdentures based on four implants but are considerably more expensive. Final judgements about value for money require more comprehensive clinical evidence including patient-centred health outcomes.

  14. Cellular attachment and differentiation on titania nanotubes exposed to air- or nitrogen-based non-thermal atmospheric pressure plasma.

    Directory of Open Access Journals (Sweden)

    Hye Yeon Seo

    Full Text Available The surface topography and chemistry of titanium implants are important factors for successful osseointegration. However, chemical modification of an implant surface using currently available methods often results in the disruption of topographical features and the loss of beneficial effects during the shelf life of the implant. Therefore, the aim of this study was to apply the recently highlighted portable non-thermal atmospheric pressure plasma jet (NTAPPJ, elicited from one of two different gas sources (nitrogen and air, to TiO2 nanotube surfaces to further improve their osteogenic properties while preserving the topographical morphology. The surface treatment was performed before implantation to avoid age-related decay. The surface chemistry and morphology of the TiO2 nanotube surfaces before and after the NTAPPJ treatment were determined using a field-emission scanning electron microscope, a surface profiler, a contact angle goniometer, and an X-ray photoelectron spectroscope. The MC3T3-E1 cell viability, attachment and morphology were confirmed using calcein AM and ethidium homodimer-1 staining, and analysis of gene expression using rat mesenchymal stem cells was performed using a real-time reverse-transcription polymerase chain reaction. The results indicated that both portable nitrogen- and air-based NTAPPJ could be used on TiO2 nanotube surfaces easily and without topographical disruption. NTAPPJ resulted in a significant increase in the hydrophilicity of the surfaces as well as changes in the surface chemistry, which consequently increased the cell viability, attachment and differentiation compared with the control samples. The nitrogen-based NTAPPJ treatment group exhibited a higher osteogenic gene expression level than the air-based NTAPPJ treatment group due to the lower atomic percentage of carbon on the surface that resulted from treatment. It was concluded that NTAPPJ treatment of TiO2 nanotube surfaces results in an increase in

  15. Solar-wind interactions with the Moon: nature and composition of nitrogen compounds

    International Nuclear Information System (INIS)

    Mukherjee, N.R.

    1981-01-01

    The lunar atmosphere and magnetic field are very tenuous. The solar wind, therefore, interacts directly with the lunar surface material and the dominant nature of interaction is essentially complete absorption of solar-wind particles by the surface material resulting in no upstream bowshock, but a cavity downstream. The solar-wind nitrogen ion species induce and undergo a complex set of reactions with the elements of lunar material and the solar-wind-derived trapped elements. The nitrogen concentration indigeneous to the lunar surface material is practically nil. Therefore any nitrogen and nitrogen compounds found in the lunar surface material are due to the solar-wind implantation of nitrogen ions. The flux of the solar-wind nitrogen ion species is about 6 X 10 3 cm -2 s -1 . Since there is no evidence for accumulation of nitrogen species in the lunar surface material, the outflux of nitrogen species from the lunar material to the atmosphere is the same as the solar-wind nitrogen ion flux. The species of the outflux are primarily NO and NH 3 , and their respective concentrations in the near surface lunar atmosphere are found to be 327 and 295 cm -3 . (Auth.)

  16. Herbicides effect on the nitrogen fertilizer assimilation by sensitive plants

    International Nuclear Information System (INIS)

    Ladonin, V.F.; Samojlov, L.N.

    1976-01-01

    It has been established in studying the effect of herbicides on pea plants that the penetration of the preparations into the tissues of leaves and stems results in a slight increase of the rate of formation of dry substance in the leaves of the treated plants within 24 hours after treatment as compared with control, whereas in the last period of the analysis the herbicides strongly inhibit the formation of dry substance in leaves. The applied herbicide doses have resulted in drastic changes of the distribution of the plant-assimilated nitrogen between the protein and non-protein fractions in the leaves and stems of pea. When affected by the studied herbicides, the fertilizer nitrogen supply to the pea plants changes and the rate of the fertilizer nitrogen assimilation by the plants varies noticeably. The regularities of the fertilizer nitrogen inclusion in the protein and non-protein nitrogen compounds of the above-ground pea organs have been studied

  17. [Bilateral cochlear implants].

    Science.gov (United States)

    Müller, J

    2017-07-01

    Cochlear implants (CI) are standard for the hearing rehabilitation of severe to profound deafness. Nowadays, if bilaterally indicated, bilateral implantation is usually recommended (in accordance with German guidelines). Bilateral implantation enables better speech discrimination in quiet and in noise, and restores directional and spatial hearing. Children with bilateral CI are able to undergo hearing-based hearing and speech development. Within the scope of their individual possibilities, bilaterally implanted children develop faster than children with unilateral CI and attain, e.g., a larger vocabulary within a certain time interval. Only bilateral implantation allows "binaural hearing," with all the benefits that people with normal hearing profit from, namely: better speech discrimination in quiet and in noise, as well as directional and spatial hearing. Naturally, the developments take time. Binaural CI users benefit from the same effects as normal hearing persons: head shadow effect, squelch effect, and summation and redundancy effects. Sequential CI fitting is not necessarily disadvantageous-both simultaneously and sequentially fitted patients benefit in a similar way. For children, earliest possible fitting and shortest possible interval between the two surgeries seems to positively influence the outcome if bilateral CI are indicated.

  18. Reactions of hot nitrogen in water-ice at 77 K

    International Nuclear Information System (INIS)

    Roessler, K.; Schurwanz, K.

    1985-04-01

    The reactions of hot nitrogen atoms were studied in H 2 O-ice at 77 K. The nitrogen atoms were generated via the nuclear process 16 O(p,α) 13 N with 20 MeV protons from a cyclotron and by implantation of 250 keV 14 N + ions. The formation of chemical compounds of 13 N was studied over a wide dose range of the energy deposited by the protons from Dsup(*)=10 -2 to 26 eV per target molecule. The 13 N-labeled products and their yields as depending on the dose were determined via high performance liquid chromatography (HPLC) and radio-gaschromatography (GC). The reactions of implanted 14 N + ions were studied in H 2 O-ice at 6 K. The analysis of the products was achieved by optical low-temperature spectroscopy in the near UV, visible and infrared spectral regions. Primary (original hot) products of 13 N were: 13 NH 4 + with a radiochemical yield of 51%, 13 NO 2 - (37%), and 13 NO 3 - (9%) as detected after irradiation with the lowest proton dose applied of Dsup(*)=10 -2 eV. With increasing dose the 13 NH 4 + -yield rose to a broad maximum at 95%. Correspondingly the yields of nitrite and nitrate decreased. Applications of the studies are the preparation of 13 N-labeled precursor compounds for the fast synthesis of 13 N-radiopharmaceuticals and the laboratory simulations of chemical processes in the interplanetary and interstellar ice bodies. The ion implantation studies gave first information on the hot formation of nitrogen-oxygen compounds. NH-compounds could not be found in the spectra. This reflects the 13 N-product distribution at high doses in the nuclear recoil experiments. (orig./RB) [de

  19. Randomized study on the effect of single-implant versus two-implant retained overdentures on implant loss and muscle activity: a 12-month follow-up report.

    Science.gov (United States)

    Alqutaibi, A Y; Kaddah, A F; Farouk, M

    2017-06-01

    The objective was to evaluate and compare single- and two-implant retained overdentures for the rehabilitation of the edentulous mandible. Fifty-six edentulous subjects were eligible for inclusion. Using a random sampling system, a single implant or two implants were placed in the mandible. After 3 months, locator attachments were connected to the implants and the denture delivered with the retentive components incorporated in the denture base. Implant failure and muscle activity were evaluated at the 3-, 6-, and 12-month follow-up examinations. The study sample comprised 56 patients (32 male, 24 female), with a mean age of 58.2 years. A total of 84 implants were placed (28 in the single-implant group and 56 in the two-implant group). All patients completed the 12 months of follow-up. No significant differences were found between subjects in the two groups with respect to implant failure. With regard to improvements in muscle activity, the two-implant group showed statistically significant but perhaps not clinically important differences. Single-implant mandibular overdentures may be suggested as an alternative treatment modality for the rehabilitation of edentulous patients who cannot afford the cost of a two-implant overdenture. Copyright © 2017 International Association of Oral and Maxillofacial Surgeons. Published by Elsevier Ltd. All rights reserved.

  20. Effect of oxygen implantation on the electrochemical properties of palladium

    International Nuclear Information System (INIS)

    Fujihana, T.; Ueshima, M.; Takahashi, K.; Iwaki, M.

    1995-01-01

    Hydrogen presence in metals has significant effects on their properties. A stress caused by hydrogen migration leads to cracks in metals. The suppression of hydrogen incorporation for the protection of such hydrogen embrittlement is one of the most important subjects for industrial engineering. In contrast, the development of active materials for hydrogen absorption and desorption reactions is expected to make a potable storage of hydrogen which is clean and virtually inexhaustible fuel. The electrochemical properties of O + -implanted Pd measured by cyclic voltammetry in a 0.25 mol dm -3 H 2 SO 4 solution were investigated in relation to their composition and structure. Implantation of 16 O + was performed with doses between 10 17 and 10 18 ions cm -2 at 150 keV, and at nearly room temperature. SIMS, ERD combined with RBS, and XRD were used to analyze the composition depth profile and structure of O + -implanted Pd surface layers. The H atoms were accumulated with a gaussian distribution and carbon materials containing the solid solution of PdCx (x = 0.13--0.15) were also formed in the near surface layers during O + -implantation. The distribution of implanted oxygen changed from gaussian to trapezoidal as the dose increased, accompanied by the crystal growth of Pd(OH) 2 , and simultaneously, the amount of accumulated H atoms increased. The voltammetric measurements revealed that with an increase in the dose, the hydrogen absorption was suppressed at the early stage of sweep cycles, and at the final stage, the redox reaction of both hydrogen and Pd was activated. From these results, the authors propose that the carbon materials containing the PdCx formed during O + -implantation suppress the hydrogen absorption, and the metallic Pd like a Pd-black formed by the reduction of Pd(OH) 2 during voltammetric measurements causes the electrochemical activation of O + -implanted Pd

  1. The effect of percentage of nitrogen in plasma gas on nitrogen

    African Journals Online (AJOL)

    user

    1985-09-01

    Sep 1, 1985 ... an arc plasma into liquid iron has been investigated by melting iron in an atmosphere of nitrogen and argon using an arc plasma. Results show that both the rate of ..... "Solubility of Nitrogen in arc melted and Levitation-melted.

  2. Effect of nitrogen on the corrosion behavior of austenitic stainless steel in chloride solutions

    International Nuclear Information System (INIS)

    Ghanem, Wafaa A.

    2004-01-01

    The effect of partial replacement of nickel with nitrogen on the mechanism of localized corrosion resistance and re-passivation for nitrogen-bearing stainless steel was investigated using anodic potentiodynamic polarization technique. The solutions used for this study contained 0.0, 0.05 and 0.33 M Fe 3+ for solutions I, II and III respectively, in a total Cl - ion concentration 1 M. The pitting attack was found to be retarded by nitrogen addition and the samples were able to passivate as the nitrogen increase. Addition of nitrogen allows decreasing the percentage of Ni, but to a certain limit. Nitrogen is adsorbed on the interface of the metal oxide and results in repulsion of Cl - ions. Moreover, it reacts with H + ions in the solution leading to higher pH, which explains the retardation effect of nitrogen to corrosion. (author)

  3. Beneficial effects of aluminum enrichment on nitrogen-fixing cyanobacteria in the South China Sea.

    Science.gov (United States)

    Liu, Jiaxing; Zhou, Linbin; Ke, Zhixin; Li, Gang; Shi, Rongjun; Tan, Yehui

    2018-04-01

    Few studies focus on the effects of aluminum (Al) on marine nitrogen-fixing cyanobacteria, which play important roles in the ocean nitrogen cycling. To examine the effects of Al on the nitrogen-fixing cyanobacteria, bioassay experiments in the oligotrophic South China Sea (SCS) and culture of Crocosphaera watsonii in the laboratory were conducted. Field data showed that 200 nM Al stimulated the growth and the nitrogenase gene expression of Trichodesmium and unicellular diazotrophic cyanobacterium group A, and the nitrogen fixation rates of the whole community. Laboratory experiments demonstrated that Al stimulated the growth and nitrogen fixation of C. watsonii under phosphorus limited conditions. Both field and laboratory results indicated that Al could stimulate the growth of diazotrophs and nitrogen fixation in oligotrophic oceans such as the SCS, which is likely related to the utilization of phosphorus, implying that Al plays an important role in the ocean nitrogen and carbon cycles by influencing nitrogen fixation. Copyright © 2018 Elsevier Ltd. All rights reserved.

  4. Implant decontamination with phosphoric acid during surgical peri-implantitis treatment : a RCT

    NARCIS (Netherlands)

    Hentenaar, Diederik F M; De Waal, Yvonne C M; Strooker, Hans; Meijer, Henny J A; Van Winkelhoff, Arie-Jan; Raghoebar, Gerry M

    2017-01-01

    BACKGROUND: Peri-implantitis is known as an infectious disease that affects the peri-implant soft and hard tissue. Today, scientific literature provides very little evidence for an effective intervention protocol for treatment of peri-implantitis. The aim of the present randomized controlled trial

  5. Effects of ovariectomy and anabolic steroid implantation on the ...

    African Journals Online (AJOL)

    Effects of ovariectomy and anabolic steroid implantation on the somatotrophic axis in feedlot heifers. CR Bailey, GC Duff, SR Sanders, SP Cuneo, CP McMurphy, SW Limesand, JA Marchello, DW Schafer, ML Rhoads, DM Hallford ...

  6. Effects of mechanical repetitive load on bone quality around implants in rat maxillae.

    Directory of Open Access Journals (Sweden)

    Yusuke Uto

    Full Text Available Greater understanding and acceptance of the new concept "bone quality", which was proposed by the National Institutes of Health and is based on bone cells and collagen fibers, are required. The novel protein Semaphorin3A (Sema3A is associated with osteoprotection by regulating bone cells. The aims of this study were to investigate the effects of mechanical loads on Sema3A production and bone quality based on bone cells and collagen fibers around implants in rat maxillae. Grade IV-titanium threaded implants were placed at 4 weeks post-extraction in maxillary first molars. Implants received mechanical loads (10 N, 3 Hz for 1800 cycles, 2 days/week for 5 weeks from 3 weeks post-implant placement to minimize the effects of wound healing processes by implant placement. Bone structures, bone mineral density (BMD, Sema3A production and bone quality based on bone cells and collagen fibers were analyzed using microcomputed tomography, histomorphometry, immunohistomorphometry, polarized light microscopy and birefringence measurement system inside of the first and second thread (designated as thread A and B, respectively, as mechanical stresses are concentrated and differently distributed on the first two threads from the implant neck. Mechanical load significantly increased BMD, but not bone volume around implants. Inside thread B, but not thread A, mechanical load significantly accelerated Sema3A production with increased number of osteoblasts and osteocytes, and enhanced production of both type I and III collagen. Moreover, mechanical load also significantly induced preferential alignment of collagen fibers in the lower flank of thread B. These data demonstrate that mechanical load has different effects on Sema3A production and bone quality based on bone cells and collagen fibers between the inside threads of A and B. Mechanical load-induced Sema3A production may be differentially regulated by the type of bone structure or distinct stress distribution

  7. Effect of connective tissue grafting on peri-implant tissue in single immediate implant sites : A RCT

    NARCIS (Netherlands)

    Zuiderveld, Elise G; Meijer, Henny J A; den Hartog, Laurens; Vissink, Arjan; Raghoebar, Gerry M

    AimTo assess the effect of connective tissue grafting on the mid-buccal mucosal level (MBML) of immediately placed and provisionalized single implants in the maxillofacial aesthetic zone. Materials and methodsSixty patients with a failing tooth were provided with an immediately placed and

  8. Effect of low-damage inductively coupled plasma on shallow nitrogen-vacancy centers in diamond

    Energy Technology Data Exchange (ETDEWEB)

    Fávaro de Oliveira, Felipe; Momenzadeh, S. Ali; Wang, Ya; Denisenko, Andrej, E-mail: a.denisenko@physik.uni-stuttgart.de [3. Institute of Physics, Research Center SCoPE and IQST, University of Stuttgart, 70569 Stuttgart (Germany); Konuma, Mitsuharu [Max Planck Institute for Solid State Research, 70569 Stuttgart (Germany); Markham, Matthew; Edmonds, Andrew M. [Element Six Innovation, Harwell Oxford, Didcot, Oxfordshire OX11 0QR (United Kingdom); Wrachtrup, Jörg [3. Institute of Physics, Research Center SCoPE and IQST, University of Stuttgart, 70569 Stuttgart (Germany); Max Planck Institute for Solid State Research, 70569 Stuttgart (Germany)

    2015-08-17

    Near-surface nitrogen-vacancy (NV) centers in diamond have been successfully employed as atomic-sized magnetic field sensors for external spins over the last years. A key challenge is still to develop a method to bring NV centers at nanometer proximity to the diamond surface while preserving their optical and spin properties. To that aim we present a method of controlled diamond etching with nanometric precision using an oxygen inductively coupled plasma process. Importantly, no traces of plasma-induced damages to the etched surface could be detected by X-ray photoelectron spectroscopy and confocal photoluminescence microscopy techniques. In addition, by profiling the depth of NV centers created by 5.0 keV of nitrogen implantation energy, no plasma-induced quenching in their fluorescence could be observed. Moreover, the developed etching process allowed even the channeling tail in their depth distribution to be resolved. Furthermore, treating a {sup 12}C isotopically purified diamond revealed a threefold increase in T{sub 2} times for NV centers with <4 nm of depth (measured by nuclear magnetic resonance signal from protons at the diamond surface) in comparison to the initial oxygen-terminated surface.

  9. Effect of nitrogen on creep properties of type 316L(N) stainless steels

    International Nuclear Information System (INIS)

    Kim, Dae Whan; Lee, Yoon Kyu; Kim, Woo Gon; Ryu, Woo Seog

    2001-01-01

    The effects of nitrogen on the creep properties of type 316(N) stainless steels with three different nitrogen contents from 0.04% to 0.15% were investigated. Creep tests were carried out using constant-load single-lever machines at 550∼650 .deg. C in the air. The time to rupture increased and the minimum creep rate decreased with the addition of nitrogen. At constant stress, the rupture elongation decreased with the addition of nitrogen. Intergranular and transgranular fracture mode were mixed in all specimens. Cavity and carbides were nucleated at grain boundary and the number of cavity and carbide at constant stress was increased with the addition of nitrogen because of the increase in the time to rupture and carbide precipitation due to the addition of nitrogen. The increase of rupture time with the addition of nitrogen for type 316L(N) stainless steel was attributed to the combined effect of the decrease of minimum creep rate due to the increase of tensile strength and the rupture elongation due to the precipitation at grain boundaries

  10. Litter quality mediated nitrogen effect on plant litter decomposition regardless of soil fauna presence.

    Science.gov (United States)

    Zhang, Weidong; Chao, Lin; Yang, Qingpeng; Wang, Qingkui; Fang, Yunting; Wang, Silong

    2016-10-01

    Nitrogen addition has been shown to affect plant litter decomposition in terrestrial ecosystems. The way that nitrogen deposition impacts the relationship between plant litter decomposition and altered soil nitrogen availability is unclear, however. This study examined 18 co-occurring litter types in a subtropical forest in China in terms of their decomposition (1 yr of exposure in the field) with nitrogen addition treatment (0, 0.4, 1.6, and 4.0 mol·N·m -2 ·yr -1 ) and soil fauna exclusion (litter bags with 0.1 and 2 cm mesh size). Results showed that the plant litter decomposition rate is significantly reduced because of nitrogen addition; the strength of the nitrogen addition effect is closely related to the nitrogen addition levels. Plant litters with diverse quality responded to nitrogen addition differently. When soil fauna was present, the nitrogen addition effect on medium-quality or high-quality plant litter decomposition rate was -26% ± 5% and -29% ± 4%, respectively; these values are significantly higher than that of low-quality plant litter decomposition. The pattern is similar when soil fauna is absent. In general, the plant litter decomposition rate is decreased by soil fauna exclusion; an average inhibition of -17% ± 1.5% was exhibited across nitrogen addition treatment and litter quality groups. However, this effect is weakly related to nitrogen addition treatment and plant litter quality. We conclude that the variations in plant litter quality, nitrogen deposition, and soil fauna are important factors of decomposition and nutrient cycling in a subtropical forest ecosystem. © 2016 by the Ecological Society of America.

  11. Effect of surface contamination on osseointegration of dental implants surrounded by circumferential bone defects.

    LENUS (Irish Health Repository)

    Mohamed, Seif

    2010-05-01

    This study was designed to evaluate the effect of surface contamination on osseointegration of dental implants surrounded by a circumferential bone defect and to compare osseointegration around Osseotite with that around Nanotite implants.

  12. Chimeric Peptides as Implant Functionalization Agents for Titanium Alloy Implants with Antimicrobial Properties

    Science.gov (United States)

    Yucesoy, Deniz T.; Hnilova, Marketa; Boone, Kyle; Arnold, Paul M.; Snead, Malcolm L.; Tamerler, Candan

    2015-04-01

    Implant-associated infections can have severe effects on the longevity of implant devices and they also represent a major cause of implant failures. Treating these infections associated with implants by antibiotics is not always an effective strategy due to poor penetration rates of antibiotics into biofilms. Additionally, emerging antibiotic resistance poses serious concerns. There is an urge to develop effective antibacterial surfaces that prevent bacterial adhesion and proliferation. A novel class of bacterial therapeutic agents, known as antimicrobial peptides (AMPs), are receiving increasing attention as an unconventional option to treat septic infection, partly due to their capacity to stimulate innate immune responses and for the difficulty of microorganisms to develop resistance towards them. While host and bacterial cells compete in determining the ultimate fate of the implant, functionalization of implant surfaces with AMPs can shift the balance and prevent implant infections. In the present study, we developed a novel chimeric peptide to functionalize the implant material surface. The chimeric peptide simultaneously presents two functionalities, with one domain binding to a titanium alloy implant surface through a titanium-binding domain while the other domain displays an antimicrobial property. This approach gains strength through control over the bio-material interfaces, a property built upon molecular recognition and self-assembly through a titanium alloy binding domain in the chimeric peptide. The efficiency of chimeric peptide both in-solution and absorbed onto titanium alloy surface was evaluated in vitro against three common human host infectious bacteria, Streptococcus mutans, Staphylococcus epidermidis, and Escherichia coli. In biological interactions such as occur on implants, it is the surface and the interface that dictate the ultimate outcome. Controlling the implant surface by creating an interface composed chimeric peptides may therefore

  13. The effect of ion-implantation on germination of alfalfa under phenanthrene stress

    International Nuclear Information System (INIS)

    Huang Hong; Wang Naiyan; Huang Jianwei; Liu Xijian; Dou Junfeng; Du Yongchao; Li Shuairan

    2011-01-01

    To investigate mutagenic effects of polycyclic aromatic hydrocarbons (PAHs) degradation plant under phenanthrene stress and to test germination level of alfalfa after ion-implantation alfalfa seeds were irradiated with N + beam. The germination percentage and the root length distribution of alfalfa, which grew in environment with different mass fraction of phenanthrene was investigated, respectively. The results indicated that the relation of dose and germination rate was shown as 'saddle' curve characteristics. It was found that the 5 x 10 15 cm -2 would be the best dose of implantation. And the longest root length was about 10.32 cm. The experiment also showed phenanthrene would have inhibitive effect on germination percentage and root growth of alfalfa. The stress resistance of PAHs with alfalfa could be enhanced by ion implantation. (authors)

  14. Assessment side light effects in patients after implantation of different models IOL

    Directory of Open Access Journals (Sweden)

    A. D. Chuprov

    2012-01-01

    Full Text Available Purpose: to evaluate the side effects of light in patients after implantation of IOLs of different models.Methods: the study involved 192 patients (216 eyes operated for cataract (3 groups of patients. the average age of patients was 63±0.78 years. Follow-up was 12 months. the first group included 63 patients (72 eyes after cataract extraction and implantation of the national refractive-diffractive trifocal IOL MIOL-Record 3. the second group included 64 patients (70 eyes after cataract extraction and implantation of domestic bifocal IOL refractive-diffractive MIOL-Acсord. the third group consisted of 65 patients (74 eyes after cataract extraction and IOL implantation domestic monofocal MIOL-2. the postoperative questionnaire (using a detailed oral interview was conducted after 1 week, 1, 3, 6 and 12 months. the patient is asked about the presence of these light effects: flashes of light, circles of light scatter at the sight of the light source, flash, glitter, glimmer, blindingly bright light.Results: At 2.77% (2 patients of cases in patients with MIOL-Record 3 in a detailed survey in the postoperative period revealed light effects. In patients with MIOL-Accord and MIOL-2 light effects were 2.85% (2 patients and 1.35% (1 patient. the difference between the groups was not statistically reliable.Conclusion: this study found no statistically significant increase of side light effects in patients with multifocal IOLs comparedwith monofocal IOLs.

  15. Assessment side light effects in patients after implantation of different models IOL

    Directory of Open Access Journals (Sweden)

    A. D. Chuprov

    2014-07-01

    Full Text Available Purpose: to evaluate the side effects of light in patients after implantation of IOLs of different models.Methods: the study involved 192 patients (216 eyes operated for cataract (3 groups of patients. the average age of patients was 63±0.78 years. Follow-up was 12 months. the first group included 63 patients (72 eyes after cataract extraction and implantation of the national refractive-diffractive trifocal IOL MIOL-Record 3. the second group included 64 patients (70 eyes after cataract extraction and implantation of domestic bifocal IOL refractive-diffractive MIOL-Acсord. the third group consisted of 65 patients (74 eyes after cataract extraction and IOL implantation domestic monofocal MIOL-2. the postoperative questionnaire (using a detailed oral interview was conducted after 1 week, 1, 3, 6 and 12 months. the patient is asked about the presence of these light effects: flashes of light, circles of light scatter at the sight of the light source, flash, glitter, glimmer, blindingly bright light.Results: At 2.77% (2 patients of cases in patients with MIOL-Record 3 in a detailed survey in the postoperative period revealed light effects. In patients with MIOL-Accord and MIOL-2 light effects were 2.85% (2 patients and 1.35% (1 patient. the difference between the groups was not statistically reliable.Conclusion: this study found no statistically significant increase of side light effects in patients with multifocal IOLs comparedwith monofocal IOLs.

  16. The Effect of RANKL/OPG Balance on Reducing Implant Complications

    Directory of Open Access Journals (Sweden)

    Elizabeth R. Kapasa

    2017-09-01

    Full Text Available Despite the phenomenal success of implants particularly in the realms of dentistry and orthopaedics, there are still challenges to overcome. The failure of implants resulting from infection, prosthetic loosening, and non-union continue to be the most notorious examples. The cascade of fracture healing and bone repair, especially with the presence of an implant, is complex because it involves a multifaceted immune response alongside the intricate process of bone formation and remodelling. Bone loss is a serious clinical problem that is frequently accompanied by chronic inflammation, illustrating that there is a convoluted relationship between inflammation and bone erosion. The effects of pro-inflammatory factors play a significant role in initiating and maintaining osteoclastogenesis that results in bone resorption by osteoclasts. This is because there is a disruption of the relative ratio between Receptor Activator of Nuclear Factor κB-Ligand (RANKL and osteoprotegerin (OPG, which is central to modulating bone repair and remodelling. This review aims to provide a background to the bone remodelling process, the bone repair cascade post-implantation, and the associated complications. Furthermore, current clinical solutions that can influence bone formation via either internal or extrinsic mechanisms will be described. These efficacious treatments for osteolysis via targeting the RANKL/OPG ratio may be crucial to reducing the incidence of related implant failures in the future.

  17. Effects of a Word-Learning Training on Children With Cochlear Implants

    Science.gov (United States)

    Lund, Emily

    2014-01-01

    Preschool children with hearing loss who use cochlear implants demonstrate vocabulary delays when compared to their peers without hearing loss. These delays may be a result of deficient word-learning abilities; children with cochlear implants perform more poorly on rapid word-learning tasks than children with normal hearing. This study explored the malleability of rapid word learning of preschoolers with cochlear implants by evaluating the effects of a word-learning training on rapid word learning. A single-subject, multiple probe design across participants measured the impact of the training on children’s rapid word-learning performance. Participants included 5 preschool children with cochlear implants who had an expressive lexicon of less than 150 words. An investigator guided children to identify, repeat, and learn about unknown sets of words in 2-weekly sessions across 10 weeks. The probe measure, a rapid word-learning task with a different set of words than those taught during training, was collected in the baseline, training, and maintenance conditions. All participants improved their receptive rapid word-learning performance in the training condition. The functional relation indicates that the receptive rapid word-learning performance of children with cochlear implants is malleable. PMID:23981321

  18. GDP Matters: Cost Effectiveness of Cochlear Implantation and Deaf Education in Sub-Saharan Africa.

    Science.gov (United States)

    Emmett, Susan D; Tucci, Debara L; Smith, Magteld; Macharia, Isaac M; Ndegwa, Serah N; Nakku, Doreen; Mukara, Kaitesi B; Kaitesi, Mukara B; Ibekwe, Titus S; Mulwafu, Wakisa; Gong, Wenfeng; Francis, Howard W; Saunders, James E

    2015-09-01

    Cochlear implantation and deaf education are cost effective in Sub-Saharan Africa. Cost effectiveness of pediatric cochlear implantation has been well established in developed countries but is unknown in low resource settings, where access to the technology has traditionally been limited. With incidence of severe-to-profound congenital sensorineural hearing loss 5 to 6 times higher in low/middle-income countries than the United States and Europe, developing cost-effective management strategies in these settings is critical. Costs were obtained from experts in Nigeria, South Africa, Kenya, Rwanda, Uganda, and Malawi using known costs and published data, with estimation when necessary. A disability adjusted life years (DALY) model was applied using 3% discounting and 10-year length of analysis. Sensitivity analysis was performed to evaluate the effect of device cost, professional salaries, annual number of implants, and probability of device failure. Cost effectiveness was determined using the WHO standard of cost-effectiveness ratio/gross domestic product per capita (CER/GDP) less than 3. Cochlear implantation was cost effective in South Africa and Nigeria, with CER/GDP of 1.03 and 2.05, respectively. Deaf education was cost effective in all countries investigated, with CER/GDP ranging from 0.55 to 1.56. The most influential factor in the sensitivity analysis was device cost, with the cost-effective threshold reached in all countries using discounted device costs that varied directly with GDP. Cochlear implantation and deaf education are equally cost effective in lower-middle and upper-middle income economies of Nigeria and South Africa. Device cost may have greater impact in the emerging economies of Kenya, Uganda, Rwanda, and Malawi.

  19. Effect of nitrogen and phosphate limitation on utilization of bitumen ...

    African Journals Online (AJOL)

    The degradation of bitumen was found to be associated with the production of carbon (IV) oxide, natural gas and oil. As a result of using nitrogen limited and phosphate limited media, 1750 and 1250 cm3 of gas and 0.95 and 0.85 g/l of oil were obtained respectively. Nitrogen and phosphate limitation have profound effect on ...

  20. Nitrogen plasma-treated multilayer graphene-based field effect transistor fabrication and electronic characteristics

    Science.gov (United States)

    Su, Wei-Jhih; Chang, Hsuan-Chen; Honda, Shin-ichi; Lin, Pao-Hung; Huang, Ying-Sheng; Lee, Kuei-Yi

    2017-08-01

    Chemical doping with hetero-atoms is an effective method used to change the characteristics of materials. Nitrogen doping technology plays a critical role in regulating the electronic properties of graphene. Nitrogen plasma treatment was used in this work to dope nitrogen atoms to modulate multilayer graphene electrical properties. The measured I-V multilayer graphene-base field-effect transistor characteristics (GFETs) showed a V-shaped transfer curve with the hole and electron region separated from the measured current-voltage (I-V) minimum. GFETs fabricated with multilayer graphene from chemical vapor deposition (CVD) exhibited p-type behavior because of oxygen adsorption. After using different nitrogen plasma treatment times, the minimum in I-V characteristic shifted into the negative gate voltage region with increased nitrogen concentration and the GFET channel became an n-type semiconductor. GFETs could be easily fabricated using this method with potential for various applications. The GFET transfer characteristics could be tuned precisely by adjusting the nitrogen plasma treatment time.

  1. Does gestrinone antagonize the effects of estrogen on endometrial implants upon the peritoneum of rats?

    Directory of Open Access Journals (Sweden)

    Vera Lúcia Rodrigues Lobo

    2008-01-01

    Full Text Available OBJECTIVE: To evaluate the effects of estrogen treatment in combination with gestrinone on an experimental rat model of endometriosis. METHODS: Uterine transplants were attached to the peritoneum of female Wistar rats via a surgical autotransplantation technique. The implanted area was measured during the proestrus phase and after hormonal treatment. We performed morphometric analysis and examined the macroscopic and morphometric alterations of endometrial implants after hormonal treatment in ovariectomized rats. RESULTS: The high dose of estrogen caused macroscopic increases in the endometrial implant group compared with other groups, which were similar to increases in the proestrus phase. The low dose showed morphometric development of implants, such as an increase in number of endometrial glands, leukocyte infiltration and mitosis. Gestrinone antagonized both doses of estrogen. CONCLUSION: Our findings suggest that gestrinone antagonizes estrogen's effects on rat peritoneal endometrial implants.

  2. The effect of nitrogen on phosphorus and potassium removal by cauliflower

    NARCIS (Netherlands)

    Everaarts, A.P.; Moel, de C.P.

    2009-01-01

    The effect of the amount of nitrogen applied and of the method of application on the amounts of phosphorus and potassium removed from the field with the product in cauliflower cultivation was studied in three field experiments. Band placement or split application of nitrogen did not influence

  3. The effect of MEVVA ion implantation on the tribological properties of PVD-TiN films

    International Nuclear Information System (INIS)

    Manory, R.; Mollica, S.

    1998-01-01

    The present work is the first study in which the effects of metal evaporation vacuum (MEVVA) implantation are studied on TiN of the PVD type which is commercially available in Australia. The MEVVA ion implanter differs from the 'conventional' type of ion implanter in the fact that it has a high throughput of metal ions which are not mass analysed and therefore has more potential for industrial non-electronic applications. TiN-coated steel samples have been implanted with two types of species - one light and one heavy - C + and W + respectively. The samples were analysed by Rutherford backscattering (RBS) and x-ray diffraction (XRD). The tribological performance was assessed by pin-on-disc and microhardness. The results show that carbon implantation was very effective in improving the friction coefficient by the formation of a carbonaceous layer on the surface. XRD also shows formation of TiC in the near surface region. W implantation does not improve the friction coefficient but improves the lifetime of the coating. Unimplanted films fail in the pin-on-disk test after 7000 cycles, whereas implanted films are still well adhered after 18000 cycles

  4. Study on mutation breeding and fermentation of antimicrobial lipopeptides yielding bacterium with 20 keV N+ ion beam implantation

    International Nuclear Information System (INIS)

    Fang Chuanji; Lu Zhaoxin; Sun Lijun; Lv Fengxia; Bie Xiaomei

    2006-01-01

    Bacillus amyloliquefaciens ES-2 was implanted with 20 keV N + ion beam to breed mutants of high yield of antimicrobial lipopeptides. The dose effects of the nitrogen ion implantation on the survival and positive mutations rate was studied. The mutant strain designated as B. amyloliquefaciens ES-2-4 showing higher yield of antimicrobial lipopeptides was obtained. The concentration of the lipopeptides in fermentation liquid increased by 15.2% compared with the original strain. The authors also studied the fermentation process between the mutant and the original strain. It indicated that the mutant with shorter lag phase, longer stable phase and higher yield of the lipopeptides, which was suitable for large-scale production. (authors)

  5. The effect of annealing unimplanted and krypton implanted uranium dioxide using positrons

    International Nuclear Information System (INIS)

    Evans, H.E.; Rice-Evans, P.; Smith, D.L.; Smith, C.; Evans, J.H.

    1992-01-01

    Previous studies examining the response of variable energy positrons to metals implanted with krypton ions have been extended to UO 2 . The behaviour of two Kr-implanted samples (one implanted at 300 K, the other at 870 K) during annealing up to 1350 K has been followed, together with an unimplanted, as-polished sample. There are several features of interest in the results although in these initial studies the interpretation is not always clear. Defect recovery and krypton effects can be identified but at high temperatures some suggestion of a sensitivity of positrons to stoichiometry is present

  6. Comparative evaluation of the effectiveness of the implantation in the lateral part of the mandible between short tissue level (TE) and bone level (BL) implant systems.

    Science.gov (United States)

    Hadzik, Jakub; Botzenhart, Ute; Krawiec, Maciej; Gedrange, Tomasz; Heinemann, Friedhelm; Vegh, Andras; Dominiak, Marzena

    2017-09-01

    Short dental implants can be an alternative method of treatment to a vertical bone augmentation procedure at sites of reduced alveolar height. However, for successful treatment, an implant system that causes a minimal marginal bone loss (MBL) should be taken into consideration. The aim of the study has been to evaluate implantation effectiveness for bone level and tissue level short implants provided in lateral aspects of partially edentulous mandible and limited alveolar ridge height. The MBL and primary as well as secondary implant stability were determined in the study. Patients were randomly divided into two groups according to the method of treatment provided. Sixteen short Bone Level Implants (OsseoSpeed TX, Astra tech) and 16 short Tissue Level Implants (RN SLActive ® , Straumann) were successfully placed in the edentulous part of the mandible. The determination of the marginal bone level was based on radiographic evaluation after 12 and 36 weeks. Implant stability was measured immediately after insertion and after 12 weeks. The marginal bone level of Bone Level Implants was significantly lower compared to Tissue Level Implants. Furthermore, the Bone Level Implants had greater primary and secondary stability in comparison with Tissue Level Implants (Primary: 77.8 ISQ versus 66.5 ISQ; Secondary: 78.9 ISQ versus 73.9 ISQ, respectively). Since short Bone Level Implants showed a significantly decreased MBL 12 and 36 weeks after implantation as well as better results for the primary stability compared to Tissue Level Implants, they should preferentially be used for this mentioned indication. Copyright © 2017 Elsevier GmbH. All rights reserved.

  7. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  8. The effect of platelet-rich fibrin on implant stability.

    Science.gov (United States)

    Öncü, Elif; Alaaddinoğlu, E Emine

    2015-01-01

    Achieving accelerated implant osseointegration could make immediate or early loading of implants more predictable. Platelet-rich fibrin (PRF) is frequently used to accelerate soft and hard tissue healing. The activated platelets in PRF release growth factors, resulting in cellular proliferation, collagen synthesis, and osteoid production. The aim of this study was to compare the stability of dental implants inserted in a one-stage surgical protocol with or without PRF application. Twenty healthy patients with adequate alveolar bone and two or more adjacent missing teeth extracted at least 6 months previously were included in this study. A minimum of two tapered implants (Ankylos, Dentsply/Friadent) were placed in each patient. After surgical preparation of the implant sockets, PRF that had been prepared preoperatively was placed randomly into one of the sockets (PRF+). The acellular plasma portion of PRF was used to wet the implant placed into the PRF-coated socket. Resonance frequency measurements were made after implant placement and at 1 week and 1 month postoperatively. Mean implant stability quotients (ISQs) of the PRF+ implants was 69.3 ± 10.5, and mean ISQs for the PRF- implants was 64.5 ± 12.2 at the end of the first week. The mean ISQs at 4 weeks postoperatively were 77.1 ± 7.1 for the PRF+ group and 70.5 ± 7.7 for the PRF- group. In this study, PRF application increased implant stability during the early healing period, as evidenced by higher ISQ values. Simple application of this material seems to provide faster osseointegration.

  9. Implantation, recoil implantation, and sputtering

    International Nuclear Information System (INIS)

    Kelly, R.

    1984-01-01

    Underlying ion-beam modification of surfaces is the more basic subject of particle-surface interaction. The ideas can be grouped into forward and backward features, i.e. those affecting the interior of the target and those leading to particle expulsion. Forward effects include the stopping of the incident particles and the deposition of energy, both governed by integral equations which are easily set up but difficult to solve. Closely related is recoil implantation where emphasis is placed not on the stopping of the incident particles but on their interaction with target atoms with resulting implantation of these atoms. Backward effects, all of which are denoted as sputtering, are in general either of collisional, thermal, electronic, or exfoliational origin. (Auth.)

  10. Effect of surface modification by nitrogen ion implantation on the electrochemical and cellular behaviors of super-elastic NiTi shape memory alloy.

    Science.gov (United States)

    Maleki-Ghaleh, H; Khalil-Allafi, J; Sadeghpour-Motlagh, M; Shakeri, M S; Masoudfar, S; Farrokhi, A; Beygi Khosrowshahi, Y; Nadernezhad, A; Siadati, M H; Javidi, M; Shakiba, M; Aghaie, E

    2014-12-01

    The aim of this investigation was to enhance the biological behavior of NiTi shape memory alloy while preserving its super-elastic behavior in order to facilitate its compatibility for application in human body. The surfaces of NiTi samples were bombarded by three different nitrogen doses. Small-angle X-ray diffraction was employed for evaluating the generated phases on the bombarded surfaces. The electrochemical behaviors of the bare and surface-modified NiTi samples were studied in simulated body fluid (SBF) using electrochemical impedance and potentio-dynamic polarization tests. Ni ion release during a 2-month period of service in the SBF environment was evaluated using atomic absorption spectrometry. The cellular behavior of nitrogen-modified samples was studied using fibroblast cells. Furthermore, the effect of surface modification on super-elasticity was investigated by tensile test. The results showed the improvement of both corrosion and biological behaviors of the modified NiTi samples. However, no significant change in the super-elasticity was observed. Samples modified at 1.4E18 ion cm(-2) showed the highest corrosion resistance and the lowest Ni ion release.

  11. Antimicrobial effect of proteflazid extract on microflora of peri-implant areas in infectious and inflammatory complications after dental implantation

    Directory of Open Access Journals (Sweden)

    M. M. Ananieva

    2017-12-01

    Full Text Available The purpose of this study was to investigate the proteflazid extraction antimicrobial action onto causative agents of infectious and inflammatory diseases occurring during dental implant placement. Materials and Methods. We studied clinical strains of Streptococcus sanguinis, Staphylococcus warneri and Kocuria kristinae, isolated from oral mucosa of patients who were diagnosed with peri-implant mucositis and peri-implantitis. The cultivation of strains was carried out by standard method. Final identification was carried out with an automatic bacteriological analyzer Viteck 2 compact bioMérieux (France according to manufacturer's instructions. The sensitivity of derived strains to proteflazid and to 96 % ethyl alcohol assessment was done by double serial dilutions according to the standard procedure. Results. The clinical strains of Streptococcus sanguinis, Staphylococcus warneri and Kocuria kristinae were found to be sensitive to effects of proteflazid extract. K. kristinae was proven to be the least susceptible strain. But the clinical strain of Streptococcus sanguinis was found to be the most sensitive to proteflazid extract. 96 % ethyl alcohol, which is a component of the extract, showed a bactericidal effect on the clinical strains in the study, but its minimal bactericidal concentration was significantly lower than minimal bactericidal concentration of the proteflazid extract. Conclusions. The proteflazid extract can produce antimicrobial effect on the clinical strains of Streptococcus sanguinis, Staphylococcus warneri and Kocuria kristinae, which colonize the oral mucosa of patients with infectious and inflammatory complications following dental implant placement. Antimicrobial action of the proteflazid extract is much higher than action of 96% ethyl alcohol that confirms the direct antimicrobial action of flavonoids, which are components of the studied extract.

  12. [Effect of Elodea nuttallii-immobilized Nitrogen Cycling Bacteria on Nitrogen Removal Mechanism in an Inflow River, Gonghu Bay].

    Science.gov (United States)

    Han, Hua-yang; Li, Zheng-kui; Wang, Hao; Zhu, Qian

    2016-04-15

    Undisturbed sediment cores and surface water from Qinshui River in Gonghu Bay were collected to carry out a simulation experiment in our laboratory. The remediation effect of Elodea nuttallii-Immobilized Nitrogen Cycling Bacteria (INCB) was applied in the polluted inflow river. The denitrification rate, ANAMMOX rate and nitrogen microorganism diversity were measured by ¹⁵N isotope pairing technology and high-throughput sequencing technology based on 16S rRNA. The TN, NH₄⁺-N, NO₃⁻-N concentrations were reduced by 72.03%, 46.67% and 76.65% in the treatment with addition of Elodea nuttallii and INCB in our laboratory experiment. Meanwhile, denitrification bacteria and ANAMMOX bacteria had synergistic effect with each other. The denitrification and ANAMMOX rates were increased by 165 µmol (m² · h)⁻¹ and 269.7 µmol · (m² · h)⁻¹, respectively. The diversities of denitrification and ANAMMOX bacteria also increased in our experiment. From the level of major phylum, Proteobacteria, Planctomycetes, Acidobbacteria and Bacteroidetes all increased significantly. The results showed that the Elodea nuttallii-INCB assemblage technology could increase the bio-diversity of nitrogen cycling bacteria and promote the ability of nitrogen removal in Qinshui River.

  13. Effect of nitrogen doping of graphene oxide on hydrogen and hydroxyl adsorption

    Energy Technology Data Exchange (ETDEWEB)

    Min, Byeong June; Jeong, Hae Kyung [Daegu University, Kyungsan (Korea, Republic of)

    2014-05-15

    We investigate how nitrogen-doping affects the hydrogen (H) and the hydroxyl (OH) adsorption on graphene oxide (GO) and on nitrogen-doped GO (NGO) via pseudopotential plane wave density functional calculations within the local spin density approximation. We find that the nitrogen doping brings about drastic changes in the hydrogen and the hydroxyl adsorption energetics, but its effects depend sensitively on the nitrogen configuration in NGO. The H and the OH adsorption energies are comparable only for pyrrolic NGO. In GO and quarternary NGO, the H adsorption energy is greater than the OH adsorption energy while the trend is reversed in pyridinic NGO. Also, the OH adsorption process is less affected by nitrogen-doping than the H adsorption is.

  14. The effect of hierarchical micro/nanosurface titanium implant on osseointegration in ovariectomized sheep.

    Science.gov (United States)

    Xiao, J; Zhou, H; Zhao, L; Sun, Y; Guan, S; Liu, B; Kong, L

    2011-06-01

    Hydrofluoric etching and anodized hierarchical micro/nanotextured surface titanium implant was placed in mandibles of ovariectomized sheep for 12 weeks, and it showed improved osseointegration by resonance frequency analysis (RFA), microcomputed tomography (micro-CT) evaluation, histomorphometry, and biomechanical test. This study aimed to investigate the effects of micro/nanotextured titanium implant on osseointegration in ovariectomized (OVX) sheep. The hierarchical micro/nanotextured surface of titanium implant was fabricated by acid in 0.5% (w/v) hydrofluoric (HF) and anodized in HF acid electrolytes with a DC power of 20 V, and the machined surface implants with no treatment served as control group. The implants were placed in mandibles of OVX sheep, respectively. Twelve weeks after implantation, RFA, microcomputed tomography, histomorphometry, and biomechanical tests were applied to detect the osseointegration of the two groups. The implant stability quotient (ISQ) values, the maximum pull-out forces, and the bone-implant contact (BIC) were 65.5 ± 6.3, 490.6 ± 72.7 N, and 58.31 ± 5.79% in the micro/nanogroup and 58.3 ± 8.9, 394.5 ± 54.5 N, and 46.85 ± 5.04% in the control group, respectively. There was no significant difference between the two groups in ISQ values (p > 0.05), but in the micro/nanogroup, the maximal pull-out force and the BIC were increased significantly (p Micro-CT analysis showed that the bone volume ratio and the trabecular number increased significantly (p micro/nanogroup. Implant modification by HF acid etching and anodization to form a hierarchical micro/nanotextured surface could improve titanium implant osseointegration in OVX sheep 12 weeks after implantation.

  15. The effect of number and distribution of unsplinted maxillary implants on the load transfer in implant-retained maxillary overdentures: an in vitro study.

    Science.gov (United States)

    Damghani, Sahar; Masri, Radi; Driscoll, Carl F; Romberg, Elaine

    2012-06-01

    There is little information as to how the number and distribution of implants affect the amount of load transmitted to the palate in implant-retained maxillary overdentures. The purpose of this study was to evaluate the effect of the number and distribution of dental implants on the load transmitted to the palate. Eight implant analogues were placed in a replica of an average sized edentulous maxilla corresponding to the position of canines, first and second premolars, and first molars. The anteroposterior distance between the centers of implants in each quadrant was 8 mm. Fifteen denture bases were fabricated to fit the edentulous maxilla analogue. The denture bases were attached to the oral analogue using 6 different configurations of attachments (6 groups): Either no Locator attachments were used (control group), or the 2 most anterior attachments were attached, or 4 implants were engaged with a distance of 8, 16, or 24 mm between the centers of implants on left and right side, and finally, when all 8 attachments were activated. A force-measuring sensor was used to measure the force transmitted to the palate when a static force of 245 N was applied on the occlusal rims of the denture bases. Data (Newtons) were analyzed using 1-way ANOVA and Tukey's HSD test α=.05). The mean (SD) amount of force measured on the palate when the overdentures were supported by 4 Locator attachments; [49.84 (26.52) at 8 mm spacing], [24.42 (15.05) at 16 mm spacing], [35.66 (22.94) at 24 mm spacing] was significantly lower than when no attachments [90.98 (20.20), control], or when 2 Locator attachments were used [76.07 (27.63)] (Poverdentures were supported by 8 Locator attachments, the force measured on the palate [20.67(16.06) N] was significantly lower than that for the control group (Poverdentures supported by 2 Locator attachments (Poverdentures supported by 4 Locator attachments when the distance between the anterior and posterior implants was 8 mm P=.006). The distribution of

  16. Radiation effects in ion implanted β-Ga_2O_3

    International Nuclear Information System (INIS)

    Wendler, E.; Treiber, E.; Baldauf, J.; Wolf, S.; Ronning, C.; Kuramata, A.

    2015-01-01

    Ion implantation induced effects are studied in β-Ga_2O_3 at room temperature. The main technique applied is Rutherford backscattering spectrometry in channelling configuration (RBS) using He ions. Additionally, selected samples were investigated by optical spectroscopy and transmission electron microscopy (TEM). For the implanted P, Ar or Sn ions clear damage peaks are visible in the RBS spectra. The concentration of displaced lattice atoms in the maximum of the distribution (as deduced from the channelling spectra) increases almost continuously up to a saturation value of about 90% with increasing ion fluence. Once this level is reached in the maximum of the distribution, during further implantation a broadening of the distribution occurs with the concentration remaining at this level. RBS measurements performed with different energy of the analysing He ions reveal that the damage produced is characterized by randomly distributed lattice atoms. This indicates point defects, point defect complexes or amorphous zones. As the channelling spectra of the implanted layers do not reach the random level, complete amorphisation can be excluded. Furthermore, the applied optical techniques do not exhibit significant changes in comparison to the signal measured for the unimplanted sample even though these studies were performed for the highest ion fluences implanted. Cross sectional TEM confirms this result. The diffraction pattern shows clear spots as for the unimplanted material and extended defects are almost not visible. The β-Ga_2O_3 layers ion implanted at room temperature contain mainly point defects the strong influence of which on the dechannelling of the He ions in the RBS analysis is not yet understood. (authors)

  17. Effects of Mg on C and N Metabolism of Soybean at Different Nitrogen Supplying Levels

    Institute of Scientific and Technical Information of China (English)

    LANG Man; LIU Yuanying; PENG Xianlong; ZHANG Wenzhao

    2006-01-01

    A pot experiment was conducted to study the effects of magnesium on carbon and nitrogen metabolism of soybean at different nitrogen supplying levels. The results showed that the effects of magnesium at low nitrogen rate on N content, soluble protein, soluble sugar contents were not alike at different growth stage, although nodule dry weights raised, the yield and protein content of seeds decreased, however, the oil content was improved.The application of magnesium at medium and high nitrogen supplying levels promoted the uptake of N effectively,increased the soluble protein and soluble sugar contents, but the nodule dry weights of application magnesium at medium nitrogen supplying level decreased and the yield increased only a little despite the improved quality.Application of magnesium at high nitrogen supplying level raised nodule dry weights and soybean yield significantly, the quality of seeds was also improved.

  18. Effect of packaging material on nitrate nitrogen content of irradiated potatoes

    International Nuclear Information System (INIS)

    Mondy, N.I.; Koushik, S.R.

    1990-01-01

    The effect of packaging materials on nitrate nitrogen content of irradiated potatoes was investigated. Tubers were irradiated at 10, 30 and 100 Krads and stored for 12 wk at 5 degrees C in paper or plastic bags. Nitrate nitrogen content was significantly (p 0.01) higher in tubers packaged in plastic as compared to those in paper bags. Irradiation significantly (p 0.01) increased nitrate nitrogen content between the lowest and highest levels of treatment in tubers stored in both paper and plastic bags

  19. Effect of different rates of nitrogen fertilizer on durum wheat (Triticum ...

    African Journals Online (AJOL)

    Dr Asefa

    2012-05-03

    May 3, 2012 ... The result showed that nitrogen rates and cultivars had significant effect on yield, yield related traits, nitrogen uptake ... cooking quality [8]. Durum wheat grain protein functionality can be influenced by N fertilization, particularly in the varieties of relatively with less gluten strength [9]. Information on the quality ...

  20. The effects of nitrogen deficiencies on the lipid and protein contents ...

    African Journals Online (AJOL)

    Nitrogen deficiencies were studied in Spirulina platensis (Cyanophyceae) with the aim of determining the effects of the 50 and 100% deficient nitrogen on the lipid and protein contents of the cell under laboratory conditions. S. platensis cultures were grown in Spirulina medium and kept at the constant room temperature of ...

  1. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Dzurak, A.S.; Clark, R.G.

    2006-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because of the need to control quantum states at the level of individual atoms, electrons or photons. We have used ion implantation to fabricate devices on the scale of 10 nm that have allowed the development and test of nanocircuitry for the control of charge transport at the level of single electrons. This fabrication method is compatible with the construction of devices that employ counted P dopants in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon substrates by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved. Prototype devices fabricated by this method have been used to investigate quantum effects in the control and transport of single electrons with potential applications to solid state quantum information processing devices

  2. Effect of nitrogen sources on the biodegradation of diesel fuel in unsaturated soil

    International Nuclear Information System (INIS)

    Brook, T. R.; Stiver, W. H.; Zytner, R. G.

    1997-01-01

    The various factors involved in controlling the rate and efficiency of the bioremediation process were studied, among them the type and concentration of contaminants, temperature, oxygen content and nutrient status. This study emphasized the effect of the nitrogen source on the degradation rate of diesel fuel in nutrient-limited soil. Various nitrogen sources were studied, including ammonium nitrate, urea, and urea oligomers. Treatment with urea produced the highest rate of hydrocarbon degradation, but ammonium levels were a better indicator of nutrient performance than total inorganic nitrogen. Other nitrogen sources produced little or no effect on the rate of biodegradation; there was no evidence that nitrate at 0.5 mg N/g concentration was inhibitory. 11 refs., 6 figs

  3. Effects of ion implantation on charges in the silicon--silicon dioxide system

    International Nuclear Information System (INIS)

    Learn, A.J.; Hess, D.W.

    1977-01-01

    Structures consisting of thermally grown oxide on silicon were implanted with boron, arsenic, or argon ions. For argon implantation through oxides, an increased fixed oxide charge (Q/sub ss/) was observed with the increase being greater for than for silicon. This effect is attributed to oxygen recoil which produces additional excess ionized silicon in the oxide of a type similar to that arising in thermal oxidation. Fast surface state (N/sub st/) generation was also noted which in most cases obscured the Q/sub ss/ increase. Of various heat treatments tested, only a 900 degreeC anneal in hydrogen annihilated N/sub st/ and allowed Q/sub ss/ measurement. Such N/sub st/ apparently arises as a consequence of implantation damage at the silicon--silicon dioxide interface. With the exception of boron implantations into thick oxides or through aluminum electrodes, reduction of the mobile ionic charge (Q/sub o/) was achieved by implantation. The reduction again is presumably damage related and is not negated by high-temperature annealing but may be counterbalanced by aluminum incorporation in the oxide

  4. Effect of pre-implanted oxygen in Si on the retention of implanted He

    Energy Technology Data Exchange (ETDEWEB)

    Manuaba, A. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Paszti, F. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)]. E-mail: paszti@rmki.kfki.hu; Ramos, A.R. [ITN - Instituto Tecnologico e Nuclear, Estrada Nacional 10, P-2686-953, Sacavem (Portugal); Khanh, N.Q. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Pecz, B. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Zolnai, Z. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Tunyogi, A. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)

    2006-08-15

    Buried SiO {sub x} layers, with different x values, were formed by implanting 80 keV O{sup +} ions with different fluences into single crystal Si samples at room temperature. Into each of these O pre-implanted layers, 20 keV He{sup +} was implanted up to the fluence of 1 x 10{sup 17} ion/cm{sup 2}. The He distribution profiles were determined by 2045 keV proton backscattering spectrometry. It was found that as the O content increases, the retained He gradually decreases at the beginning, then rapidly falls at x = 0.6 till it disappears at x = 1.3. The process that leads to this phenomenon is discussed.

  5. Exogenous trehalose improves growth under limiting nitrogen through upregulation of nitrogen metabolism.

    Science.gov (United States)

    Lin, Yingchao; Zhang, Jie; Gao, Weichang; Chen, Yi; Li, Hongxun; Lawlor, David W; Paul, Matthew J; Pan, Wenjie

    2017-12-19

    The trehalose (Tre) pathway has strong effects on growth and development in plants through regulation of carbon metabolism. Altering either Tre or trehalose 6-phosphate (T6P) can improve growth and productivity of plants as observed under different water availability. As yet, there are no reports of the effects of modification of Tre orT6P on plant performance under limiting nutrition. Here we report that nitrogen (N) metabolism is positively affected by exogenous application of Tre in nitrogen-deficient growing conditions. Spraying foliage of tobacco (Nicotiana tabacum) with trehalose partially alleviated symptoms of nitrogen deficiency through upregulation of nitrate and ammonia assimilation and increasing activities of nitrate reductase (NR), glycolate oxidase (GO), glutamine synthetase (GS) and glutamine oxoglutarate aminotransferase (GOGAT) with concomitant changes in ammonium (NH 4 + ) and nitrate (NO 3 - ) concentrations, glutamine and amino acids. Chlorophyll and total nitrogen content of leaves and rates of photosynthesis were increased compared to nitrogen-deficient plants without applied Tre. Total plant biomass accumulation was also higher in Tre -fed nitrogen-deficient plants, with a smaller proportion of dry weight partitioned to roots, compared to nitrogen-deficient plants without applied Tre. Consistent with higher nitrogen assimilation and growth, Tre application reduced foliar starch. Minimal effects of Tre feeding were observed on nitrogen-sufficient plants. The data show, for the first time, significant stimulatory effects of exogenous Tre on nitrogen metabolism and growth in plants growing under deficient nitrogen. Under such adverse conditions metabolism is regulated for survival rather than productivity. Application of Tre can alter this regulation towards maintenance of productive functions under low nitrogen. This has implications for considering approaches to modifying the Tre pathway for to improve crop nitrogen-use efficiency and

  6. Effect of ion implantation on the corrosion behavior of lead and a lead-antimony alloy

    International Nuclear Information System (INIS)

    Zhang, S.T.; Kong, F.P.; Muller, R.H.

    1994-01-01

    Ion implantation of different metals in Pb and Pb-4% Sb has been found to improve the open-circuit corrosion resistance of the two metals in 5M H 2 SO 4 . Titanium ions were implanted under different conditions of ion dose and ion energy. Optimum implantation conditions resulted in an up to 72-fold reduction of corrosion currents. The implantation of V, Cr, Ni, and W has been investigated for one implantation condition and has also resulted in decreased corrosion currents. The corrosion behavior was characterized by the current response to small anodic potential steps. Surface analysis and depth profiles have shown the importance of the spatial distribution of the implanted ions for their effects on the anodic and cathodic parts of the corrosion reactions

  7. Synergistic effects of iodine and silver ions co-implanted in 6H-SiC

    Science.gov (United States)

    Kuhudzai, R. J.; Malherbe, J. B.; Hlatshwayo, T. T.; van der Berg, N. G.; Devaraj, A.; Zhu, Z.; Nandasiri, M.

    2015-12-01

    Motivated by the aim of understanding the release of fission products through the SiC coating of fuel kernels in modern high temperature nuclear reactors, a fundamental investigation is conducted to understand the synergistic effects of implanted silver (Ag) and iodine (I) in 6H-SiC. The implantation of the individual species, as well as the co-implantation of 360 keV ions of I and Ag at room temperature in 6H-SiC and their subsequent annealing behaviour has been investigated by Secondary Ion Mass Spectrometry (SIMS), Atom Probe Tomography (APT) and X-ray Photoelectron Spectroscopy (XPS). SIMS and APT measurements indicated the presence of Ag in the co-implanted samples after annealing at 1500 °C for 30 h in sharp contrast to the samples implanted with Ag only. In samples implanted with Ag only, complete loss of the implanted Ag was observed. However, for I only implanted samples, some iodine was retained. APT of annealed co-implanted 6H-SiC showed clear spatial association of Ag and I clusters in SiC, which can be attributed to the observed I assisted retention of Ag after annealing. Such detailed studies will be necessary to identify the fundamental mechanism of fission products migration through SiC coatings.

  8. The effects of different nitrogen doses on yield, quality and leaf ...

    African Journals Online (AJOL)

    ONOS

    2010-08-09

    Aug 9, 2010 ... The effects of different nitrogen doses on yield, quality and leaf nitrogen content of some early grape cultivars. (V. vinifera L.) grown in greenhouse. Hatice Bilir Ekbic1, Gultekin Ozdemir2, Ali Sabir3* and Semih Tangolar1. 1Department of Horticulture, Faculty of Agriculture, University of Cukurova, Adana, ...

  9. Plasma immersion ion implantation of Pebax polymer

    Energy Technology Data Exchange (ETDEWEB)

    Kondyurin, A. [Applied and Plasma Physics, School of Physics (A28), University of Sydney, Sydney, NSW 2006 (Australia)]. E-mail: kond@mailcity.com; Volodin, P. [Leibniz Institute of Polymer Research Dresden e.v., Hohe Str.6, Dresden 01069 (Germany); Weber, J. [Boston Scientific Corporation, One Scimed Place, Maple Grove, MN 55311-1566 (United States)

    2006-10-15

    Nitrogen plasma immersion ion implantation (PIII) was applied to Pebax thin films and plates using doses ranging from 5 x 10{sup 14} to 10{sup 17} ions/cm{sup 2} at applied voltages of 5, 10, 20 and 30 kV. The analysis of the Pebax structure after implantation was performed using FTIR ATR, Raman, UV-vis transmission spectra, tensile and AFM contact mode data. The carbonization and depolymerisation processes were observed in the surface layer of Pebax. It was found, that graphitic- and diamond-like structures in Pebax are formed at PIII treatment of 30 kV applied voltage. AFM measurement data showed that the hardness of the Pebax surface layer increased sharply at PIII treatment with a dose higher then 10{sup 16} ions/cm{sup 2}. The bulk mechanical properties of the Pebax film after PIII remained unchanged.

  10. Hyperfine interactions of iron implanted into aluminium

    International Nuclear Information System (INIS)

    Sawicka, B.D.; Drwiega, M.; Sawicki, J.; Stanek, J.

    1976-01-01

    Systematical investigations of the stable 57 Fe implanted into Al at energies of 10 to 70 keV and doses of 10 14 to 2.10 17 ions/cm 2 were performed by means of conversion electron Moessbauer spectroscopy at room and liquid nitrogen temperatures. The spectra measured were interpreted as originated by iron monomers (single line) and by iron associations, mostly dimers (dublet). The isomer shifts of both components differ considerably and are constant against iron concentration. The ratio of both components depends strongly on the iron concentration. The quadrupole splitting of the doublet rises with the concentration, the rise being reproduced by computer simulations of efg distributions in densely packed random charge defected lattices. The annealing processes were investigated. The spectra of the Fe-Al samples made by ion implantation and by a splat-cooling technique are well comparable. (author)

  11. The effects of implant topography on osseointegration under estrogen deficiency induced osteoporotic conditions: Histomorphometric, transcriptional and ultrastructural analysis.

    Science.gov (United States)

    Du, Zhibin; Xiao, Yin; Hashimi, Saeed; Hamlet, Stephen M; Ivanovski, Saso

    2016-09-15

    Compromised bone quality and/or healing in osteoporosis are recognised risk factors for impaired dental implant osseointegration. This study examined the effects of (1) experimentally induced osteoporosis on titanium implant osseointegration and (2) the effect of modified implant surface topography on osseointegration under osteoporosis-like conditions. Machined and micro-roughened surface implants were placed into the maxillary first molar root socket of 64 ovariectomised and sham-operated Sprague-Dawley rats. Subsequent histological and SEM observations showed tissue maturation on the micro-rough surfaced implants in ovariectomised animals as early as 3days post-implantation. The degree of osseointegration was also significantly higher around the micro-rough implants in ovariectomised animals after 14days of healing although by day 28, similar levels of osseointegration were found for all test groups. The micro-rough implants significantly increased the early (day 3) gene expression of alkaline phosphatase, osteocalcin, receptor activator of nuclear factor kappa-B ligand and dentin matrix protein 1 in implant adherent cells. By day 7, the expression of inflammatory genes decreased while the expression of the osteogenic markers increased further although there were few statistically significant differences between the micro-rough and machined surfaces. Osteocyte morphology was also affected by estrogen deficiency with the size of the cells being reduced in trabecular bone. In conclusion, estrogen deficiency induced osteoporotic conditions negatively influenced the early osseointegration of machined implants while micro-rough implants compensated for these deleterious effects by enhancing osteogenic cell differentiation on the implant surface. Lower bone density, poor bone quality and osseous microstructural changes are all features characteristic of osteoporosis that may impair the osseointegration of dental implants. Using a clinically relevant trabecular bone

  12. Effect of ion implantation on thermal shock resistance of magnesia and glass

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Williams, J.S.; Watt, A.J.

    1995-01-01

    Monocrystals of magnesia together with glass samples have been subjected to ion implantation prior to thermal shock testing in an impulse plasma of continuously varied intensity. Measurements of the separation between fragments have been used to estimate the surface temperature. Fracture and deformation characteristics of the surface layer are measured in ion implanted and unimplanted samples using optical and scanning electron microscopy. Implantation-induced near-surface damage is analysed by ion channeling using 2 MeV He + ions. Ion implantation is shown to modify the near-surface structure of magnesia samples by introducing damage, which makes crack initiation easier under thermal stresses. The fracture threshold and maximum crack density are shifted towards the lower temperature range. Ion implanted MgO crystals show a ten fold increase in surface crack density. An increased crack density results in a decreased degree of damage characterised by the depth of crack penetration. The thermal stress resistance parameter of glass samples is increased at relatively small doses and decreased at higher doses. The results suggest that crack density and the degree of fracture damage in brittle ceramics operating under thermal shock conditions can be effectively controlled by ion implantation which provides crack initiating defects in the near-surface region. 23 refs., 7 figs

  13. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  14. Effect of nitrogen source on curdlan production by Alcaligenes faecalis ATCC 31749.

    Science.gov (United States)

    Jiang, Longfa

    2013-01-01

    This study aims to investigate the effect of nitrogen source on curdlan production by Alcaligenes faecalis ATCC 31749. Curdlan production fell when excess nitrogen source was present, while biomass accumulation increased as the level of nitrogen source raised. Curdlan production and biomass accumulation were greater with urea compared with those with other nitrogen sources. The highest production of curdlan and biomass accumulation by A. faecalis ATCC 31749 was 28.16 g L(-1) and 9.58 g L(-1), respectively, with urea, whereas those with NH(4)Cl were 15.17 g L(-1) and 6.25 g L(-1), respectively. The optimum fermentation time for curdlan production was also affected by the nitrogen source in the medium. Copyright © 2012 Elsevier B.V. All rights reserved.

  15. Biodegradable radioactive implants for glaucoma filtering surgery produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany)]. E-mail: walter.assmann@lmu.de; Schubert, M. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany); Held, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany); Pichler, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Muenchen (Germany); Chill, A. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Kiermaier, S. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Schloesser, K. [Forschungszentrum Karlsruhe, 76021 Karlsruhe (Germany); Busch, H. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Schenk, K. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Streufert, D. [Acri.Tec GmbH, 16761 Hennigsdorf (Germany); Lanzl, I. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany)

    2007-04-15

    A biodegradable, {beta}-emitting implant has been developed and successfully tested which prevents fresh intraocular pressure increase after glaucoma filtering surgery. Ion implantation has been used to load the polymeric implants with the {beta}-emitter {sup 32}P. The influence of ion implantation and gamma sterilisation on degradation and {sup 32}P-fixation behavior has been studied by ion beam and chemical analysis. Irradiation effects due to the applied ion fluence (10{sup 15} ions/cm{sup 2}) and gamma dose (25 kGy) are found to be tolerable.

  16. Determining the internal quantum efficiency of shallow-implanted nitrogen-vacancy defects in bulk diamond

    DEFF Research Database (Denmark)

    Radko, Ilya; Boll, Mads; Israelsen, Niels Møller

    2016-01-01

    -implanted NV defects in a single-crystal bulk diamond. Using a spherical metallic mirror with a large radius of curvature compared to the optical spot size, we perform calibrated modifications of the local density of states around NV defects and observe the change of their total decay rate, which is further...... used for IQE quantification. We also show that at the excitation wavelength of 532 nm, photo-induced relaxation cannot be neglected even at moderate excitation powers well below the saturation level. For NV defects shallow implanted 4.5 ± 1 and 8 ± 2 nm below the diamond surface, we determine...

  17. Breeding of Coenzyme Q10 Produced Strain by Low-Energy Ion Implantation and Optimization of Coenzyme Q10 Fermentation

    International Nuclear Information System (INIS)

    Xu Dejun; Zheng Zhiming; Wang Peng; Wang Li; Yuan Hang; Yu Zengliang

    2008-01-01

    In order to increase the production efficiency of coenzyme Q 10 , the original strain Agrobacterium tumefaciens ATCC 4452 was mutated by means of Nitrogen ions implantation. A mutant strain, ATX 12, with high contents of coenzyme Q 10 was selected. Subsequently, the conditions such as carbohydrate concentration, nitrogen source concentration, inoculum's size, seed age, aeration and temperature which might affect the production of CoQ 10 were investigated in detail. Under optimal conditions, the maximum concentration of the intracellular CoQ 10 reached 200.3 mg/L after 80 h fed-batch fermentation, about 245% increasing in CoQ 10 production after ion implantation, compared to the original strain. (ion beam bioengineering)

  18. Formation of p-type ZnO thin film through co-implantation

    Science.gov (United States)

    Chuang, Yao-Teng; Liou, Jhe-Wei; Woon, Wei-Yen

    2017-01-01

    We present a study on the formation of p-type ZnO thin film through ion implantation. Group V dopants (N, P) with different ionic radii are implanted into chemical vapor deposition grown ZnO thin film on GaN/sapphire substrates prior to thermal activation. It is found that mono-doped ZnO by N+ implantation results in n-type conductivity under thermal activation. Dual-doped ZnO film with a N:P ion implantation dose ratio of 4:1 is found to be p-type under certain thermal activation conditions. Higher p-type activation levels (1019 cm-3) under a wider thermal activation range are found for the N/P dual-doped ZnO film co-implanted by additional oxygen ions. From high resolution x-ray diffraction and x-ray photoelectron spectroscopy it is concluded that the observed p-type conductivities are a result of the promoted formation of PZn-4NO complex defects via the concurrent substitution of nitrogen at oxygen sites and phosphorus at zinc sites. The enhanced solubility and stability of acceptor defects in oxygen co-implanted dual-doped ZnO film are related to the reduction of oxygen vacancy defects at the surface. Our study demonstrates the prospect of the formation of stable p-type ZnO film through co-implantation.

  19. Laws of phase formation in ion-implanted metals

    International Nuclear Information System (INIS)

    Kazdaev, H.R.; Abylkhalykova, R.B.; Skakov, M.K.

    2004-01-01

    Full text: Main laws of ordered structures formation at molybdenum implantation by elements forming phases of introduction (B, C, N, 0, Si, P, S) are discovered in this work. According to them the character of structural and phase transformations in molybdenum at ion implantation is determined not by kinetic parameters of bombarding particles and their chemical activity but by size factor η x/Me (ratio of nuclear radii of introduced elements and atoms of a matrix). At change of its meaning in the certain limits the following can be observed: superstructures formation (η x/Mo x/Mo x/Mo >0.69). In the latter case at the further implantation doze increasing recrystallization of molybdenum monocrystalline layers amorphized during previous bombarding with chemical connection formation takes place, characterized by us as ion-inducted synthesis. The phenomenon discovered on the samples implanted by phosphorus ions. As the result, the high-temperature phase of molybdenum monophosphide MoP having densely situated lattice was synthesized. The complete confirmation of the main laws of structural and phased transformations at ion implantation established by results on molybdenum monocrystals with OCC lattice was achieved at realization of similar researches on the other transitive metal - zirconium which differs from molybdenum according to a number of attributes: a type of an initial lattice structural condition (large scaled polycrystal), presence of interparticle borders and high solubility of atmospheric impurities (nitrogen, carbon, oxygen). The discovered laws have proved to be true also according to ion implanted samples of monocrystal tungsten and polycrystal tantalum

  20. Effects of nitrogen application method and weed control on corn yield and yield components.

    Science.gov (United States)

    Sepahvand, Pariya; Sajedi, Nurali; Mousavi, Seyed Karim; Ghiasvand, Mohsen

    2014-04-01

    The effects of nitrogen fertilizer application and different methods for weed control on yield and yield components of corn was evaluated in Khorramabad in 2011. The experiment was conducted as a split plot based on randomized complete block design in 3 replications. Nitrogen application was as main plot in 4 levels (no nitrogen, broadcasting nitrogen, banding nitrogen and sprayed nitrogen) and methods of weed control were in 4 levels (non-control weeds, application Equip herbicide, once hand control of weeds and application Equip herbicide+once time weeding) was as subplots. Result illustrated that effects of nitrogen fertilizer application were significant on grain and forage yield, 100 seeds weight, harvest index, grain number per row and cob weight per plant. Grain yield increased by 91.4 and 3.9% in application banding and broadcasting for nitrogen fertilizer, respectively, compared to the no fertilizer treatment. The results show improved efficiency of nitrogen utilization by banding application. Grain yield, harvest index, seed rows per cob, seeds per row and cob weight were increased by weed control. In the application of Equip herbicide+ hand weeding treatment corn grain yield was increased 126% in comparison to weedy control. It represents of the intense affects of weed competition with corn. The highest corn grain yield (6758 kg h(-1)) was related to the application banding of nitrogen fertilizer and Equip herbicide+once hand weeding.

  1. [Coupling effects of periodic rewatering after drought stress and nitrogen fertilizer on growth and water and nitrogen productivity of Coffea arabica].

    Science.gov (United States)

    Hao, Kun; Liu, Xiao Gang; Zhang, Yan; Han, Zhi Hui; Yu, Ning; Yang, Qi Liang; Liu, Yan Wei

    2017-12-01

    The effects of periodic rewatering after drought stress and nitrogen fertilizer on growth, yield, photosynthetic characteristics of leaves and water and nitrogen productivity of Coffea arabica (Katim P7963) were studied under different nitrogen application levels in 2.5 consecutive years. Irrigation (periodic rewatering after drought stress) and nitrogen were designed as two factors, with four modes of irrigation, namely, full irrigation (I F-F : 100%ET 0 +100%ET 0 , ET 0 was reference crop evapotranspiration), rewatering after light drought stress (I L-F : 80%ET 0 +100%ET 0 ), rewatering after moderate drought stress (I M-F : 60%ET 0 +100%ET 0 ) and rewatering after severe drought stress (I S-F : 40%ET 0 +100%ET 0 ), and three levels of nitrogen, namely, high nitrogen (N H : 750 kg N·hm -2 each time), middle nitrogen (N M : 500 kg N·hm -2 each time), low nitrogen (N L : 250 kg N·hm -2 each time), and nitrogen was equally applied for 4 times. The results showed that irrigation and nitrogen had significant effect on plant height, stem diameter, yield and water and nitrogen productivity of C. arabica, and plant height and stem diameter showed S-curve with the day ordinal number, and leaf photosynthesis decreased significantly under drought stress but most photosynthesis index recovered somewhat after rewatering. Compared with I F-F , I L-F increased dry bean yield by 6.9%, while I M-F and I S-F decreased dry bean yield by 15.2% and 38.5%, respectively; I L-F and I M-F increased water use efficiency by 18.8% and 6.0%, respectively, while I S-F decreased water use efficiency by 12.1%; I L-F increased nitrogen partial productivity by 6.1%, while I M-F and I S-F decreased nitrogen partial productivity by 14.0% and 36.0%, respectively. Compared with N H , N M increased dry bean yield and water use efficiency by 20.9% and 19.3%, while N L decreased dry bean yield and water use efficiency by 42.4% and 41.9%, respectively; N M and N L increased nitrogen partial

  2. Cost-effectiveness of implant-supported mandibular removable partial dentures

    NARCIS (Netherlands)

    Jensen, Charlotte; Ross, Jamila; Feenstra, Talitha L; Raghoebar, Gerry M; Speksnijder, Caroline; Meijer, Henny J A; Cune, Marco S

    ObjectivesThe aim of this study was to conduct a cost-effectiveness analysis comparing conventional removable partial dentures (RPDs) and implant-supported RPDs (ISRPDs) treatment in patients with an edentulous maxilla and a bilateral free-ending situation in the mandible. Material and methodsThirty

  3. Effectiveness of Ammonium-Nitrogen and Nitrate-Nitrogen in Irrigation Water in Paddy Rice without Topdressed Nitrogen at the Panicle Formation Stage

    OpenAIRE

    池田, 元輝; 渡辺, 孝賢; Ikeda, Motoki; Watanabe, Takayasu

    2002-01-01

    A pot experiment was conducted to evaluate the efficiency of ammonium- and nitrate- nitrogen contained in irrigation water during the reproductive growth period of paddy rice (Oryza sativa L. cv. Hinohikari) that did not receive topdressed nitrogen at the panicle formation stage. lrrigation of water containing a low level of nitrogen (7mgNL^-1) did not increase yields so much compared to topdressed nitrogen. lrrigation of water containing a high level of nitrogen (14mgNL^-1) caused substantia...

  4. Interactive Effects of Nitrogen and Climate Change on Biodiversity

    Science.gov (United States)

    Porter, E. M.; Bowman, W. D.; Clark, C. M.; Compton, J. E.; Pardo, L. H.; Soong, J.

    2011-12-01

    example, in certain arid ecosystems of southern California, elevated nitrogen has promoted invasions of annual non-native grasses. At the same time, a period of above-normal precipitation years has exacerbated the grass invasions. Increased grass cover has altered the hydrologic cycle of these areas and increased fire risk, ultimately leading to conversion of the ecosystem from diverse shrublands to less diverse grasslands. In addition to empirical studies, modeling can be used to simulate climate change and nitrogen interactions. The ForSAFE-VEG model, for example, has been used to examine climate change and nitrogen interactions in Rocky Mountain alpine vegetation communities. Results from both empirical studies and modeling indicate that nitrogen and climate change interact to drive losses in biodiversity greater than those caused by either stressor alone. Reducing inputs of anthropogenic reactive nitrogen may be an effective mitigation strategy for protecting biodiversity in the face of climate change.

  5. Effects of nitrogen application rate on dry matter redistribution, grain yield, nitrogen use efficiency and photosynthesis in malting barley

    DEFF Research Database (Denmark)

    Cai, J; Jiang, D; Wollenweber, Bernd

    2012-01-01

    The harmonious combination of malting barley yield, quality and nitrogen (N) use-efficiency under nitrogen (N) rates applications was greatly conducive to production in China. The malting barley cultivar Supi 3 was planted during the growing seasons 2005 and 2006 at two contrasting sites in China....... Five nitrogen (N) application rates (0, 75, 150, 225 and 300 kg ha−1) were applied for research of effects of N rates application on grain yield, protein content and N use-efficiency. At both sites and in both years, grain yield increased with increasing N application rates up to 225 kg N ha−1...... with a quadrant model, the optimum N application rates for high grain yield with high nitrogen use-efficiency in malting barley could be indicated. So, the higher yields could be mainly ascribed to the higher accumulation of photoassimilates between anthesis and maturity. In order to achieve high grain yield...

  6. Effect of reaction temperature on structure and fluorescence properties of nitrogen-doped carbon dots

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yi [Key Laboratory of Interface Science and Engineering in Advanced Materials (Taiyuan University of Technology), Ministry of Education, Taiyuan 030024 (China); College of Chemistry and Chemical Engineering, Taiyuan University of Technology, Taiyuan 030024 (China); Department of Chemistry and Chemical Engineering, Lyuliang University, Lyuliang 033001 (China); Research Center on Advanced Materials Science and Technology, Taiyuan University of Technology, Taiyuan 030024 (China); Wang, Yaling [Key Laboratory of Interface Science and Engineering in Advanced Materials (Taiyuan University of Technology), Ministry of Education, Taiyuan 030024 (China); Research Center on Advanced Materials Science and Technology, Taiyuan University of Technology, Taiyuan 030024 (China); Feng, Xiaoting [Key Laboratory of Interface Science and Engineering in Advanced Materials (Taiyuan University of Technology), Ministry of Education, Taiyuan 030024 (China); College of Chemistry and Chemical Engineering, Taiyuan University of Technology, Taiyuan 030024 (China); Zhang, Feng [Key Laboratory of Interface Science and Engineering in Advanced Materials (Taiyuan University of Technology), Ministry of Education, Taiyuan 030024 (China); Research Center on Advanced Materials Science and Technology, Taiyuan University of Technology, Taiyuan 030024 (China); Yang, Yongzhen, E-mail: yyztyut@126.com [Key Laboratory of Interface Science and Engineering in Advanced Materials (Taiyuan University of Technology), Ministry of Education, Taiyuan 030024 (China); Research Center on Advanced Materials Science and Technology, Taiyuan University of Technology, Taiyuan 030024 (China); Liu, Xuguang, E-mail: liuxuguang@tyut.edu.cn [Key Laboratory of Interface Science and Engineering in Advanced Materials (Taiyuan University of Technology), Ministry of Education, Taiyuan 030024 (China); College of Chemistry and Chemical Engineering, Taiyuan University of Technology, Taiyuan 030024 (China)

    2016-11-30

    Highlights: • Nitrogen-doped carbon dots (NCDs) from ammonia solution and citric acid were synthesized at different temperatures. • Quantum yield (QY) of NCDs depends largely on the amount of fluorescent polymer chains (FPC), more FPC gives higher QY. • The law of QY of NCDs first increase and then decrease with the reaction temperature increased is found and explained. • Nitrogen doping plays significant role in getting increased UV–vis absorption and QY. - Abstract: To investigate the effect of reaction temperature and nitrogen doping on the structure and fluorescence properties of carbon dots (CDs), six kinds of nitrogen-doped CDs (NCDs) were synthesized at reaction temperatures of 120, 140, 160, 180, 200 and 220 °C, separately, by using citric acid as carbon source and ammonia solution as nitrogen source. Nitrogen-free CDs (N-free CDs-180) was also prepared at 180 °C by using citric acid as the only carbon source for comparison. Results show that reaction temperature has obvious effect on carbonization degree, quantum yield (QY), ultraviolet-visible (UV–vis) absorption and photoluminescence (PL) spectra but less effect on functional groups, nitrogen doping degree and fluorescence lifetime of NCDs. Compared with N-free CDs-180, NCDs-180 possesses enchanced QY and longer fluorescence lifetime. Doping nitrogen has obvious effect on UV–vis absorption and PL spectra but less effect on particles sizes and carbonization degree. The formation mechanism of NCDs is explored: QY of NCDs depends largely on the number of fluorescent polymer chains (FPC), the competition between FPC formation on the surface of NCDs and carbon core growth leads to the change in number of FPC, and consequently to the NCDs with highest QY at appropriate hydrothermal temperature.

  7. Effects of H-implantation energy on the optical stability of implanted usher films under photo-irradiation

    International Nuclear Information System (INIS)

    Awazu, K.; Yasui, H.; Kasamori, M.; Ichikawa, T.; Funada, Y.; Iwaki, M.

    1999-01-01

    A study has been made on the improvement of the optical stability of urushi films under optical irradiation using ion implantation. Ion implantation of hydrogen ions in urushi films was performed with a dose of 10 15 ions/cm 2 at ion energies ranging from 0.2 to 150 keV at room temperature. The photo-irradiation onto the urushi films was carried out at irradiation energies ranging from 40 to 400 MJ/m 2 . H-implantation onto urushi films is useful for improving the optical stability under photo-irradiation when the implantation energy is larger than 60 keV

  8. Role of clinician's experience and implant design on implant stability. An ex vivo study in artificial soft bones.

    Science.gov (United States)

    Romanos, Georgios E; Basha-Hijazi, Abdulaziz; Gupta, Bhumija; Ren, Yan-Fang; Malmstrom, Hans

    2014-04-01

    Clinical experience in implant placement is important in order to prevent implant failures. However, the implant design affects the primary implant stability (PS) especially in poor quality bones. Therefore, the aim of this study was to compare the effect of clinician surgical experience on PS, when placing different type of implant designs. A total of 180 implants (90 parallel walled-P and 90 tapered-T) were placed in freshly slaughtered cow ribs. Bone quality was evaluated by two examiners during surgery and considered as 'type IV' bone. Implants (ø 5 mm, length: 15 mm, Osseotite, BIOMET 3i, Palm Beach Gardens, FL, USA) were placed by three different clinicians (master/I, good/II, non-experienced/III, under direct supervision of a manufacturer representative; 30 implants/group). An independent observer assessed the accuracy of placement by resonance frequency analysis (RFA) with implant stability quotient (ISQ) values. Two-way analysis of variance (ANOVA) and Tukey's post hoc test were used to detect the surgical experience of the clinicians and their interaction and effects of implant design on the PS. All implants were mechanically stable. The mean ISQ values were: 49.57(± 18.49) for the P-implants and 67.07(± 8.79) for the T-implants. The two-way ANOVA showed significant effects of implant design (p bone. © 2012 Wiley Periodicals, Inc.

  9. Expressive Language Development in 45 Cochlear Implanted Children Following 2 Years of Implantation

    Directory of Open Access Journals (Sweden)

    Seyed Basir Hashemi

    2011-10-01

    Full Text Available Objectives: Profound hearing loss encounters children with delay in speech and language. As it is known language acquisition in young deaf children is a lengthy process, but cochlear implanted children have better spoken language skills than if they had not received the device. According to the importance of cochlear implant in deaf child's language development, this study evaluates the effect of different variables on child's language performance. Methods: 45 cochlear implanted children were tested, all of whom had used the device for at least 2 years. In order to evaluate the children, the NEWSHA test which is fitted for Persian speaking children was performed and language development of the children was compared through stepwise discriminant analysis. Results: After evaluation of the effect of different variables like child's age of implantation, participating in rehabilitation classes, parent's cooperation and their level of education, we came to a conclusion that the child's age of implantation and rehabilitation program significantly develop the child's language performance. Discussion: The value of cochlear implant in improvement of deaf children in speech, language perception, production and comprehension is confirmed by different studies which have been done on cochlear implanted children. Also, the present study indicates that language development in cochlear implanted children is highly related to their age of implantation and rehabilitation program.

  10. Lithium ion implantation effects in MgO(100)

    Energy Technology Data Exchange (ETDEWEB)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E. [Interfaculty Reactor Inst., Delft Univ. of Technology, Delft (Netherlands); Kooi, B.J.; Hosson, J.T.M. de [Rijksuniversiteit Groningen (Netherlands). Materials Science Centre

    2001-07-01

    Single crystals of MgO(100) were implanted with 10{sup 16} {sup 6}Li ions cm{sup -2} at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted {sup 6}Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of {sup 6}Li starts at an annealing temperature of 1200K. (orig.)

  11. Lithium ion implantation effects in MgO(100)

    International Nuclear Information System (INIS)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E.; Kooi, B.J.; Hosson, J.T.M. de

    2001-01-01

    Single crystals of MgO(100) were implanted with 10 16 6 Li ions cm -2 at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted 6 Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of 6 Li starts at an annealing temperature of 1200K. (orig.)

  12. Ion implantation of Cd and Ag into AlN and GaN

    CERN Document Server

    Miranda, Sérgio M C; Correia, João Guilherme; Vianden, Reiner; Johnston, Karl; Alves, Eduardo; Lorenz, Katharina

    2012-01-01

    GaN and AlN thin films were implanted with cadmium (Cd) or silver (Ag), to fluences ranging from 1×1013 to 1.7 × 1015 at/cm$^{2}$. The implanted samples were annealed at 950 ºC under flowing nitrogen. While implantation damage could be fully removed for the lowest fluences, for higher fluences the crystal quality was only partially recovered. For the high fluence samples the lattice site location of the ions was studied by Rutherford Backscattering/ channelling (RBS/C). Cd ions are found to be incorporated in substitutional cation sites (Al or Ga) while Ag is slightly displaced from this position. To further investigate the incorporation sites, Perturbed Angular Correlation (PAC) measurements were performed and the electric field gradients at the site of the probe nuclei were determined.

  13. Grain size effect on yield strength of titanium alloy implanted with aluminum ions

    Energy Technology Data Exchange (ETDEWEB)

    Popova, Natalya, E-mail: natalya-popova-44@mail.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); Institute of Strength Physics and Materials Science, SB RAS, 2/4, Akademicheskii Ave., 634021, Tomsk (Russian Federation); Nikonenko, Elena, E-mail: vilatomsk@mail.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); National Research Tomsk Polytechnic University, 30, Lenin Str., 634050, Tomsk (Russian Federation); Yurev, Ivan, E-mail: yiywork@mail.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); Kalashnikov, Mark, E-mail: kmp1980@mail.ru [Institute of Strength Physics and Materials Science, SB RAS, 2/4, Akademicheskii Ave., 634021, Tomsk (Russian Federation); Kurzina, Irina, E-mail: kurzina99@mail.ru [National Research Tomsk State University, 36, Lenin Str., 634050, Tomsk (Russian Federation)

    2016-01-15

    The paper presents a transmission electron microscopy (TEM) study of the microstructure and phase state of commercially pure titanium VT1-0 implanted by aluminum ions. This study has been carried out before and after the ion implantation for different grain size, i.e. 0.3 µm (ultra-fine grain condition), 1.5 µm (fine grain condition), and 17 µm (polycrystalline condition). This paper presents details of calculations and analysis of strength components of the yield stress. It is shown that the ion implantation results in a considerable hardening of the entire thickness of the implanted layer in the both grain types. The grain size has, however, a different effect on the yield stress. So, both before and after the ion implantation, the increase of the grain size leads to the decrease of the alloy hardening. Thus, hardening in ultra-fine and fine grain alloys increased by four times, while in polycrystalline alloy it increased by over six times.

  14. Effect of nitrogen precursors on the electrochemical performance of nitrogen-doped reduced graphene oxide towards oxygen reduction reaction

    International Nuclear Information System (INIS)

    Soo, Li Ting; Loh, Kee Shyuan; Mohamad, Abu Bakar; Daud, Wan Ramli Wan; Wong, Wai Yin

    2016-01-01

    A series of nitrogen-doped reduced graphene oxides (NGs) with different ratios are synthesized by thermal annealing of graphene oxide with melamine or urea. The total nitrogen content in NG is high, with values of up to 5.88 at.%. The NG samples prepared by melamine exhibited thin transparent graphene sheets structure, with consist of higher nitrogen doping level and quaternary N content compared to those NG samples prepared from urea. Electrochemical characterizations show that NG is a promising metal-free electrocatalyst for an oxygen reduction reaction (ORR). Incorporation of nitrogen atoms into graphene basal plane can enhances its electrocatalytic activity toward ORR in alkaline media. The onset potential and mean number of electron transfers on NG 1 are −0.10 V and 3.80 respectively, which is higher than that of reduced graphene oxide (−0.15 V, 3.52). This study suggests that quaternary-N of the NG samples is the active site which determines the ORR activity Moreover, the NG samples with the transparent layer of graphene-like structure have better ORR performances than that of bulk graphite-like NG samples. - Highlights: • Synthesis of nitrogen-doped graphene (NG) via thermal annealing. • The effects of the nitrogen precursors on the synthesized NG are discussed. • Electrochemical performances of the NG are correlated to N doping and EASA. • Graphitic-N is proposed to be the active site for ORR.

  15. Effect of nitrogen precursors on the electrochemical performance of nitrogen-doped reduced graphene oxide towards oxygen reduction reaction

    Energy Technology Data Exchange (ETDEWEB)

    Soo, Li Ting, E-mail: nicolesoo90@gmail.com [Fuel Cell Institute, Universiti Kebangsaan Malaysia, 43600 Bangi UKM, Selangor (Malaysia); Loh, Kee Shyuan, E-mail: ksloh@ukm.edu.my [Fuel Cell Institute, Universiti Kebangsaan Malaysia, 43600 Bangi UKM, Selangor (Malaysia); Mohamad, Abu Bakar, E-mail: drab@ukm.edu.my [Fuel Cell Institute, Universiti Kebangsaan Malaysia, 43600 Bangi UKM, Selangor (Malaysia); Department of Chemical and Process Engineering, Faculty of Engineering and Built Environment, Universiti Kebangsaan Malaysia, 43600 Bangi UKM, Selangor (Malaysia); Daud, Wan Ramli Wan, E-mail: wramli@ukm.edu.my [Fuel Cell Institute, Universiti Kebangsaan Malaysia, 43600 Bangi UKM, Selangor (Malaysia); Department of Chemical and Process Engineering, Faculty of Engineering and Built Environment, Universiti Kebangsaan Malaysia, 43600 Bangi UKM, Selangor (Malaysia); Wong, Wai Yin, E-mail: waiyin.wwy@gmail.com [Fuel Cell Institute, Universiti Kebangsaan Malaysia, 43600 Bangi UKM, Selangor (Malaysia); School of Engineering, Taylor' s University' s Lakeside Campus, No. 1, Jalan Taylor' s, 46500 Subang Jaya, Selangor (Malaysia)

    2016-08-25

    A series of nitrogen-doped reduced graphene oxides (NGs) with different ratios are synthesized by thermal annealing of graphene oxide with melamine or urea. The total nitrogen content in NG is high, with values of up to 5.88 at.%. The NG samples prepared by melamine exhibited thin transparent graphene sheets structure, with consist of higher nitrogen doping level and quaternary N content compared to those NG samples prepared from urea. Electrochemical characterizations show that NG is a promising metal-free electrocatalyst for an oxygen reduction reaction (ORR). Incorporation of nitrogen atoms into graphene basal plane can enhances its electrocatalytic activity toward ORR in alkaline media. The onset potential and mean number of electron transfers on NG 1 are −0.10 V and 3.80 respectively, which is higher than that of reduced graphene oxide (−0.15 V, 3.52). This study suggests that quaternary-N of the NG samples is the active site which determines the ORR activity Moreover, the NG samples with the transparent layer of graphene-like structure have better ORR performances than that of bulk graphite-like NG samples. - Highlights: • Synthesis of nitrogen-doped graphene (NG) via thermal annealing. • The effects of the nitrogen precursors on the synthesized NG are discussed. • Electrochemical performances of the NG are correlated to N doping and EASA. • Graphitic-N is proposed to be the active site for ORR.

  16. Effect of Attachment Type on Denture Strain in Maxillary Implant Overdentures: Part 1. Overdenture with Palate.

    Science.gov (United States)

    Takahashi, Toshihito; Gonda, Tomoya; Maeda, Yoshinobu

    This study examined the effects of attachments on strain in maxillary implant overdentures supported by two or four implants. A maxillary edentulous model with implants inserted into anterior, premolar, and molar areas was fabricated, and three types of unsplinted attachments-ball, locator, and magnet-were set on the implants distributed under various conditions. Maxillary experimental dentures were fabricated, and two strain gauges were attached at the anterior midline on the labial and palatal sides. A vertical occlusal load of 98 N was applied and shear strain of the dentures was measured. On both sides, magnet attachments resulted in the lowest shear strain, while ball attachments resulted in the highest shear strain under most conditions. However, differences in shear strain among the three attachment types were not significant when supported by four implants, especially molar implants. Shear strain of the maxillary implant overdenture was lowest when using magnet attachments. Magnet attachments mounted on four implants are recommended to prevent denture complications when using maxillary implant overdentures.

  17. Effect of vanadium and tungsten on nitrogen fixation and the growth of Medicago sativa

    Energy Technology Data Exchange (ETDEWEB)

    Jha, K K

    1969-01-01

    In sand culture, it was found that vanadium had no stimulatory effect on nitrogen content or the growth of Medicago sativa inoculated with an effective strain of Rhizobium meliloti or supplied with ammonium nitrate. At the level of 500 ppm it reduced the plant growth, the inhibitory effect being particularly severe on the root. On the other hand tungsten increased nitrogen fixation and the dry matter yield of the inoculated plants. The results are suggestive of a direct role of tungsten in symbiotic nitrogen fixation. 4 references, 2 tables.

  18. Effect of Wild Mustard (Sinapis arvensis Competition and Nitrogen Levels on

    Directory of Open Access Journals (Sweden)

    F Soleymani

    2012-06-01

    Full Text Available To investigate the effect of wild mustard plant density and nitrogen fertilizer on morphological characters, yield and yield components of canola a split-plot experiment based on a randomized complete block design with 3 replications was carried out in Bu-Ali Sina university of Hamedan, in 2009. 4 levels of nitrogen fertilization (100, 150, 200 and 250 kgN h-1 were assigned to main-plots and plant density of wild mustard at 5 levels (0, 4, 8, 16 and 32 plants m-2 to the sub-plots. Results showed that the effects of wild mustard competition on yield and components of canola was significant. 32 plants m-2 of wild mustard reduced grain and biologic yield, number of pod per plant, number of seed per pod and 1000seed weight about 28.7, 30, 40.9, 22.2 and 16 percent respectively. With more nitrogen application, number of pod per plant, number of seed per pod, 1000seed weight and grain yield was increased. By increasing nitrogen from 100 to 250 kg ha-1, grain yield was increased more than 53 percent. Increasing density of wild mustard significantly reduced all above mentioned morphological and qualitative characters, except protein percentage. By increasing nitrogen fertilizer, plant height, number of branches per plant, pod length, oil yield and protein percentage of canola were increased significantly. Overall nevertheless negative effect of weed on canola yield, seems that the application 200 kgN/ha in addition to increasing grain yield and canola oil, had less decline in weed interference.

  19. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  20. Effect of different nitrogen application types on nitrogen utilization efficiency and fate of fertilizer for sugacane

    International Nuclear Information System (INIS)

    Wei Jianfeng; Wei Dongping; Liu Huanyu; Chen Chaojun; Lan Libin; Liang He

    2013-01-01

    A pot experiment in greenhouse was conducted with "1"5N-labeled urea 5 g/pot (equal to 450 kg · hm"-"2) total nitrogen by three kinds of treatments of disposable bottom application nitrogen before sowing (T1), 50% nitrogen before sowing and 50% nitrogrn during tillering stage (T2), and 30% nitrogen before sowing, 30% nitrogen during tillering stage and 40% nitrogen applied during elongation stage (T3) to investigate the use efficiency and fate of fertilizer nitrogen using the sugarcane cultivar ROC22. Results showed that almost 18% ∼ 29% of total N uptake by sugarcane was supplied by fertilizer, and 71% ∼ 82% N derived from soil and seed-stem. Nitrogen use efficiency ranged from 21.0% to 34.52%, with "1"5N-fertilizer residue of 37.61% ∼ 44.13%, and "1"5N-fertilizer loss of 21.35% ∼ 41.39% among three treatments. Under the three levels of nitrogen application, residual was "1"5N-fertilizer was mainly distributed in 0 ∼ 20 cm top soil. The uptake of nitrogen and the proportion of total N from fertilizer in sugarcane plant, the yield of stalk and sugar after the nitrogen applied, and the use efficiency and residue ratio of "1"5N-fertilizer increased significantly over time, while loss rate of "1"5N-fertilizer decreased significantly with a slight decline trend of nitrogen distribution and sucrose accumulation in stalk. The results also indicated that after the nitrogen applied the amounts "1"5N-fertilizer residue in 0 ∼ 20 cm top soil showed a rising trend, but dropped in 20 ∼ 40 cm soil profile. From the viewpoints of economic benefit and ecological benefit, the nitrogen fertilizer applied of T3 could be optimal treatment. (authors)

  1. 1020 steel coated with Ti/TiN by Cathodic Arc and Ion Implantation

    International Nuclear Information System (INIS)

    Bermeo, F; Quintana, J P; Kleiman, A; Márquez, A; Sequeda, F

    2017-01-01

    TiN coatings have been widely studied in order to improve mechanical properties of steels. In this work, thin Ti/TiN films were prepared by plasma based immersion ion implantation and deposition (PBII and D) with a cathodic arc on AISI 1020 steel substrates. Substrates were exposed to the discharge during 1 min in vacuum for the deposition of a Tiunderlayer with the aim of improving the adhesion to the substrate. Then, a TiN layer was deposited during 6 min in a nitrogen environment at a pressure of 3xl0 -4 mbar. Samples were obtained at room temperature and at 300 °C, and with or without ion implantation in order to analyze differences between the effects of each treatment on the tribological properties. The mechanical and tribological properties of the films were characterized. The coatings deposited by PBII and D at 300 °C presented the highest hardness and young modulus, the best wear resistance and corrosion performance. (paper)

  2. Effects of different hierarchical hybrid micro/nanostructure surfaces on implant osseointegration.

    Science.gov (United States)

    Cheng, Bingkun; Niu, Qiang; Cui, Yajun; Jiang, Wei; Zhao, Yunzhuan; Kong, Liang

    2017-06-01

    Hierarchical hybrid micro/nanostructure implant surfaces are considered to better mimic the hierarchical structure of bone and the nanostructures substantively influence osseointegration through managing cell behaviors. To enhance implant osseointegration for further clinical application, we evaluated the material properties and osseointegration effects of hierarchical surfaces with different nano-morphologies, using a rat model. Two representative surface fabrication methods, hydrofluoric (HF) acid etching combined with anodization (HF + AN) or magnetron sputtering (HF + MS), were selected. Sample material properties were evaluated by scanning electron microscopy, atomic force microscopy, X-ray diffraction, X-ray photoemission spectroscopy, and epoxy resin docking tensile test. Implants with different surfaces were inserted into the distal femurs of rats. After 12 weeks, osseointegration was examined by microcomputed tomography (micro-CT), histological, and biomechanical tests. Tensile testing demonstrated high bonding strength at coating/implant in the HF + MS group. Micro-CT revealed increased bone volume/total volume and significantly reduced trabecular separation in HF + MS versus other groups. Histological analysis showed significantly higher HF + MS bone-to-implant contact (74.78 ± 4.40%) versus HF + AN (65.11 ± 5.10%) and machined samples (56.03 ± 3.23%). The maximal HF + MS pull-out force increased by 33.7% versus HF + AN. These results indicated that HF + MS surfaces exhibited superior material property in terms of bonding strength and favorable implant osseointegration compared to other groups. © 2017 Wiley Periodicals, Inc.

  3. A Monte Carlo simulation study of boron profiles as-implanted into LPCVD NiDoS polycrystalline thin films

    Science.gov (United States)

    Boukezzata, M.; Ait-Kaki, A.; Temple-Boyer, P.; Scheid, E.

    2003-03-01

    This work presents a Monte Carlo simulation study of boron profiles obtained from as-implanted ions into thin films nitrogen doped silicon (NiDoS) thin films. These films are performed by LPCVD technique from Si2H6 and NH3 gas sources, four values deliberately chosen, of the ratio NH3/Si2H6 to obtain samples, differently in situ nitrogen-doped. Taking into account the effect of the codoping case, and the structure specificity of these films, an accurate Monte Carlo model based on binary collisions in a multi-atomic target was performed. Nitrogen atoms present in the target is shown to affect the boron profiles and confirms clearly a reduction penetration effect which becomes more significant at high nitrogen concentrations. Whereas, the fine-grained polysilicon structure, and thus the presence of grains (G) and grain boundaries (GB), is known to enhance the opposite phenomenon by assuming an effective role played by GB's in the scattering calculation process of the incident ions. This role is represented by the change in direction of the incident ion after interaction with GB without corresponding loss in its energy. The results obtained show an enhancement of the stopping parameter when nitrogen concentration increases, while the GB interaction remains very important. This behavior is due to a great number of GB's interactions with boron atoms which gave low deflection angles. So that, the average positions described by the sequences of trajectories took place farther than what expected with channeling effect in crystal silicon materials.

  4. Tribological effects of oxygen ion implantation into stainless steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Vilaithong, T.; Yu, L.D.; Monteiro, O.R.; Yu, K.M.; Brown, I.G.

    2000-01-01

    The formation of sub-surface oxide layers by hybrid metal-gas co-implantation into steel and other metals can improve their tribological properties. In this report, we compare the wear and friction performance of previously studied Al + O hybrid implants with that produced by single species oxygen ion (O + ) implantation under similar conditions. The substrates were AISI 304L stainless steel discs polished to a final mirror finish using 1 μm diamond paste, and the ion implantation was done using a conventional swept-beam technique at ion energies of 70 or 140 keV and doses of up to 1x10 17 cm -2 . The wear and friction behaviour of the implanted and unimplanted material was measured with a pin-on-disc tribometer. Here we describe the experimental procedure and results, and discuss the improvement relative to that achieved with surface layers modified by metal-gas co-implantation

  5. Plasma effects for heavy ions in implanted silicon detectors

    International Nuclear Information System (INIS)

    Aiello, S.; Anzalone, A.; Campisi, M.G.; Cardella, G.; Cavallaro, Sl.; Filippo, E. De; Geraci, E.; Geraci, M.; Guazzoni, P.; Manno, M.C. Iacono; Lanzalone, G.; Lanzano, G.; Nigro, S. Lo; Pagano, A.; Papa, M.; Pirrone, S.; Politi, G.; Porto, F.; Rizzo, F.; Sambataro, S.; Sperduto, M.L.; Sutera, C.; Zetta, L.

    1999-01-01

    Plasma effects for heavy ions in implanted silicon detectors have been investigated for different detector characteristics as a function of type and energy of the detected particles. A new approach is presented and used to reproduce the effect of the plasma delay in the timing performances. The results are in good agreement with the present data and with previous measurements found in the literature

  6. Effect of nitrogen concentration on temperature dependent mechanical properties of vanadium

    International Nuclear Information System (INIS)

    Carlson, O.N.; Rehbein, D.K.

    1979-01-01

    The critical resolved shear stress and strain rate sensitivity of vanadium were determined for vanadium-nitrogen alloys over the temperature range of 77K to 400K for concentrations of 1 to 500 wt ppm nitrogen. The concentration dependence of the hardening rate agrees quite well with either the Fleischer or Labusch strengthening model but the combined temperature and concentration dependence follows more closely the form predicted by Ono and Sommer. The strain rate sensitivity exhibits a peak at 140K which decreases with increasing nitrogen content but above 250K there is a reversal in this effect. (orig.) [de

  7. Plasma-implantation-based surface modification of metals with single-implantation mode

    Science.gov (United States)

    Tian, X. B.; Cui, J. T.; Yang, S. Q.; Fu, Ricky K. Y.; Chu, Paul K.

    2004-12-01

    Plasma ion implantation has proven to be an effective surface modification technique. Its biggest advantage is the capability to treat the objects with irregular shapes without complex manipulation of target holder. Many metal materials such as aluminum, stainless steel, tool steel, titanium, magnesium etc, has been treated using this technique to improve their wear-resistance, corrosion-resistance, fatigue-resistance, oxidation-resistance, bio-compatiblity etc. However in order to achieve thicker modified layers, hybrid processes combining plasma ion implantation with other techniques have been frequently employed. In this paper plasma implantation based surface modification of metals using single-implantation mode is reviewed.

  8. Effect of nitrogen on cellular production and release of the neurotoxin anatoxin-a in a nitrogen-fixing cyanobacterium

    Directory of Open Access Journals (Sweden)

    Alexis eGagnon

    2012-06-01

    Full Text Available Anatoxin-a (ANTX is a neurotoxin produced by several freshwater cyanobacteria and implicated in lethal poisonings of domesticated animals and wildlife. The factors leading to its production in nature and in culture are not well understood. Resource availability may influence its cellular production as suggested by the carbon-nutrient hypothesis, which links the amount of secondary metabolites produced by plants or microbes to the relative abundance of nutrients. We tested the effects of nitrogen supply on ANTX production and release in a toxic strain of the cyanobacterium Aphanizomenon issatschenkoi (Nostocales. We hypothesized that nitrogen deficiency might constrain the production of ANTX. However, the total concentration and more significantly the cellular content of anatoxin-a peaked (max. 146 µg/L and 1683 µg•g-1 dry weight at intermediate levels of nitrogen supply when N-deficiency was evident based on phycocyanin to chlorophyll a and carbon to nitrogen ratios. The results suggest that the cellular production of anatoxin-a may be stimulated by moderate nutrient stress as described recently for another cyanotoxin (microcystin.

  9. Dental-Implantate und ihre Werkstoffe

    Science.gov (United States)

    Newesely, Heinrich

    1983-07-01

    Some new trends in materials for dental implants, which also effect in the operative techniques and implant design, are described. Advantages and shortcomings of the different material types are exemplified and correlated with their bioinert resp. bioactive functions. The practical interest in metallic implants focussed in titanium resp. oxide ceramics in the ceramic field, whereas the special goal of implant research follows from the improvement of the bioactive principle with loaded calcium phosphate implants.

  10. The effect of plant population and nitrogen fertilizer on

    Directory of Open Access Journals (Sweden)

    mohamad reza asgaripor

    2009-06-01

    Full Text Available Interest has increased towards hemp (Cannabis sativa L. fibre production due to renewed demand for natural fibre in the world. A Study was conducted in 2005 at Shirvan in Northern Khorasan province, Iran, to determine the effects of three plant populations (30, 90 and 150 plant per m2 and three rates of nitrogen application (50, 150 and 250 kg N per ha on final stand, stalk height, basal stalk diameter, total stalk yield as well as fibre content from stalk and fibre yield in male and female plants. A split plot experimental with three replications was used. The result indicated that due to enhanced competition for light at higher population on density and N2 level plant mortality was higher than other treatment Morphological characteristics were highly correlated with plant sexual, plant population and nitrogen fertilizer. Highest stem, leaf and inflorescence yield were obtained at 250 plant m-2 when 150 kg N ha-1 was used. Lowest plant density did not show self-thinning but reduced above ground dry matter. Shoot dry matter increased with increasing plant density and nitrogen supply. Apparently, fibre content was greater at medium density and lowest nitrogen fertilizer, however, fibre yield was greatest at highest plant population and nitrogen fertilizer. In terms of fibre yield, approximate 31.7% of the fibre was located in the bottom parts, 22.4% in the middle and only 9.9% in the top part of the stem. The results suggest that hemp can yield large quantities of useful fibre at Shirvan when planted in proper plant densities and suitable nitrogen fertilizer.

  11. Moving Beyond GDP: Cost Effectiveness of Cochlear Implantation and Deaf Education in Latin America.

    Science.gov (United States)

    Emmett, Susan D; Tucci, Debara L; Bento, Ricardo F; Garcia, Juan M; Juman, Solaiman; Chiossone-Kerdel, Juan A; Liu, Ta J; de Muñoz, Patricia Castellanos; Ullauri, Alejandra; Letort, Jose J; Mansilla, Teresita; Urquijo, Diana P; Aparicio, Maria L; Gong, Wenfeng; Francis, Howard W; Saunders, James E

    2016-09-01

    Cochlear implantation (CI) and deaf education are cost effective management strategies of childhood profound sensorineural hearing loss in Latin America. CI has been widely established as cost effective in North America and Europe and is considered standard of care in those regions, yet cost effectiveness in other economic environments has not been explored. With 80% of the global hearing loss burden existing in low- and middle-income countries, developing cost effective management strategies in these settings is essential. This analysis represents the continuation of a global assessment of CI and deaf education cost effectiveness. Brazil, Colombia, Ecuador, Guatemala, Paraguay, Trinidad and Tobago, and Venezuela participated in the study. A Disability Adjusted Life Years model was applied with 3% discounting and 10-year length of analysis. Experts from each country supplied cost estimates from known costs and published data. Sensitivity analysis was performed to evaluate the effect of device cost, professional salaries, annual number of implants, and probability of device failure. Cost effectiveness was determined using the World Health Organization standard of cost effectiveness ratio/gross domestic product per capita (CER/GDP)GDP 0.07-0.93). CI was cost effective in all countries (CER/GDP 0.69-2.96), with borderline cost effectiveness in the Guatemalan sensitivity analysis (Max CER/GDP 3.21). Both cochlear implantation and deaf education are widely cost effective in Latin America. In the lower-middle income economy of Guatemala, implant cost may have a larger impact. GDP is less influential in the middle- and high-income economies included in this study.

  12. Structural-chemical characteristics of implanted metals

    International Nuclear Information System (INIS)

    Kozejkin, B.V.; Pavlov, P.V.; Pitirimova, E.A.; Frolov, A.I.

    1988-01-01

    Corrosion and structural characteristics of metallic layers implanted by ions of chemically active impurities and noble gases are studied. Dependence of experimental results on parameters of initial materials and technological conditions of implantation is established. In studying corrosion characteristics of implanted metals a strong dependence of chemical passivation effect on technological conditions of ion-implantation and structure of initial material is stated. On the basis of developed mathematical model of chemical passivation effect it is shown that increase of corrosion characteristics of implanted metals is defined by superposition of surface and volumetric mechanisms

  13. Investigation of MeV-Cu implantation and channeling effects into porous silicon formation

    International Nuclear Information System (INIS)

    Ahmad, M.; Naddaf, M.

    2011-01-01

    P-type (1 1 1) silicon wafers were implanted by copper ions (2.5 MeV) in channeling and random directions using ion beam accelerator of the Atomic Energy Commission of Syria (AECS). The effect of implantation direction on formation process of porous silicon (PS) using electrochemical etching method has been investigated using scanning electron microscope (SEM) and photoluminescence (PL) techniques. SEM observations revealed that the size, shape and density of the formed pores are highly affected by the direction of beam implantation. This in turn is seen to influence the PL behavior of the PS.

  14. Investigation of MeV-Cu implantation and channeling effects into porous silicon formation

    International Nuclear Information System (INIS)

    Ahmad, M.; Naddaf, M.

    2012-01-01

    P-type (1 1 1) silicon wafers were implanted by copper ions (2.5 MeV) in channeling and random directions using ion beam accelerator of the Atomic Energy Commission of Syria (AECS). The effect of implantation direction on formation process of porous silicon (PS) using electrochemical etching method has been investigated using scanning electron microscope (SEM) and photoluminescence (PL) techniques. SEM observations revealed that the size, shape and density of the formed pores are highly affected by the direction of beam implantation. This in turn is seen to influence the PL behavior of the PS.(author)

  15. Investigation of MeV-Cu implantation and channeling effects into porous silicon formation

    Science.gov (United States)

    Ahmad, M.; Naddaf, M.

    2011-11-01

    P-type (1 1 1) silicon wafers were implanted by copper ions (2.5 MeV) in channeling and random directions using ion beam accelerator of the Atomic Energy Commission of Syria (AECS). The effect of implantation direction on formation process of porous silicon (PS) using electrochemical etching method has been investigated using scanning electron microscope (SEM) and photoluminescence (PL) techniques. SEM observations revealed that the size, shape and density of the formed pores are highly affected by the direction of beam implantation. This in turn is seen to influence the PL behavior of the PS.

  16. Influence of controlled immediate loading and implant design on peri-implant bone formation.

    Science.gov (United States)

    Vandamme, Katleen; Naert, Ignace; Geris, Liesbet; Vander Sloten, Jozef; Puers, Robert; Duyck, Joke

    2007-02-01

    Tissue formation at the implant interface is known to be sensitive to mechanical stimuli. The aim of the study was to compare the bone formation around immediately loaded versus unloaded implants in two different implant macro-designs. A repeated sampling bone chamber with a central implant was installed in the tibia of 10 rabbits. Highly controlled loading experiments were designed for a cylindrical (CL) and screw-shaped (SL) implant, while the unloaded screw-shaped (SU) implant served as a control. An F-statistic model with alpha=5% determined statistical significance. A significantly higher bone area fraction was observed for SL compared with SU (pimplant contact occurred was the highest for SL and significantly different from SU (pimplant contact was observed, a loading (SL versus SU: p=0.0049) as well as an implant geometry effect (SL versus CL: p=0.01) was found, in favour of the SL condition. Well-controlled immediate implant loading accelerates tissue mineralization at the interface. Adequate bone stimulation via mechanical coupling may account for the larger bone response around the screw-type implant compared with the cylindrical implant.

  17. Effects of energy variations of ions influencing a target on implantation

    International Nuclear Information System (INIS)

    Astakhov, V.P.; Rubtsov, V.A.; Aranovich, R.M.; Pavlov, P.V.

    1981-01-01

    In cases of phosphorus and boron ion implantation into silicon the dependence of electrophysical properties of ion-doped layers and target material near the layer boundaries on energy variation conditions of influencing ions is observed. A physical model explaining the dependence is proposed. It is found that for the target, being at room temperature, after successive annealing the qualitative characteristics of conditions (i.e. energy increase and decrease) on implantation of phosphorus ions into p-silicon and boron ions into n-silicon, as well as the value of energy stages, define rhosub(l) ion-doped layer resistivity and tausub(mc) nonequilibrium minority carrier lifetime in the base of p-n transitions. The essence of the effects observed is that for equal sets of Esub(i) ion energy values and PHIsub(i) corresponding phases at maximum energy used exceeding 30 keV, successive energy increase during implantation, when E 1 2 1 mode), leads to smaller rhosub(e) values and greater tausub(mc) than in case of successive energy decrease, when E 1 >E 2 >...E(E 2 mode) for any fixed annealing temperature. In cases when the maximum energy does not exceed 30 KeV, the E 1 and E 2 modes lead to analogous rhosub(e) and tausub(mc) values. The E 2 mode leads to enrichment of the ion-implanted layer with associations and complexes on the basis of interstitial atoms in comparison with the E 1 mode. The associations and complexes on thermal treatment are reformed into the higher-temperature interstitial complexes increasing rhosub(e) and decreasing tausub(mc). Supposition about the effect of these complexes and processes of structural transformations on annealing, hampering-improvement of structural properties of the ion-implanted layer and a crystal region bordered on it [ru

  18. Effect of Acupuncture on Post-implant Paresthesia

    OpenAIRE

    Sant’Anna, Crischina Branco Marques; Zuim, Paulo Renato Junqueira; Brandini, Daniela Atili; Guiotti, Aimée Maria; Vieira, Joao Batista; Turcio, Karina Helga Leal

    2017-01-01

    Paresthesia is defined as an alteration in local sensibility, associated with numbness, tingling, or unpleasant sensations caused by nerve lesions or irritation. It can be temporary or permanent. The treatment protocol for facial paresthesia is primarily based on the use of drugs and implant removal, which may not be completely effective or may require other risk exposure when there is no spontaneous regression. However, other therapeutic modalities such as acupuncture can be used. The aim of...

  19. Boron diffusion into nitrogen doped silicon films for P{sup +} polysilicon gate structures

    Energy Technology Data Exchange (ETDEWEB)

    Mansour, Farida; Mahamdi, Ramdane; Jalabert, Laurent; Temple-Boyer, Pierre

    2003-06-23

    This paper deals with the study of the boron diffusion in nitrogen doped silicon (NIDOS) deposited from disilane Si{sub 2}H{sub 6} and ammonia NH{sub 3} for the development of P{sup +} polysilicon gate metal oxide semiconductor (MOS) devices. NIDOS films with varied nitrogen content have been boron implanted, then annealed and finally analysed by secondary ion mass spectroscopy (SIMS). In order to simulate the experimental SIMS of boron concentration profiles in the NIDOS films, a model adapted to the particular conditions of the samples elaboration, i.e. the very high boron concentration and the nitrogen content, has been established. The boron diffusion reduction in NIDOS films with increasing nitrogen rates has been evidenced by the profiles as well as by the obtained diffusion coefficients, which shows that the nitrogen incorporation reduces the boron diffusion. This has been confirmed by capacitance-voltage (C-V) measurements performed on MOS capacitors: the higher the nitrogen content, the lower the flat-band voltage. Finally, these results demonstrate that the improvement of the gate oxide quality occurs with the suppression of the boron penetration.

  20. Effect of Abutment Modification and Cement Type on Retention of Cement-Retained Implant Supported Crowns

    OpenAIRE

    Farzin, Mitra; Torabi, Kianoosh; Ahangari, Ahmad Hasan; Derafshi, Reza

    2014-01-01

    Objective: Provisional cements are commonly used to facilitate retrievability of cement-retained fixed implant restorations; but compromised abutment preparation may affect the retention of implant-retained crowns.The purpose of this study was to investigate the effect of abutment design and type of luting agent on the retentive strength of cement-retained implant restorations. Materials and Method: Two prefabricated abutments were attached to their corresponding analogs and embedded in an ac...