Nickel films: Nonselective and selective photochemical deposition and properties
International Nuclear Information System (INIS)
Smirnova, N.V.; Boitsova, T.B.; Gorbunova, V.V.; Alekseeva, L.V.; Pronin, V.P.; Kon'uhov, G.S.
2006-01-01
Nickel films deposited on quartz surfaces by the photochemical reduction of a chemical nickel plating solution were studied. It was found that the deposition of the films occurs after an induction period, the length of which depends on the composition of the photolyte and the light intensity. Ni particles with a mean diameter of 20-30 nm were detected initially by transmission electron microscopy. The particles then increased in size (50 nm) upon irradiation and grouped into rings consisting of 4-5 particles. Irradiation with high-intensity light produces three-dimensional films. The calculated extinction coefficient of the nickel film was found to be 4800 L mol -1 cm -1 . Electron diffraction revealed that the prepared amorphous nickel films crystallize after one day of storage. It was determined that the films exhibit catalytic activity in the process of nickel deposition from nickel plating solution. The catalytic action remains for about 5-7 min after exposure of the films to air. The processes of selective and nonselective deposition of the nickel films are discussed. The use of poly(butoxy titanium) in the process of selective photochemical deposition enables negative and positive images to be prepared on quartz surfaces
Structural characterization of the nickel thin film deposited by glad technique
Directory of Open Access Journals (Sweden)
Potočnik J.
2013-01-01
Full Text Available In this work, a columnar structure of nickel thin film has been obtained using an advanced deposition technique known as Glancing Angle Deposition. Nickel thin film was deposited on glass sample at the constant emission current of 100 mA. Glass sample was positioned 15 degrees with respect to the nickel vapor flux. The obtained nickel thin film was characterized by Force Modulation Atomic Force Microscopy and by Scanning Electron Microscopy. Analysis indicated that the formation of the columnar structure occurred at the film thickness of 1 μm, which was achieved for the deposition time of 3 hours. [Projekat Ministarstva nauke Republike Srbije, br. III45005
Progress on sputter-deposited thermotractive titanium-nickel films
International Nuclear Information System (INIS)
Grummon, D.S.; Hou Li; Zhao, Z.; Pence, T.J.
1995-01-01
It is now well established that titanium-nickel alloys fabricated as thin films by physical vapor deposition can display the same transformation and shape-memory effects as their ingot-metallurgy counterparts. As such they may find important application to microelectromechanical and biomechanical systems. Furthermore, we show here that titanium-nickel films may be directly processed so as to possess extremely fine austenite grain size and very high strength. These films display classical transformational superelasticity, including high elastic energy storage capacity, the expected dependence of martensite-start temperature on transformation enthalpy, and large, fully recoverable anelastic strains at temperatures above A f . Processing depends on elevated substrate temperatures during deposition, which may be manipulated within a certain range to control both grain size and crystallographic texture. It is also possible to deposit crystalline titanium-nickel films onto polymeric substrates, making them amenable to lithographic patterning into actuator elements that are well-suited to electrical excitation of the martensite reversion transformation. Finally, isothermal annealing of nickel-rich films, under conditions of controlled extrinsic residual stress, leads to topotaxial orientation of Ni 4 Ti 3 -type precipitates, and the associated possibility of two-way memory effects. Much work remains to be done, especially with respect to precise control of composition. (orig.)
International Nuclear Information System (INIS)
Vidales-Hurtado, M.A.; Mendoza-Galvan, A.
2008-01-01
Nickel oxide-based thin films were obtained using the chemical bath deposition method on glass and silicon substrates. The precursor solution used was a mixture of nickel nitrate, urea, and deionized water. Molar concentration of nickel (0.3-1.0 M), deposition time, and immersing cycles were considered as deposition variables. Infrared spectroscopy and X-ray diffraction data reveal that all as-deposited films correspond to the transparent turbostratic phase α(II)-Ni(OH) 2 . However, the rate of deposition depends on nickel content in the solution. After annealing in air at temperatures above of 300 deg. C, the films are transformed to the NiO phase and show a grey/black color. In these films, scanning electron microscopy images show aggregates of thin stacked sheets on their surface, such aggregates can be easily removed leaving only a thin NiO layer of about 30 nm adhered firmly to the substrate, regardless of nickel concentration in the solution and deposition time. In order to obtain thicker NiO films with good optical properties a procedure is developed performing several immersing-annealing cycles
Stripe domains and magnetoresistance in thermally deposited nickel films
International Nuclear Information System (INIS)
Sparks, P.D.; Stern, N.P.; Snowden, D.S.; Kappus, B.A.; Checkelsky, J.G.; Harberger, S.S.; Fusello, A.M.; Eckert, J.C.
2004-01-01
We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17 nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21±0.02 up to 120 nm thickness. There is a negative magnetoresistance for fields out of the plane
Stripe domains and magnetoresistance in thermally deposited nickel films
Sparks, P. D.; Stern, N. P.; Snowden, D. S.; Kappus, B. A.; Checkelsky, J. G.; Harberger, S. S.; Fusello, A. M.; Eckert, J. C.
2004-05-01
We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21+/-0.02 up to 120nm thickness. There is a negative magnetoresistance for fields out of the plane.
Stripe domains and magnetoresistance in thermally deposited nickel films
Energy Technology Data Exchange (ETDEWEB)
Sparks, P.D. E-mail: sparks@hmc.edu; Stern, N.P.; Snowden, D.S.; Kappus, B.A.; Checkelsky, J.G.; Harberger, S.S.; Fusello, A.M.; Eckert, J.C
2004-05-01
We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17 nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21{+-}0.02 up to 120 nm thickness. There is a negative magnetoresistance for fields out of the plane.
Deposition of DLC Film on Stainless Steel Substrates Coated by Nickel Using PECVD Method.
Khalaj, Zahra; Ghoranneviss, Mahmood; Vaghri, Elnaz; Saghaleini, Amir; Diudea, Mircea V
2012-06-01
Research on diamond-like carbon (DLC) films has been devoted to find both optimized conditions and characteristics of the deposited films on various substrates. In the present work, we investigate the quality of the DLC films grown on stainless steel substrates using different thickness of the nickel nanoparticle layers on the surface. Nickel nanoparticles were sputtered on the stainless steel substrates at 200 °C by a DC-sputtering system to make a good adherence between DLC coating and steel substrates. Atomic Force Microscopy was used to characterize the surface roughness and distribution function of the nickel nanoparticles on the substrate surface. Diamond like carbon films were deposited on stainless steel substrates coated by nickel using pure acetylene and C2H2/H2 with 15% flow ratio by DC-Plasma Enhanced Chemical Vapor Deposition (PECVD) systems. Microstructural analysis by Raman spectroscopy showed a low intensity ratio ID/IG for DLC films by increasing the Ni layer thickness on the stainless steel substrates. Fourier Transforms Infrared spectroscopy (FTIR) evidenced the peaks attributed to C-H bending and stretching vibration modes in the range of 1300-1700 cm-1 and 2700-3100 cm-1, respectively, in good agreement with the Raman spectroscopy and confirmed the DLC growth in all samples.
Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam
Chen, Wei
2013-03-01
High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices. © 2012 Elsevier B.V. All rights reserved.
Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam
Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping
2013-01-01
High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found
Zhao, Hang; Lu, Yinxiang
2016-01-01
Nickel films were grown on citric acid (CA), malic acid (MA) and oxalic acid (OA) modified cuprammonium fabric (CF) substrates via electroless nickel deposition. The nickel films were examined using scanning electron microscopy (SEM) and X-ray diffraction (XRD). Their individual deposition rate and electromagnetic interference (EMI) shielding effectiveness (SE) were also investigated to compare the properties of electroless nickel films. SEM images illustrated that the nickel film on MA modified CF substrate was smooth and uniform, and the density of nickel nuclei was much higher. Compared with that of CA modified CF, the coverage of nickel nuclei on OA and MA modified CF substrate was very limited and the nickel particles size was too big. XRD analysis showed that the nickel films deposited on the different modified CF substrates had a structure with Ni (1 1 1) preferred orientation. All the nickel coatings via different acid modification were firmly adhered to the CF substrates, as demonstrated by an ultrasonic washing test. The result of tensile test indicated that the electroless nickel plating on CF has ability to strengthen the CF substrate while causes limited effect on tensile elongation. Moreover, the nickel film deposited on MA modified CF substrate showed more predominant in EMI SE than that deposited on CA or OA modified CF.
International Nuclear Information System (INIS)
Costa e Silva, Danilo Lopes
2015-01-01
In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)
International Nuclear Information System (INIS)
Wu, Mao-Sung; Lin, Ya-Ping
2011-01-01
A nickel-oxide film with monodispersed open macropores was prepared on a stainless-steel substrate by electrophoretic deposition of a polystyrene-sphere monolayer followed by anodic electrodeposition of nickel oxy-hydroxide. The deposited films convert to cubic nickel oxide after annealing at 400 o C for 1 h. Galvanostatic charge and discharge results indicate that the nickel-oxide film with monodispersed open macropores is capable of delivering a higher capacity than the bare nickel-oxide film, especially in high-rate charge and discharge processes. The lithiation capacity of macroporous nickel oxide reaches 1620 mA h g -1 at 1 C current discharge and decreases to 990 mA h g -1 at 15 C current discharge. The presence of monodispersed open macropores in the nickel-oxide film might facilitate the electrolyte penetration, diffusion, and migration. Electrochemical reactions between nickel oxide and lithium ions are therefore markedly improved by this tailored film architecture.
Energy Technology Data Exchange (ETDEWEB)
Tiggelaar, R.M. [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Thakur, D.B.; Nair, H.; Lefferts, L.; Seshan, K. [Catalytic Processes and Materials, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Gardeniers, J.G.E., E-mail: j.g.e.gardeniers@utwente.nl [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)
2013-05-01
Nickel and other metal nanoparticles are known to be active as catalysts in the synthesis of carbon nanofibers. In this paper we investigate how dewetting and break-up of nickel thin films depends on film thickness, film–substrate interaction and pretreatment conditions. This is evaluated for films evaporated on oxidized silicon and fused silica substrates with or without tantalum coating, which were subsequently exposed to different pretreatment atmospheres (vacuum, nitrogen, air and hydrogen; 1 h, 650 °C). Atomic force microscopy, scanning electron microscopy and energy dispersive X-ray analysis were used to characterize the films. Pretreated Ni films were subjected to a thermal catalytic chemical vapor deposition procedure with brief ethylene exposures (0.5–3 min, 635 °C). It was found that only on the spherical nanoparticles originating from a hydrogen pretreatment of a Ni film with Ta adhesion layer, homogeneously distributed, randomly-oriented, well-attached, and semi-crystalline carbon nanofibers be synthesized. - Highlights: • On the formation of nanoparticles required for carbon nanofiber (CNF) synthesis • Various evaporated thin films on oxidized silicon and fused silica: Ni and Ni/Ta • Pretreatment of nickel-based thin films in vacuum, nitrogen, air and hydrogen • Only on reduced Ni/Ta fast – within 3 min – initiation of CNF nucleation and growth.
Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films
International Nuclear Information System (INIS)
Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro
2014-01-01
High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals
Energy Technology Data Exchange (ETDEWEB)
Zhao, Hang; Lu, Yinxiang, E-mail: yxlu@fudan.edu.cn
2016-01-30
Graphical abstract: - Highlights: • An etchant-free and moderate surface pre-treatment process was studied. • Citric acid, malic acid and oxalic acid were selected as modification agents. • High adhesive nickel coating on cuprammonium fabric was obtained. • The electromagnetic parameters were evaluated from the experimental data. - Abstract: Nickel films were grown on citric acid (CA), malic acid (MA) and oxalic acid (OA) modified cuprammonium fabric (CF) substrates via electroless nickel deposition. The nickel films were examined using scanning electron microscopy (SEM) and X-ray diffraction (XRD). Their individual deposition rate and electromagnetic interference (EMI) shielding effectiveness (SE) were also investigated to compare the properties of electroless nickel films. SEM images illustrated that the nickel film on MA modified CF substrate was smooth and uniform, and the density of nickel nuclei was much higher. Compared with that of CA modified CF, the coverage of nickel nuclei on OA and MA modified CF substrate was very limited and the nickel particles size was too big. XRD analysis showed that the nickel films deposited on the different modified CF substrates had a structure with Ni (1 1 1) preferred orientation. All the nickel coatings via different acid modification were firmly adhered to the CF substrates, as demonstrated by an ultrasonic washing test. The result of tensile test indicated that the electroless nickel plating on CF has ability to strengthen the CF substrate while causes limited effect on tensile elongation. Moreover, the nickel film deposited on MA modified CF substrate showed more predominant in EMI SE than that deposited on CA or OA modified CF.
Electroless deposition, post annealing and characterization of nickel ...
Indian Academy of Sciences (India)
Electroless deposition of nickel (EN) films on -type silicon has been investigated under different process conditions. The interface between the film and substrate has been characterized for electrical properties by probing the contact resistances. X-ray diffraction and atomic force microscopy have been performed to obtain ...
Wu, Mao-Sung; Wang, Min-Jyle
2010-10-07
Nickel oxide film with open macropores prepared by anodic deposition in the presence of surfactant shows a very high capacitance of 1110 F g(-1) at a scan rate of 10 mV s(-1), and the capacitance value reduces to 950 F g(-1) at a high scan rate of 200 mV s(-1).
DEFF Research Database (Denmark)
Meškinis, Šaru Nas; Gudaitis, Rimantas; Šlapikas, Kęstutis
2018-01-01
deposited by either reactive HIPIMS or dc magnetron sputtering of Ni target was explained by possible clustering of the sp2-bonded carbon and/or formation of areas with the decreased hydrogen content. It was suggested that the tensile stress-induced rearrangements of these conglomerations have resulted......Piezoresistive properties of hydrogenated diamond-like carbon (DLC) and DLC-based nickel nanocomposite (DLC:Ni) films were studied in the range of low concentration of nickel nanoparticles. The films were deposited by reactive high power pulsed magnetron sputtering (HIPIMS) of Ni target, and some...... samples were deposited by direct current (dc) reactive magnetron sputtering for comparison purposes. Raman scattering spectroscopy, energy-dispersive X-ray spectrometry (EDS), and X-ray photoelectron spectroscopy (XPS) were used to study the structure and chemical composition of the films. A four...
Investigation of interdiffusion in copper-nickel bilayer thin films
Energy Technology Data Exchange (ETDEWEB)
Abdul-Lettif, Ahmed M. [Physics Department, College of Science, Babylon University, Hilla (Iraq)]. E-mail: abdullettif@yahoo.com
2007-01-15
Auger depth profiling technique and X-ray diffraction analysis have been employed to study the interdiffusion in vacuum-deposited copper-nickel bilayer thin films. An adaptation of the Whipple model was used to determine the diffusion coefficients of both nickel in copper and copper in nickel. The calculated diffusion coefficient is (2.0x10{sup -7} cm{sup 2}/s)exp(-1.0 eV/kT) for nickel in copper, and (6x10{sup -8} cm{sup 2}/s)exp(-0.98 eV/kT) for copper in nickel. The difference between the diffusion parameters obtained in the present work and those extracted by other investigators is attributed essentially to the difference in the films microstructure and to the annealing ambient. It is concluded that interdiffusion in the investigated films is described by type-B kinetics in which rapid grain-boundary diffusion is coupled to defect-enhanced diffusion into the grain interior. The present data raise a question about the effectiveness of nickel as a diffusion barrier between copper and the silicon substrate.
Carbon deposition on nickel ferrites and nickel-magnetite surfaces
International Nuclear Information System (INIS)
Allen, G.C.; Jutson, J.A.
1988-06-01
Carbon deposition on Commercial Advanced Gas-Cooled Reactor (CAGR) fuel cladding and heat exchanger surfaces lowers heat transfer efficiency and increases fuel pin temperatures. Several types of deposit have been identified including both thin dense layers and also low density columnar deposits with filamentary or convoluted laminar structure. The low-density types are often associated with particles containing iron, nickel or manganese. To identify the role of nickel in the deposition process surfaces composed of nickel-iron spinels or metallic nickel/magnetite mixtures have been exposed to γ radiation in a gas environment simulating that in the reactor. Examination of these surfaces by Scanning Electron Microscopy (SEM) and Transmission Electron Microscopy (TEM) have shown that while metallic nickel (Ni(O)) catalyses the formation of filamentary low density carbon deposits, the presence of divalent nickel (Ni(II)) sites in spinel type oxides is associated only with dense deposits. (author)
International Nuclear Information System (INIS)
Pawar, D.K.; Pawar, S.M.; Patil, P.S.; Kolekar, S.S.
2011-01-01
Graphical abstract: Display Omitted Research highlights: → We have successfully synthesized nickel-zinc ferrite (Ni 0.8 Zn 0.2 Fe 2 O 4 ) thin films on stainless steel substrates using a low temperature chemical bath deposition method. → The surface morphological study showed the compact flakes like morphology. → The as-deposited thin films are hydrophilic (10 o o ) whereas the annealed thin films are super hydrophilic (θ o ) in nature. → Ni 0.8 Zn 0.2 Fe 2 O 4 thin films could be used in supercapacitor. - Abstract: The nickel-zinc ferrite (Ni 0.8 Zn 0.2 Fe 2 O 4 ) thin films have been successfully deposited on stainless steel substrates using a chemical bath deposition method from alkaline bath. The films were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), static water contact angle and cyclic voltammetry measurements. The X-ray diffraction pattern shows that deposited Ni 0.8 Zn 0.2 Fe 2 O 4 thin films were oriented along (3 1 1) plane. The FTIR spectra showed strong absorption peaks around 600 cm -1 which are typical for cubic spinel crystal structure. SEM study revealed compact flakes like morphology having thickness ∼1.8 μm after air annealing. The annealed films were super hydrophilic in nature having a static water contact angle (θ) of 5 o .The electrochemical supercapacitor study of Ni 0.8 Zn 0.2 Fe 2 O 4 thin films has been carried out in 6 M KOH electrolyte. The values of interfacial and specific capacitances obtained were 0.0285 F cm -2 and 19 F g -1 , respectively.
Energy Technology Data Exchange (ETDEWEB)
Denayer, Jessica [Group of Research in Energy and Environment for MATerials (GREENMAT), University of Liège, allée de la chimie 3, 4000 Liège (Belgium); Bister, Geoffroy [Environmental and Material Research Association (CRIBC-INISMa), avenue gouverneur cornez 4, 7000 Mons (Belgium); Simonis, Priscilla [Laboratory LPS, University of Namur, rue de bruxelles 61, 5000 Namur (Belgium); Colson, Pierre; Maho, Anthony [Group of Research in Energy and Environment for MATerials (GREENMAT), University of Liège, allée de la chimie 3, 4000 Liège (Belgium); Aubry, Philippe [Environmental and Material Research Association (CRIBC-INISMa), avenue gouverneur cornez 4, 7000 Mons (Belgium); Vertruyen, Bénédicte [Group of Research in Energy and Environment for MATerials (GREENMAT), University of Liège, allée de la chimie 3, 4000 Liège (Belgium); Henrist, Catherine, E-mail: catherine.henrist@ulg.ac.be [Group of Research in Energy and Environment for MATerials (GREENMAT), University of Liège, allée de la chimie 3, 4000 Liège (Belgium); Lardot, Véronique; Cambier, Francis [Environmental and Material Research Association (CRIBC-INISMa), avenue gouverneur cornez 4, 7000 Mons (Belgium); Cloots, Rudi [Group of Research in Energy and Environment for MATerials (GREENMAT), University of Liège, allée de la chimie 3, 4000 Liège (Belgium)
2014-12-01
Highlights: • Surfactant-assisted USP: a novel and low cost process to obtain high quality nickel oxide films, with or without lithium dopant. • Increased uniformity and reduced light scattering thanks to the addition of a surfactant. • Improved electrochromic performance (coloration efficiency and contrast) for lithium-doped films by comparison with the undoped NiO film. - Abstract: Lithium-doped nickel oxide and undoped nickel oxide thin films have been deposited on FTO/glass substrates by a surfactant-assisted ultrasonic spray pyrolysis. The addition of polyethylene glycol in the sprayed solution has led to improved uniformity and reduced light scattering compared to films made without surfactant. Furthermore, the presence of lithium ions in NiO films has resulted in improved electrochromic performances (coloration contrast and efficiency), but with a slight decrease of the electrochromic switching kinetics.
Energy Technology Data Exchange (ETDEWEB)
Magana, C. R.; Angeles, M. E.; Rodriguez, F. J.
2006-07-01
The aim of this work is to study the behaviour of both: a nickel thin film deposited on steel AISI 1018 (UNS G 10180) and a superior nickel oxide electrochemically obtained on the film; with the purpose of decreasing the corrosion rate of low carbon steel immersed in a solution of NaCl 3% wt, thus efficient anti corrosive protection could be obtained. Two film deposition techniques were used, electrochemical and magnetron DC sputtering; and the protective properties of deposited films exposed to the aggressive media, were evaluated. The characterization of different films was carried out by using electrochemical techniques: polarization curves and electrochemical impedance. (Author)
Ternary graphene/amorphous carbon/nickel nanocomposite film for outstanding superhydrophobicity
Zhu, Xiaobo; Zhou, Shengguo; Yan, Qingqing
2018-04-01
A novel superhydrophobic ternary graphene/amorphous carbon/nickel (G-Ni/a-C:H) carbon-based film was fabricated by a green approach of high-voltage electrochemical deposition without using aqueous solution, which was systematically investigated including the structure and relating applications on self-cleaning and corrosion resistance. Graphene and nickel nano-particle inserts were effective to tailor the feature of nanocrystallite/amorphous microstructure as well as micro-nanoscale hierarchical rose-petal-like surface for G-Ni/a-C:H carbon-based film. Surprisingly, this deposit could present outstanding superhydrophobicity with the contact angle of 158.98 deg and sliding angle of 2.75 deg without any further surface modification meanwhile it could possess fairly well adhesion. Furthermore, the superhydrophobic G-Ni/a-C:H carbon-based film could exhibit excellent corrosion resistance and self-cleaning performances compared to no graphene incorporated deposit. The procedure of fabricating deposit might be simple, scalable, and environmental friendly, indicating a promising prospect for industrial applications in the field of anti-fouling, anti-corrosion and drag resistance.
Akinkuade, Shadrach; Mwankemwa, Benanrd; Nel, Jacqueline; Meyer, Walter
2018-04-01
A simple and cheap chemical deposition method was used to produce a nickel oxide (NiO) thin film on glass substrates from a solution that contained Ni2+ and monoethanolamine. Thermal treatment of the film at temperatures above 350 °C for 1 h caused decomposition of the nickel hydroxide into nickel oxide. Structural, optical and electrical properties of the film were studied using X-ray diffraction (XRD), spectrophotometry, current-voltage measurements and scanning electron microscopy (SEM). The film was found to be polycrystalline with interplanar spacing of 0.241 nm, 0.208 nm and 0.148 nm for (111), (200) and (220) planes respectively, the lattice constant a was found to be 0.417 nm. The film had a porous surface morphology, formed from a network of nanowalls of average thickness of 66.67 nm and 52.00 nm for as-deposited and annealed films respectively. Transmittance of visible light by the as-deposited film was higher and the absorption edge of the film blue-shifted after annealing. The optical band gap of the annealed film was 3.8 eV. Electrical resistivity of the film was 378 Ωm.
Structural and magnetic studies of Cr doped nickel ferrite thin films
International Nuclear Information System (INIS)
Panwar, Kalpana; Heda, N. L.; Tiwari, Shailja; Bapna, Komal; Ahuja, B. L.; Choudhary, R. J.; Phase, D. M.
2016-01-01
We have studied the structural and magnetic properties of Cr doped nickel ferrite thin films deposited on Si (100) and Si (111) using pulsed laser deposition technique. The films were deposited under vacuum and substrate temperature was kept at 700°C. X-ray diffraction analysis revealed that films on both substrates have single phase cubic spinel structure. However, the film grown on Si (111) shows better crystalline behavior. Fourier transform infrared spectroscopy suggests that films on both substrates have mixed spinel structure. These films show magnetic hysteresis behavior and magnetization value of film on Si (100) is larger than that on Si (111). It turns out that structural and magnetic properties of these two films are correlated.
Electrophoretic deposition of nickel zinc ferrite nanoparticles into microstructured patterns
Directory of Open Access Journals (Sweden)
Stefan J. Kelly
2016-05-01
Full Text Available Using DC electric fields, nickel-zinc ferrite (Ni0.5Zn0.5Fe2O4 nanoparticles (Dh =16.6 ± 3.6 nm are electrophoretically deposited onto silicon substrates to form dense structures defined by photoresist molds. Parameters such as electric field, bath composition, and deposition time are tuned to produce films ranging in thickness from 177 to 805 nm. The deposited films exhibit soft magnetic properties with a saturation magnetization of 60 emu/g and a coercivity of 2.6 kA/m (33 Oe. Additionally, the influence of the photoresist mold on the deposit profile is studied, and patterned films with different shapes (lines, squares, circles, etc. are demonstrated with feature sizes down to 5 μm.
Jeong, Myung-Sun; Ju, Byeong-Kwon; Lee, Jeon-Kook
2015-06-01
We observed the effects of nickel plating temperatures for controlling the surface morphologies of the deposited nickel layers on the alumina nano-pores. The alumina nano-channels were filled with nickel at various processing temperatures of 60-90 degrees C. The electrical properties of the alumina film capacitors were changed with processing temperatures. The electroless nickel plating (ENP) at 60 degrees C improved the nickel penetration into the alumina nano-channels due to the reduced reaction rate. Nickel layers are uniformly formed on the high aspect ratio alumina pores. Due to the uniform nickel electrode, the capacitance density of the alumina film capacitors is improved by the low leakage current, dissipation factor and equivalent series resistance. Alumina film capacitors made by ENP at 60 degrees C had a high capacitance density of 160 nF/cm2.
Chemical formation of palladium-free surface-nickelized polyimide film for flexible electronics
International Nuclear Information System (INIS)
Hsiao, Y.-S.; Whang, W.-T.; Wu, S.-C.; Chuang, Kuen-Ru
2008-01-01
Flexible polyimide (PI) films for flexible electronics were surface-nickelized using a fully solution-based process and excellent adhesion between the nickel and polyimide phases was observed. Polyimide substrates were modified by alkaline hydrolysis, ion exchange, reduction and nickel electroless deposition without palladium. Atomic force microscopy and field emission scanning electron microscopy were used to follow the growth of nickel nanoparticles (Ni-NPs) and nickel layers on the polyimide surface. The surface resistances of the Ni-NPs/PI films and Ni/PI films, measured using a four-point probe, were 1.6 x 10 7 and 0.83 Ω/cm 2 , respectively. The thicknesses of Ni-NPs and the Ni layer on the polyimide surface were 82 nm and 382 nm, respectively, as determined by transmission electron microscopy, and the Ni layer adhered well to PI, as determined by the adhesive tape testing method
Ding, Q.; Li, W. L.; Zhao, W. L.; Wang, J. Y.; Xing, Y. P.; Li, X.; Xue, T.; Qi, W.; Zhang, K. L.; Yang, Z. C.; Zhao, J. S.
2017-03-01
A facile synthesis strategy has been developed for fabricating multi-layer graphene/nickel hybrid film as micro-supercapacitor electrodes by using plasma enhanced chemical vapor deposition. The as-presented method is advantageous for rapid graphene growth at relatively low temperature of 650 °C. In addition, after pre-treating for the as-deposited nickel film by using argon plasma bombardment, the surface-to-volume ratio of graphene film on the treated nickel substrate is effectively increased by the increasing of surface roughness. This is demonstrated by the characterization results from transmission electron microscopy, scanning electron microscope and atomic force microscopy. Moreover, the electrochemical performance of the resultant graphene/nickel hybrid film as micro-supercapacitor working electrode was investigated by cyclic voltammetry and galvanostatic charge/discharge measurements. It was found that the increase of the surface-to-volume ratio of graphene/nickel hybrid film improved the specific capacitance of 10 times as the working electrode of micro-supercapacitor. Finally, by using comb columnar shadow mask pattern, the micro-supercapacitor full cell device was fabricated. The electrochemical performance measurements of the micro-supercapacitor devices indicate that the method presented in this study provides an effective way to fabricate micro-supercapacitor device with enhanced energy storage property.
Techniques for Achieving Zero Stress in Thin Films of Iridium, Chromium, and Nickel
Broadway, David M.; O'Dell, Stephen L.; Ramsey, Brian D.; Weimer, Jeffrey
2015-01-01
We examine techniques for achieving zero intrinsic stress in thin films of iridium, chromium, and nickel deposited by magnetron sputter deposition. The intrinsic stress is further correlated to the microstructural features and physical properties such as surface roughness and optical density at a scale appropriate to soft X-ray wavelengths. The examination of the stress in these materials is motivated by efforts to advance the optical performance of light-weight X-ray space telescopes into the regime of sub-arcsecond resolution through various deposition techniques that rely on control of the film stress to values within 10-100 MPa. A characteristic feature of the intrinsic stress behavior in chromium and nickel is their sensitivity to the magnitude and sign of the intrinsic stress with argon gas pressure and deposition rate, including the existence of a critical argon process pressure that results in zero film stress which scales linearly with the atomic mass of the sputtered species. While the effect of stress reversal with argon pressure has been previously reported by Hoffman and others for nickel and chromium, we report this effect for iridium. In addition to stress reversal, we identify zero stress in the optical functioning iridium layer shortly after island coalescence for low process pressures at a film thickness of approximately 35nm. The measurement of the low values of stress during deposition was achieved with the aid of a sensitive in-situ instrument capable of a minimum detectable level of stress, assuming a 35nm thick film, in the range of 0.40-6.0 MPa for oriented crystalline silicon substrate thicknesses of 70-280 microns, respectively.
Chemically grown, porous, nickel oxide thin-film for electrochemical supercapacitors
Energy Technology Data Exchange (ETDEWEB)
Inamdar, A.I.; Kim, YoungSam; Im, Hyunsik [Department of Semiconductor Science, Dongguk University, Seoul 100-715 (Korea, Republic of); Pawar, S.M.; Kim, J.H. [Department of Materials Science and Engineering, Chonnam National University, Gwangju 500-757 (Korea, Republic of); Kim, Hyungsang [Department of Physics, Dongguk University, Seoul 100-715 (Korea, Republic of)
2011-02-15
A porous nickel oxide film is successfully synthesized by means of a chemical bath deposition technique from an aqueous nickel nitrate solution. The formation of a rock salt NiO structure is confirmed with XRD measurements. The electrochemical supercapacitor properties of the nickel oxide film are examined using cyclic voltammetery (CV), galvanostatic and impedance measurements in two different electrolytes, namely, NaOH and KOH. A specific capacitance of {proportional_to}129.5 F g{sup -1} in the NaOH electrolyte and {proportional_to}69.8 F g{sup -1} in the KOH electrolyte is obtained from a cyclic voltammetery study. The electrochemical stability of the NiO electrode is observed for 1500 charge-discharge cycles. The capacitative behaviour of the NiO electrode is confirmed from electrochemical impedance measurements. (author)
Use of 2-hydroxylhydrazine as a new modifier in dip-coating nickel films
International Nuclear Information System (INIS)
Syukri, R.; Ito, Yusuke; Ban, Takayuki; Ohya, Yutaka; Takahashi, Yasutaka
2002-01-01
A modified version of the dip-coating technique, which uses 2-hydroxylhydrazine as a mild reducing agent, was applied in the fabrication of nickel thin films. Nickel acetate was used as metal source. Metallic nickel thin films were formed on glass substrates by firing in the range of 400-600 deg. C under nitrogen atmosphere. The deposited layers were composed of cubic Ni crystallites. X-ray photoelectron spectroscopy analysis indicated almost uniformity in composition throughout the film thickness. The morphology of the films analyzed by scanning electron microscopy and atomic force microscopy revealed a very weak roughness after firing at 400 deg. C and the films turned out to be homogeneous. A thin film of approximately 19 nm in thickness exhibited a high resistivity of 86 μΩ cm. However, the resistivity was found to gradually decrease with increasing film thickness up to 110 nm by repeated dip-coating, reaching a minimum value of approximately 10 μΩ cm
Energy Technology Data Exchange (ETDEWEB)
Costa e Silva, Danilo Lopes
2015-11-01
In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)
Energy Technology Data Exchange (ETDEWEB)
Pawar, D.K. [Department of Chemistry, Shivaji University, Kolhapur 416 004 (M.S.) (India); Pawar, S.M. [Department of Materials Science and Engineering, Chonnam National University, 500 757 (Korea, Republic of); Patil, P.S. [Department of Physics, Shivaji University, Kolhapur 416 004 (M.S.) (India); Kolekar, S.S., E-mail: kolekarss2003@yahoo.co.in [Department of Chemistry, Shivaji University, Kolhapur 416 004 (M.S.) (India)
2011-02-24
Graphical abstract: Display Omitted Research highlights: > We have successfully synthesized nickel-zinc ferrite (Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4}) thin films on stainless steel substrates using a low temperature chemical bath deposition method. > The surface morphological study showed the compact flakes like morphology. > The as-deposited thin films are hydrophilic (10{sup o} < {theta} < 90{sup o}) whereas the annealed thin films are super hydrophilic ({theta} < 10{sup o}) in nature. > Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4} thin films could be used in supercapacitor. - Abstract: The nickel-zinc ferrite (Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4}) thin films have been successfully deposited on stainless steel substrates using a chemical bath deposition method from alkaline bath. The films were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), static water contact angle and cyclic voltammetry measurements. The X-ray diffraction pattern shows that deposited Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4} thin films were oriented along (3 1 1) plane. The FTIR spectra showed strong absorption peaks around 600 cm{sup -1} which are typical for cubic spinel crystal structure. SEM study revealed compact flakes like morphology having thickness {approx}1.8 {mu}m after air annealing. The annealed films were super hydrophilic in nature having a static water contact angle ({theta}) of 5{sup o}.The electrochemical supercapacitor study of Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4} thin films has been carried out in 6 M KOH electrolyte. The values of interfacial and specific capacitances obtained were 0.0285 F cm{sup -2} and 19 F g{sup -1}, respectively.
Synthesis and characterization of cobalt doped nickel oxide thin films by spray pyrolysis method
Sathisha, D.; Naik, K. Gopalakrishna
2018-05-01
Cobalt (Co) doped nickel oxide (NiO) thin films were deposited on glass substrates at a temperature of about 400 °C by spray pyrolysis method. The effect of Co doping concentration on structural, optical and compositional properties of NiO thin films was investigated. X-ray diffraction result shows that the deposited thin films are polycrystalline in nature. Surface morphologies of the deposited thin films were observed by FESEM and AFM. EDS spectra showed the incorporation of Co dopants in NiO thin films. Optical properties of the grown thin films were characterized by UV-visible spectroscopy. It was found that the optical band gap energy and transmittance of the films decrease with increasing Co doping concentration.
Directory of Open Access Journals (Sweden)
Muhammad Awais
2015-01-01
Full Text Available Nonstoichiometric nickel oxide (NiOx has been deposited as thin film utilizing indium-doped tin oxide as transparent and electrically conductive substrate. Spray deposition of a suspension of NiOx nanoparticles in alcoholic medium allowed the preparation of uniform NiOx coatings. Sintering of the coatings was conducted at temperatures below 500°C for few minutes. This scalable procedure allowed the attainment of NiOx films with mesoporous morphology and reticulated structure. The electrochemical characterization showed that NiOx electrodes possess large surface area (about 1000 times larger than their geometrical area. Due to the openness of the NiOx morphology, the underlying conductive substrate can be contacted by the electrolyte and undergo redox processes within the potential range in which NiOx is electroactive. This requires careful control of the conditions of polarization in order to prevent the simultaneous occurrence of reduction/oxidation processes in both components of the multilayered electrode. The combination of the open structure with optical transparency and elevated electroactivity in organic electrolytes motivated us to analyze the potential of the spray-deposited NiOx films as semiconducting cathodes of dye-sensitized solar cells of p-type when erythrosine B was the sensitizer.
Shiba, Shunsuke; Kato, Dai; Kamata, Tomoyuki; Niwa, Osamu
2016-06-01
We report the fabrication of a nickel (Ni)-copper (Cu) bimetallic nanoalloy (~3 nm) embedded carbon film electrode with the unbalanced magnetron (UBM) co-sputtering technique, which requires only a one-step process at room temperature. Most of each nanoalloy body was firmly embedded in a chemically stable carbon matrix with an atomically flat surface (Ra: 0.21 nm), suppressing the aggregation and/or detachment of the nanoalloy from the electrode surface. The nanoalloy size and composition can be controlled simply by individually controlling the target powers of carbon, Ni and Cu, which also makes it possible to localize the nanoalloys near the electrode surface. This electrode exhibited excellent electrocatalytic activity for d-mannitol, which should be detected with a low detection limit in urine samples for the diagnosis of severe intestinal diseases. With a Ni/Cu ratio of around 64/36, the electrocatalytic current per metal area was 3.4 times larger than that of an alloy film electrode with a similar composition (~70/30). This improved electrocatalytic activity realized higher stability (n = 60, relative standard deviation (RSD): 4.6%) than the alloy film (RSD: 32.2%) as demonstrated by continuous measurements of d-mannitol.We report the fabrication of a nickel (Ni)-copper (Cu) bimetallic nanoalloy (~3 nm) embedded carbon film electrode with the unbalanced magnetron (UBM) co-sputtering technique, which requires only a one-step process at room temperature. Most of each nanoalloy body was firmly embedded in a chemically stable carbon matrix with an atomically flat surface (Ra: 0.21 nm), suppressing the aggregation and/or detachment of the nanoalloy from the electrode surface. The nanoalloy size and composition can be controlled simply by individually controlling the target powers of carbon, Ni and Cu, which also makes it possible to localize the nanoalloys near the electrode surface. This electrode exhibited excellent electrocatalytic activity for d
Site-selective electroless nickel plating on patterned thin films of macromolecular metal complexes.
Kimura, Mutsumi; Yamagiwa, Hiroki; Asakawa, Daisuke; Noguchi, Makoto; Kurashina, Tadashi; Fukawa, Tadashi; Shirai, Hirofusa
2010-12-01
We demonstrate a simple route to depositing nickel layer patterns using photocross-linked polymer thin films containing palladium catalysts, which can be used as adhesive interlayers for fabrication of nickel patterns on glass and plastic substrates. Electroless nickel patterns can be obtained in three steps: (i) the pattern formation of partially quaterized poly(vinyl pyridine) by UV irradiation, (ii) the formation of macromolecular metal complex with palladium, and (iii) the nickel metallization using electroless plating bath. Metallization is site-selective and allows for a high resolution. And the resulting nickel layered structure shows good adhesion with glass and plastic substrates. The direct patterning of metallic layers onto insulating substrates indicates a great potential for fabricating micro/nano devices.
International Nuclear Information System (INIS)
Kahng, Yung Ho; Choe, Minhyeok; Jo, Gunho; Park, Woojin; Yoon, Jongwon; Hong, Woong-Ki; Lee, Byoung Hun; Lee, Takhee; Lee, Sangchul; Cho, Chun Hum
2011-01-01
Large-area graphene films, synthesized by the chemical vapor deposition (CVD) method, have the potential to be used as electrodes. However, the electrical properties of CVD-synthesized graphene films fall short of the best results obtained for graphene films prepared by other methods. Therefore, it is important to understand the reason why these electrical properties are inferior to improve the applicability of CVD-grown graphene films. Here, we show that CVD-grown graphene films on nickel substrates contain many small-base-area (SBA) peaks that scatter conducting electrons, thereby decreasing the Hall mobility of charges in the films. These SBA peaks were induced by small peaks on the nickel surface and are likely composed of amorphous carbon. The formation of these SBA peaks on graphene films was successfully suppressed by controlling the surface morphology of the nickel substrate. These findings may be useful for the development of a CVD synthesis method that is capable of producing better quality graphene films with large areas.
International Nuclear Information System (INIS)
Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan
2011-01-01
The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.
Energy Technology Data Exchange (ETDEWEB)
Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)
2011-10-31
The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.
Ultrathin and stable Nickel films as transparent conductive electrodes
Energy Technology Data Exchange (ETDEWEB)
Grilli, M.L., E-mail: marialuisa.grilli@enea.it [ENEA, Materials Technology Unit, Via Anguillarese 301, 00123 Rome (Italy); Di Sarcina, I. [ENEA, Materials Technology Unit, Via Anguillarese 301, 00123 Rome (Italy); Bossi, S. [ENEA, Robotics Laboratory, Via Anguillarese 301, 00123 Rome (Italy); The Biorobotics Institute, Scuola Superiore Sant' Anna, Viale Rinaldo Piaggio 34, 56025 Pontedera, Pisa (Italy); Rinaldi, A.; Pilloni, L.; Piegari, A. [ENEA, Materials Technology Unit, Via Anguillarese 301, 00123 Rome (Italy)
2015-11-02
Ultrathin stable transparent conductive nickel films were deposited on quartz substrates by radio frequency sputtering at room temperature. Such films showed visible transmittance up to 80% and conductivity up to 1.8 × 10{sup 4} S/cm, further increased to 2,3 × 10{sup 5} S/cm by incorporation of a micrometric silver grid. Atomic force microscopy and scanning electron microscopy revealed quite compact, smooth and low surface roughness films. Excellent film stability, ease, fast and low cost process fabrication make these films highly competitive compared to indium tin oxide alternative transparent conductors. Films were characterized regarding their morphological, optical and electrical properties. - Highlights: • Indium-free transparent conductors are proposed. • Ultrathin Ni films are fabricated with a very fast process at room temperature. • Films have conductivity values up to 1.8 × 10{sup 4} S/cm. • Ni ultrathin films are good candidates for UV and NIR optoelectronic applications.
Application of anodizing as a pre-treatment for nickel plating on aluminum
International Nuclear Information System (INIS)
Mehmood, M.; Ahmad, J.; Aslam, M.; Iqbal, M.; Akhtar, J.I.
2003-01-01
Effect of anodizing on subsequent electroplating of nickel on aluminum was investigated. Electroplated nickel did not exhibit any adhesion with un-anodized aluminum. Formation of a very thin anodized alumina film prior to nickel plating led to an excellent adhesion between the nickel film and the substrate. If the thickness of the alumina film increased, adhesion of electroplated nickel was significantly deteriorated and became similar to that of un-anodized bare aluminum. The study revealed that deposition proceeded through pores and defects in the insulator alumina film. These pores and defects also acted as nucleation and anchor points for nickel deposit. There was larger number of nucleation/ anchor points on thin alumina films. This provided better adhesion of nickel with the substrate as well as excellent coverage in relatively shorter times. On the other hand, very rough and poorly adherent nickel deposits formed on thick anodized films. Therefore, it may be used as precursor for producing nickel powder with controlled particle size as well as a catalyst with high specific surface area for hydrogenation and dehydrogenation reactions. (author)
Corrosion properties of plasma deposited nickel and nickel-based alloys
Czech Academy of Sciences Publication Activity Database
Voleník, Karel; Pražák, M.; Kalabisová, E.; Kreislová, K.; Had, J.; Neufuss, Karel
2003-01-01
Roč. 48, č. 3 (2003), s. 215-226 ISSN 0001-7043 R&D Projects: GA ČR GA106/99/0298 Institutional research plan: CEZ:AV0Z2043910 Keywords : plasma deposits, nickel, nickel-based alloys Subject RIV: JK - Corrosion ; Surface Treatment of Materials
Energy Technology Data Exchange (ETDEWEB)
Cattin, L. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, 2 rue de la Houssiniere, BP 92208, Nantes F-44000 (France); Reguig, B.A.; Khelil, A. [Universite d' Oran Es-Senia, LPCM2E (Algeria); Morsli, M. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, 2 rue de la Houssiniere, BP 92208, Nantes F-44000 (France); Benchouk, K. [Universite d' Oran Es-Senia, LPCM2E (Algeria); Bernede, J.C. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, 2 rue de la Houssiniere, BP 92208, Nantes F-44000 (France)], E-mail: Jean-Christian.Bernede@univ-nantes.fr
2008-07-15
NiO thin films have been deposited by chemical spray pyrolysis using a perfume atomizer to grow the aerosol. The influence of the precursor, nickel chloride hexahydrate (NiCl{sub 2}.6H{sub 2}O), nickel nitrate hexahydrate (Ni(NO{sub 3}){sub 2}.6H{sub 2}O), nickel hydroxide hexahydrate (Ni(OH){sub 2}.6H{sub 2}O), nickel sulfate tetrahydrate (NiSO{sub 4}.4H{sub 2}O), on the thin films properties has been studied. In the experimental conditions used (substrate temperature 350 deg. C, precursor concentration 0.2-0.3 M, etc.), pure NiO thin films crystallized in the cubic phase can be achieved only with NiCl{sub 2} and Ni(NO{sub 3}){sub 2} precursors. These films have been post-annealed at 425 deg. C for 3 h either in room atmosphere or under vacuum. If all the films are p-type, it is shown that the NiO films conductivity and optical transmittance depend on annealing process. The properties of the NiO thin films annealed under room atmosphere are not significantly modified, which is attributed to the fact that the temperature and the environment of this annealing is not very different from the experimental conditions during spray deposition. The annealing under vacuum is more efficient. This annealing being proceeded in a vacuum no better than 10{sup -2} Pa, it is supposed that the modifications of the NiO thin film properties, mainly the conductivity and optical transmission, are related to some interaction between residual oxygen and the films.
Structural transformation of nickel hydroxide films during anodic oxidation
Energy Technology Data Exchange (ETDEWEB)
Crocker, Robert W. [Univ. of California, Berkeley, CA (United States); Muller, Rolf H. [Univ. of California, Berkeley, CA (United States)
1992-05-01
The transformation of anodically formed nickel hydroxide/oxy-hydroxide electrodes has been investigated. A mechanism is proposed for the anodic oxidation reaction, in which the reaction interface between the reduced and oxidized phases of the electrode evolves in a nodular topography that leads to inefficient utilization of the active electrode material. In the proposed nodular transformation model for the anodic oxidation reaction, nickel hydroxide is oxidized to nickel oxy-hydroxide in the region near the metal substrate. Since the nickel oxy-hydroxide is considerably more conductive than the surrounding nickel hydroxide, as further oxidation occurs, nodular features grow rapidly to the film/electrolyte interface. Upon emerging at the electrolyte interface, the reaction boundary between the nickel hydroxide and oxy-hydroxide phases spreads laterally across the film/electrolyte interface, creating an overlayer of nickel oxy-hydroxide and trapping uncharged regions of nickel hydroxide within the film. The nickel oxy-hydroxide overlayer surface facilitates the oxygen evolution side reaction. Scanning tunneling microscopy of the electrode in its charged state revealed evidence of 80 - 100 Angstrom nickel oxy-hydroxide nodules in the nickel hydroxide film. In situ spectroscopic ellipsometer measurements of films held at various constant potentials agree quantitatively with optical models appropriate to the nodular growth and subsequent overgrowth of the nickel oxy-hydroxide phase. A two-dimensional, numerical finite difference model was developed to simulate the current distribution along the phase boundary between the charged and uncharged material. The model was used to explore the effects of the physical parameters that govern the electrode behavior. The ratio of the conductivities of the nickel hydroxide and oxy-hydroxide phases was found to be the dominant parameter in the system.
Structural transformation of nickel hydroxide films during anodic oxidation
Energy Technology Data Exchange (ETDEWEB)
Crocker, R.W.; Muller, R.H.
1992-05-01
The transformation of anodically formed nickel hydroxide/oxy-hydroxide electrodes has been investigated. A mechanism is proposed for the anodic oxidation reaction, in which the reaction interface between the reduced and oxidized phases of the electrode evolves in a nodular topography that leads to inefficient utilization of the active electrode material. In the proposed nodular transformation model for the anodic oxidation reaction, nickel hydroxide is oxidized to nickel oxy-hydroxide in the region near the metal substrate. Since the nickel oxy-hydroxide is considerably more conductive than the surrounding nickel hydroxide, as further oxidation occurs, nodular features grow rapidly to the film/electrolyte interface. Upon emerging at the electrolyte interface, the reaction boundary between the nickel hydroxide and oxy-hydroxide phases spreads laterally across the film/electrolyte interface, creating an overlayer of nickel oxy-hydroxide and trapping uncharged regions of nickel hydroxide within the film. The nickel oxy-hydroxide overlayer surface facilitates the oxygen evolution side reaction. Scanning tunneling microscopy of the electrode in its charged state revealed evidence of 80 {endash} 100 Angstrom nickel oxy-hydroxide nodules in the nickel hydroxide film. In situ spectroscopic ellipsometer measurements of films held at various constant potentials agree quantitatively with optical models appropriate to the nodular growth and subsequent overgrowth of the nickel oxy-hydroxide phase. A two-dimensional, numerical finite difference model was developed to simulate the current distribution along the phase boundary between the charged and uncharged material. The model was used to explore the effects of the physical parameters that govern the electrode behavior. The ratio of the conductivities of the nickel hydroxide and oxy-hydroxide phases was found to be the dominant parameter in the system.
Challenges of sample preparation for cross sectional EBSD analysis of electrodeposited nickel films
DEFF Research Database (Denmark)
Alimadadi, Hossein; Pantleon, Karen
2009-01-01
Thorough microstructure and crystallographic orientation analysis of thin films by means of electron backscatter diffraction requires cross section preparation of the film-substrate compound. During careful preparation, changes of the rather non-stable as-deposited microstructure must be avoided....... Different procedures for sample preparation including mechanical grinding and polishing, electropolishing and focused ion beam milling have been applied to a nickel film electrodeposited on top of an amorphous Ni-P layer on a Cu-substrate. Reliable EBSD analysis of the whole cross section can be obtained...
TEM investigation of DC sputtered carbon-nitride-nickel thin films
International Nuclear Information System (INIS)
Safran, G.; Geszti, O.; Radnoczi, G.
2002-01-01
Deposition of carbon nitride (C-N) and carbon-nitride-nickel (C-N-Ni) films onto glass, NaCl and Si(001) substrates was carried out in a dc magnetron sputtering system. Carbon was deposited from high-purity (99.99%) pyrolytic graphite target, 50 mm in diameter, positioned at 10 cm from a resistance-heated substrate holder. C-N-Ni films were grown by a small Ni plate mounted on the graphite target. The base pressure of the deposition chamber was ∼7x10 -7 Torr. Films were grown at a substrate temperature of 20-700 grad C, in pure N 2 at partial pressures of 1.9 -2.2 mTorr and the substrates were held at ground potential. The typical film thickness of 15-30 nm was deposited on all the substrates at a magnetron current of 0.2 and 0.3 A, which resulted in a deposition rate of 1.5-2 nm/s. Structural characterizations were performed by high-resolution transmission electron microscopy (HRTEM) using a JEOL 3010 operated at 300 kV and a 200 kV Philips CM 20 electron microscope equipped with a Ge detector Noran EDS system. The N content of the C-N samples prepared at room temperature was 22-24% by EDS measurement and showed a decrease to 6-7% at elevated temperatures up to 700 grad C. The N concentration in the C-N-Ni films was higher: ∼38% at RT and ∼9% at 700 grad C. The Ni concentration of C-N-Ni samples was 5-6% and 0.3-0.4% in samples deposited at RT and 700 grad C respectively. The low Ni content in the latter is attributed to a decrease of the sticking coefficient of the carbon co-deposited Ni at elevated temperatures. (Authors)
Thin NiTi Films Deposited on Graphene Substrates
Hahn, S.; Schulze, A.; Böhme, M.; Hahn, T.; Wagner, M. F.-X.
2017-03-01
We present experimental results on the deposition of Nickel Titanium (NiTi) films on graphene substrates using a PVD magnetron sputter process. Characterization of the 2-4 micron thick NiTi films by electron microscopy, electron backscatter diffraction, and transmission electron microscopy shows that grain size and orientation of the thin NiTi films strongly depend on the type of combination of graphene and copper layers below. Our experimental findings are supported by density functional theory calculations: a theoretical estimation of the binding energies of different NiTi-graphene interfaces is in line with the experimentally determined microstructural features of the functional NiTi top layer.
Energy Technology Data Exchange (ETDEWEB)
Muslim, Noormariah, E-mail: 14h8702@ubd.edu.bn [Centre for Advanced Material and Energy Sciences, Universiti Brunei Darussalam, Jalan Tungku Link, Gadong BE1410 (Brunei Darussalam); Soon, Ying Woan [Centre for Advanced Material and Energy Sciences, Universiti Brunei Darussalam, Jalan Tungku Link, Gadong BE1410 (Brunei Darussalam); Physical and Geological Sciences, Faculty of Science, Universiti Brunei Darussalam, Jalan Tungku Link, Gadong BE1410 (Brunei Darussalam); Lim, Chee Ming; Voo, Nyuk Yoong [Centre for Advanced Material and Energy Sciences, Universiti Brunei Darussalam, Jalan Tungku Link, Gadong BE1410 (Brunei Darussalam)
2016-08-01
Pure nickel (Ni) thin films of thicknesses of 100 nm were deposited on glass substrates by radio frequency magnetron sputtering at a power of 100 W and at various substrate temperatures i.e., room temperature, 100, 200, and 300 °C. The crystalline structure, surface topography, surface morphology, electrical resistivity, and optical properties of the deposited films were studied. The properties of the Ni films could be controlled by altering the substrate temperature. Specifically, the films featured a face-centered cubic crystalline structure with predominant (111) crystallite orientation at all the substrate temperatures employed, as observed from the X-ray diffraction analysis. Films deposited at substrate temperatures greater than 200 °C additionally displayed crystalline (200) and (220) diffraction peaks. The surface morphology analysis revealed that the grain size of the Ni thin films increased with increasing substrate temperatures employed. This increase was accompanied with a decrease in the resistivity of the Ni films. The surface roughness of the films increased with increasing substrate temperatures employed, as observed from the atomic force microscopy analysis. - Highlights: • RF magnetron sputtering is a good alternative method to deposit Ni films. • Properties of Ni films could be controlled simply by tuning substrate temperatures. • Crystallite size and surface roughness increased with substrate temperatures. • Electrical resistivity reduced with increasing substrate temperatures. • Optical properties also changed with substrate temperatures.
Electrical properties of thermally evaporated nickel-dimethylglyoxime thin films
Dakhel, A. A.; Ali-Mohamed Ahmed, Y.
2005-06-01
Thin Bis-(dimethylglyoximato)nickel(II) [Ni(DMG)2] films of amorphous and crystalline structures were prepared by vacuum deposition on Si (P) substrates. The films were characterised by X-ray fluorescence and X-ray diffraction. The constructed Al/Ni(DMG)2/Si(P) metal-insulator-semiconductor devices were characterised by the measurement of the gate-voltage dependence of their capacitance and ac conductance, from which the surface states density Dit of insulator/semiconductor interface and the density of the fixed charges in the oxide were determined. The ac electrical conduction and dielectric properties of the Ni(DMG)2-Silicon structure were studied at room temperature. The data of the ac measurements of the annealed films follow the correlated barrier-hopping CBH mode, from which the fundamental absorption bandgap, the minimum hopping distance, and other parameters of the model were determined.
Huang, Yanwei; Zhang, Qun; Xi, Junhua; Ji, Zhenguo
2012-07-01
Transparent p-type Li0.25Ni0.75O conductive thin films were prepared on conventional glass substrates by pulsed plasma deposition. The effects of substrate temperature and oxygen pressure on structural, electrical and optical properties of the films were investigated. The electrical resistivity decreases initially and increases subsequently as the substrate temperature increases. As the oxygen pressure increases, the electrical resistivity decreases monotonically. The possible physical mechanism was discussed. And a hetero p-n junction of p-Li0.25Ni0.75O/n-SnO2:W was fabricated by depositing n-SnO2:W on top of the p-Li0.25Ni0.75O, which exhibits typical rectifying current-voltage characteristics.
International Nuclear Information System (INIS)
Huang Yanwei; Zhang Qun; Xi Junhua; Ji Zhenguo
2012-01-01
Transparent p-type Li 0.25 Ni 0.75 O conductive thin films were prepared on conventional glass substrates by pulsed plasma deposition. The effects of substrate temperature and oxygen pressure on structural, electrical and optical properties of the films were investigated. The electrical resistivity decreases initially and increases subsequently as the substrate temperature increases. As the oxygen pressure increases, the electrical resistivity decreases monotonically. The possible physical mechanism was discussed. And a hetero p-n junction of p-Li 0.25 Ni 0.75 O/n-SnO 2 :W was fabricated by depositing n-SnO 2 :W on top of the p-Li 0.25 Ni 0.75 O, which exhibits typical rectifying current-voltage characteristics.
International Nuclear Information System (INIS)
Adamska, A.M.; Bright, E. Lawrence; Sutcliffe, J.; Liu, W.; Payton, O.D.; Picco, L.; Scott, T.B.
2015-01-01
Polycrystalline uranium dioxide thin films were grown on nickel substrates via aqueous electrodeposition of a precursor uranyl salt. The arising semiconducting uranium dioxide thin films exhibited a tower-like morphology, which may be suitable for future application in 3D solar cell applications. The thickness of the homogenous, tower-like films reached 350 nm. Longer deposition times led to the formation of thicker (up to 1.5 μm) and highly porous films. - Highlights: • Electrodeposition of polycrystalline UO_2 thin films • Tower-like morphology for 3D solar cell applications • Novel technique for separation of heavy elements from radioactive waste streams
Electro-deposition of nickel, on reactor seal discs
International Nuclear Information System (INIS)
Vernekar, R.B.; Bhide, G.K.
1977-01-01
The effect of plating variables, acidity, current density and temperature on hardness of nickel deposited from purified nickel sulfamate bath has been investigated and optimum conditions for electrodeposition of nickel plating of hardness 160-170 VHN on reactor seal discs are established. Sodium lauryl sulfate was added as a wetting agent to the bath to overcome pitting tendency of the deposit. Factors affecting hydrogen absorption by electrodeposited nickel are also discussed. It is observed that : (1) at a pH 3.5 - 4.0 the decomposition rate of sulfamate salt is almost negligible and is the best value for bath operation, (2) at 15 A/dm 2 the hardness value is consistently around 160-170 VHN, (3) the temperatures less than 50 0 C give harder deposits and the bath is best operated at temperature 50-60 0 C and (4) annealing of the plated discs substantially reduces the hardness. (M.G.B.)
Effects of deposition temperature on electrodeposition of zinc–nickel alloy coatings
International Nuclear Information System (INIS)
Qiao, Xiaoping; Li, Helin; Zhao, Wenzhen; Li, Dejun
2013-01-01
Highlights: ► Both normal and anomalous deposition can be realized by changing bath temperature. ► The Ni content in Zn–Ni alloy deposit increases sharply as temperature reach 60 °C. ► The abrupt change in coating composition is caused by the shift of cathodic potential. ► The deposition temperature has great effect on microstructure of Zn–Ni alloy deposit. -- Abstract: Zinc–nickel alloy coatings were electrodeposited on carbon steel substrates from the ammonium chloride bath at different temperatures. The composition, phase structure and morphology of these coatings were analyzed by energy dispersive spectrometer, X-ray diffractometer and scanning electron microscopy respectively. Chronopotentiometry and potentiostatic methods were also employed to analyze the possible causes of the composition and structure changes induced by deposition temperature. It has been shown that both normal and anomalous co-deposition of zinc and nickel could be realized by changing deposition temperature under galvanostatic conditions. The abrupt changes in the composition and phase structure of the zinc–nickel alloy coatings were observed when deposition temperature reached 60 °C. The sharply decrease of current efficiency for zinc–nickel co-deposition was also observed when deposition temperature is higher than 40 °C. Analysis of the partial current densities showed that the decrease of current efficiency with the rise of deposition temperature was due to the enhancement of the hydrogen evolution. It was also confirmed that the ennoblement of cathodic potential was the cause for the increase of nickel content in zinc–nickel alloy coatings as a result of deposition temperature rise. The good zinc–nickel alloy coatings with compact morphology and single γ phase could be obtained when the deposition temperature was fixed at 30–40 °C
Energy Technology Data Exchange (ETDEWEB)
Tientong, J. [University of North Texas, Department of Chemistry, 1155 Union Circle #305070, Denton, TX 76203 (United States); Ahmad, Y.H. [Center for Advanced Materials, P.O. Box 2713, Qatar University, Doha (Qatar); Nar, M.; D' Souza, N. [University of North Texas, Department of Mechanical and Energy Engineering, Denton, TX 76207 (United States); Mohamed, A.M.A. [Center for Advanced Materials, P.O. Box 2713, Qatar University, Doha (Qatar); Golden, T.D., E-mail: tgolden@unt.edu [University of North Texas, Department of Chemistry, 1155 Union Circle #305070, Denton, TX 76203 (United States)
2014-05-01
Layered silicates as exfoliated montmorillonite are incorporated into nickel films by electrodeposition, enhancing both corrosion resistance and hardness. Films were deposited onto stainless steel from a plating solution adjusted to pH 9 containing nickel sulfate, sodium citrate, and various concentrations of exfoliated montmorillonite. The presence of the incorporated layered silicate was confirmed by scanning electron microscopy and energy-dispersive X-ray spectroscopy. The composite films were also compact and smooth like the pure nickel films deposited under the same conditions as shown by scanning electron microscopy. X-ray diffraction results showed that incorporation of layered silicates into the film do not affect the nickel crystalline fcc structure. The nanocomposite films exhibited improved stability and adhesion. Pure nickel films cracked and peeled from the substrate when immersed in 3.5% NaCl solution within 5 days, while the nanocomposite films remained attached even after 25 days. The corrosion resistance of the nickel nanocomposites was also improved compared to nickel films. Nickel-layered silicate composites showed a 25% increase in Young's modulus and a 20% increase in hardness over pure nickel films. - Highlights: • 0.05–2% of layered silicates are incorporated into crystalline nickel films. • Resulting composite films had improved stability and adhesion. • Corrosion resistance improved for the composite films. • Hardness improved 20% and young's modulus improved 25% for the composite films.
Nickel deposition effects on the growth of carbon nanofibers on carbon paper
Celebi, S.; Schaaf, van der J.; Nijhuis, T.A.; Bruijn, de F.A.; Schouten, J.C.
2010-01-01
Carbon nanofiber (CNF) growth has been achieved on carbon paper fibers via two nickel deposition routes: i. nickel nanoparticle-ethanol suspension casting, and ii. homogenous deposition precipitation (HDP) of nickel onto carbon paper. Nickel nanoparticles created regular tubular CNF whereas HDP of
Jiao, Zhenjun; Ueno, Ai; Suzuki, Yuji; Shikazono, Naoki
2016-10-01
In this study, the reduction processes of nickel oxide at different temperatures were investigated using nickel-film anode to study the influences of reduction temperature on the initial performances and stability of nickel-yttria-stabilized zirconia anode. Compared to conventional nickel-yttria-stabilized zirconia composite cermet anode, nickel-film anode has the advantage of direct observation at nickel-yttria-stabilized zirconia interface. The microstructural changes were characterized by scanning electron microscopy. The reduction process of nickel oxide is considered to be determined by the competition between the mechanisms of volume reduction in nickel oxide-nickel reaction and nickel sintering. Electrochemical impedance spectroscopy was applied to analyze the time variation of the nickel-film anode electrochemical characteristics. The anode performances and microstructural changes before and after 100 hours discharging and open circuit operations were analyzed. The degradation of nickel-film anode is considered to be determined by the co-effect between the nickel sintering and the change of nickel-yttria-stabilized zirconia interface bonding condition.
Energy Technology Data Exchange (ETDEWEB)
Pandey, B., E-mail: pandey.beauty@yahoo.com [Department of Applied Physics, Indian School of Mines, Dhanbad 826004 (India); Das, D. [UGC-DAE CSR, Sector III/LB-8, Bidhan Nagar, Kolkata 700098 (India); Kar, A.K. [Department of Applied Physics, Indian School of Mines, Dhanbad 826004 (India)
2015-05-15
Highlights: • Electrical and magnetic properties of DLC and Ni-DLC thin films are studied. • The ohmicity and conductivity of DLC films rise with nickel addition. • The ohmicity of Ni-DLC is enhanced with increase in dilution of electrolyte. • Dielectric loss is high for Ni-DLC and decreases with frequency till 100 kHz. • (m–H) and (m–T) curves of Ni-DLC indicate superparamagnetic behavior. - Abstract: Nanocomposite diamond-like carbon (DLC) thin films have been synthesized by incorporating nickel (Ni) nanoparticles in DLC matrix with varying concentration of nickel. DLC and Ni-DLC thin films have been deposited on ITO coated glass substrates employing low voltage electrodeposition method. Electrical properties of the samples were studied by measuring current–voltage characteristics and dielectric properties. The current approaches toward an ohmic behavior with metal addition. This tendency of increasing ohmicity is enhanced with increase in dilution of the electrolyte. The conductivity increases with Ni addition and interestingly it continues to increase with dilution of Ni concentration in the electrolyte in the range of our study. Magnetic properties for DLC and Ni-DLC thin film samples were examined by electron paramagnetic resonance (EPR) measurements and Super Conducting Quantum Interference Device (SQUID) measurements. g-Value for DLC is 2.074, whereas it decreases to 2.055 with Ni addition in the electrolyte. This decrement arises from the increased sp{sup 2} content in DLC matrix. The magnetic moment vs. magnetic field (m–H) curves of Ni-DLC indicate superparamagnetic behavior which may be due to ferromagnetic contribution from the incorporated nickel nanoparticles in the DLC matrix. The ZFC curve of Ni-DLC after the blocking temperature shows a combined contribution of ferromagnetic, superparamagnetic and paramagnetic nature of the materials persisting up to 300 K.
Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy
International Nuclear Information System (INIS)
Poon, R.W.Y.; Liu, X.Y.; Chung, C.Y.; Chu, P.K.; Yeung, K.W.K.; Lu, W.W.; Cheung, K.M.C.
2005-01-01
Nickel-titanium shape memory alloys (NiTi) are potentially useful in orthopedic implants on account of their super-elastic and shape memory properties. However, the materials are prone to surface corrosion and the most common problem is out-diffusion of harmful Ni ions from the substrate into body tissues and fluids. In order to improve the corrosion resistance and related surface properties, we used the technique of plasma immersion ion implantation and deposition to deposit an amorphous hydrogenated carbon coating onto NiTi and implant carbon into NiTi. Both the deposited amorphous carbon film and carbon plasma implanted samples exhibit much improved corrosion resistances and surface mechanical properties and possible mechanisms are suggested
Thermal conductivity of nanoscale thin nickel films
Institute of Scientific and Technical Information of China (English)
YUAN Shiping; JIANG Peixue
2005-01-01
The inhomogeneous non-equilibrium molecular dynamics (NEMD) scheme is applied to model phonon heat conduction in thin nickel films. The electronic contribution to the thermal conductivity of the film is deduced from the electrical conductivity through the use of the Wiedemann-Franz law. At the average temperature of T = 300 K, which is lower than the Debye temperature ()D = 450 K,the results show that in a film thickness range of about 1-11 nm, the calculated cross-plane thermal conductivity decreases almost linearly with the decreasing film thickness, exhibiting a remarkable reduction compared with the bulk value. The electrical and thermal conductivities are anisotropic in thin nickel films for the thickness under about 10 nm. The phonon mean free path is estimated and the size effect on the thermal conductivity is attributed to the reduction of the phonon mean free path according to the kinetic theory.
Orientation control of chemical solution deposited LaNiO3 thin films
International Nuclear Information System (INIS)
Ueno, Kengo; Yamaguchi, Toshiaki; Sakamoto, Wataru; Yogo, Toshinobu; Kikuta, Koichi; Hirano, Shin-ichi
2005-01-01
High quality LaNiO 3 (LNO) thin films with preferred orientation could be synthesized on Pt/Ti/SiO 2 /Si substrates at 700 deg. C using the chemical solution deposition method. The homogeneous and stable LNO precursor solutions were prepared using lanthanum isopropoxide and nickel (II) acetylacetonate in a mixed solvent of absolute ethanol and 2-methoxyethanol. The oriented LNO thin films exhibit metallic electro-conduction, and their resistivity at room temperature is sufficiently low for making them an alternative electrode material for functional ceramic thin films
Nickel coating on high strength low alloy steel by pulse current deposition
Nigam, S.; Patel, S. K.; Mahapatra, S. S.; Sharma, N.; Ghosh, K. S.
2015-02-01
Nickel is a silvery-white metal mostly used to enhance the value, utility, and lifespan of industrial equipment and components by protecting them from corrosion. Nickel is commonly used in the chemical and food processing industries to prevent iron from contamination. Since the properties of nickel can be controlled and varied over broad ranges, nickel plating finds numerous applications in industries. In the present investigation, pulse current electro-deposition technique has been used to deposit nickel on a high strength low alloy (HSLA) steel substrate.Coating of nickel is confirmed by X-ray diffraction (XRD) and EDAX analysis. Optical microscopy and SEM is used to assess the coating characteristics. Electrochemical polarization study has been carried out to study the corrosion behaviour of nickel coating and the polarisation curves have revealed that current density used during pulse electro-deposition plays a vital role on characteristics of nickel coating.
International Nuclear Information System (INIS)
Cloud, Andrew N.; Abelson, John R.; Davis, Luke M.; Girolami, Gregory S.
2014-01-01
Thin films of late transition metal nitrides (where the metal is iron, cobalt, or nickel) are grown by low-pressure metalorganic chemical vapor deposition from bis[di(tert-butyl)amido]metal(II) precursors and ammonia. These metal nitrides are known to have useful mechanical and magnetic properties, but there are few thin film growth techniques to produce them based on a single precursor family. The authors report the deposition of metal nitride thin films below 300 °C from three recently synthesized M[N(t-Bu) 2 ] 2 precursors, where M = Fe, Co, and Ni, with growth onset as low as room temperature. Metal-rich phases are obtained with constant nitrogen content from growth onset to 200 °C over a range of feedstock partial pressures. Carbon contamination in the films is minimal for iron and cobalt nitride, but similar to the nitrogen concentration for nickel nitride. X-ray photoelectron spectroscopy indicates that the incorporated nitrogen is present as metal nitride, even for films grown at the reaction onset temperature. Deposition rates of up to 18 nm/min are observed. The film morphologies, growth rates, and compositions are consistent with a gas-phase transamination reaction that produces precursor species with high sticking coefficients and low surface mobilities
Pandey, B.; Das, D.; Kar, A. K.
2015-05-01
Nanocomposite diamond-like carbon (DLC) thin films have been synthesized by incorporating nickel (Ni) nanoparticles in DLC matrix with varying concentration of nickel. DLC and Ni-DLC thin films have been deposited on ITO coated glass substrates employing low voltage electrodeposition method. Electrical properties of the samples were studied by measuring current-voltage characteristics and dielectric properties. The current approaches toward an ohmic behavior with metal addition. This tendency of increasing ohmicity is enhanced with increase in dilution of the electrolyte. The conductivity increases with Ni addition and interestingly it continues to increase with dilution of Ni concentration in the electrolyte in the range of our study. Magnetic properties for DLC and Ni-DLC thin film samples were examined by electron paramagnetic resonance (EPR) measurements and Super Conducting Quantum Interference Device (SQUID) measurements. g-Value for DLC is 2.074, whereas it decreases to 2.055 with Ni addition in the electrolyte. This decrement arises from the increased sp2 content in DLC matrix. The magnetic moment vs. magnetic field (m-H) curves of Ni-DLC indicate superparamagnetic behavior which may be due to ferromagnetic contribution from the incorporated nickel nanoparticles in the DLC matrix. The ZFC curve of Ni-DLC after the blocking temperature shows a combined contribution of ferromagnetic, superparamagnetic and paramagnetic nature of the materials persisting up to 300 K.
Directory of Open Access Journals (Sweden)
A. Benchettara
2014-12-01
Full Text Available In this work, we present the modification of a glassy carbon electrode with nickel oxide film which is performed in two successive steps. In the first one, the electrochemical deposition of metallic nickel on the glassy carbon electrode (GCE is achieved in 0.1M boric acid; in the second step, the metallic deposit is anodically oxidized in 0.1M NaOH. These two operations were carried out in a three electrode cell with a filiform platinum auxiliary electrode, a SCE as potential reference and a working microelectrode of modified glassy carbon with nickel oxides. This electrode is characterized by several electrochemical techniques and is used for the catalytic determination of ethanol, 2-propanol and 1-butanol in 0.1 M NaOH. The proposed chemical mechanism shows that NiO2 acts as a mediator.
Directory of Open Access Journals (Sweden)
A. Benchettara
2015-07-01
Full Text Available In this work, we present the modification of a glassy carbon electrode with nickel oxide film which is performed in two successive steps. In the first one, the electrochemical deposition of metallic nickel on the glassy carbon electrode (GCE is achieved in 0.1M boric acid; in the second step, the metallic deposit is anodically oxidized in 0.1M NaOH. These two operations were carried out in a three electrode cell with a filiform platinum auxiliary electrode, a SCE as potential reference and a working microelectrode of modified glassy carbon with nickel oxides. This electrode is characterized by several electrochemical techniques and is used for the catalytic determination of ethanol, 2-propanol and 1-butanol in 0.1 M NaOH. The proposed chemical mechanism shows that NiO2 acts as a mediator.
International Nuclear Information System (INIS)
Schumacher, L.C.; Holzheuter, I.B.; Nucara, M.C.; Dignam, M.J.
1989-01-01
Sputter-deposited films of silver with lead, manganese and nickel have been studied as possible oxygen reduction electrocatalysts using cyclic voltammetry, rotating disc studies, steady-state polarization and Auger analysis. In general, the Ag-Pb and Ag-Mn films display superior electrocatalytic activity for O 2 reduction, while the Ag-Ni films' performance is inferior to that of pure Ag. For the Ag-Pb films, which show the highest electrocatalytic activity, the mixed metal films display oxidation-reduction behavior which is not simply a superposition of that of the separate metals, and suggests a mechanism for the improved behavior
Interaction of atomic hydrogen with ethylene adsorbed on nickel films
International Nuclear Information System (INIS)
Korchak, V.N.; Tret'yakov, I.I.; Kislyuk, M.U.
1976-01-01
The reactivity of ethylene adsorbed on the pure films of nickel at various temperatures was studied with respect to hydrogen atoms generated in the gaseous phase. The experiments were conducted in a glass vacuum apparatus enabling one to obtain the highest vacuum up to 2x20 -10 torr. The catalyst, nickel films, was produced by their deposition onto the walls of the glass reactor at a pressure of the residual gas of 10 -9 torr and a temperature of the walls of 25 deg C. Gas purity was analyzed by the mass spectrometric method. The ethylene adsorbed at the temperatures below 173 deg K reacted readily with the hydrogen atoms to yield ethane. The process ran without practically any activation energy involved and was limited by the attachment of the first hydrogen atom to the ethylene molecule. The efficiency of this interaction was 0.02 of the number of the hydrogen atoms collisions against the surface occupied by the ethylene. The adsorption of the ethylene at room and higher temperatures was accompanied by its disproportioning with the release of the hydrogen into the gaseous phase and a serious destruction of the ethylene molecules adsorbed to produce hydrogen residues interacting with neither molecular nor atomic hydrogen [ru
International Nuclear Information System (INIS)
Haq, A.U.
2012-01-01
Objective of this thesis is the formation of adhesive and corrosion resistant nickel film on aluminum, aluminum-lithium (Li 0.5 %) alloy and copper substrates by chemical vapor deposition (CVD) technique. Different surface preparation treatments such as electropolishing, anodizing and pickling are applied to the aforementioned substrates and its effect on the adhesion and corrosion resistance of nickel coating is studied. Nickel coating is deposited on different substrates by using already optimized parameters of 190-200 degree C deposition temperature, 9-8 x 10/sup -1/ Torr pressure during deposition, pure nickel-tetra-carbonyl gas, and induction heating source and 5 minutes deposition time. Substrates subjected to pickling treatment show excellent adhesion of nickel coating with a value of 5B based on ASTM standard while electropolished substrates show valve of 3B. XRD characterization of the nickel film show characteristic peaks of nickel confirming its phase purity. The SEM images show that nickel coating follows the surface features of the substrate. The pickled surface results in film with rough morphology than electropolished or anodized surface. The corrosion resistance of both uncoated and coated substrates is studied by monitoring its open circuit potential in different electrolytes (brine solution, sea and distilled water) at different temperatures. All substrates coated with nickel show 120-400mV potential difference compare with uncoated substrates in different electrolytes. (author)
Effect of nickel introduced by electroplating on pyrocarbon deposition of carbon-fiber preforms
Directory of Open Access Journals (Sweden)
Ren Yancai
2014-08-01
Full Text Available In order to improve the deposition rate and microstructure of pyrocarbon, nickel was introduced by electroplating on carbon fibers and used as a catalyst during the deposition of pyrocarbon at 1000 °C using methane as a precursor gas. The distribution of nickel catalyst and the microstructure of pyrocarbon were characterized by scanning electron microscopy (SEM, energy dispersive spectroscopy (EDS, X-ray diffraction (XRD, and Raman micro-spectrometry. Results show that nano-sized nickel particles could be well distributed on carbon fibers and the pyrocarbon deposited catalytically had a smaller d002 value and a higher graphitization degree compared with that without catalyst. In addition, the deposition rate of pyrocarbon in each hour was measured. The deposition rate of pyrocarbon in the first hour was more than 10 times when carbon cloth substrates were doped with nickel catalysts as compared to the pure carbon cloths. The pyrocarbon gained by rapid deposition may include two parts, which are generation directly on the nickel catalyst and formation with the carbon nanofibers as crystal nucleus.
Determination of electroless deposition by chemical nickeling
Directory of Open Access Journals (Sweden)
M. Badida
2013-07-01
Full Text Available Increasing of technical level and reliability of machine products in compliance with the economical and ecological terms belongs to the main trends of the industrial development. During the utilisation of these products there arise their each other contacts and the interaction with the environment. That is the reason for their surface degradation by wear effect, corrosion and other influences. The chemical nickel-plating allows autocatalytic deposition of nickel from water solutions in the form of coherent, technically very profitable coating without usage of external source of electric current. The research was aimed at evaluating the surface changes after chemical nickel-plating at various changes of technological parameters.
Electrolytic nickel deposits upon uranium
International Nuclear Information System (INIS)
Baudin, G.; Chauvin, G.; Coriou, H.; Hure, J.
1958-01-01
The authors present a new possibility to protect uranium by very adherent nickel deposits got by aqueous medium electrolysis. Surface treatment of uranium is based upon the chemical etching method from Lietazke. After thermal treatments at 600, 700 and 800 deg. C, under vacuum, a good intermetallic U-Ni diffusion is observed for each case. (author) [fr
SERS spectra of pyridine adsorbed on nickel film prepared by magnetron sputtering
Li, Daoyong; Ouyang, Yu; Chen, Li; Cao, Weiran; Shi, Shaohua
2011-02-01
As a repeating well and cheaper enhancement substrate, the nickel film was fabricated with magnetron sputtering coating instrument. Surface enhanced Raman spectra (SERS) of pyridine adsorbed on this nickel film are compared with the experimental values of gaseous pyridine, the theoretical value of pyridine solution listed in other literatures and our method is better than electro-chemical etching electrode method for large scale preparation. The enhancement factor of the nickel film is calculated and the result indicates that magnetron sputtering coating technology is feasible for obtaining good SERS active surface.
Experimental Investigation of the Electro Co-deposition of (Zinc-Nickel Alloy
Directory of Open Access Journals (Sweden)
Ekhlas Abdulrahman Salman
2018-02-01
Full Text Available abstract An experimental investigation has been carried out for zinc-nickel (Zn-Ni electro-deposition using the constant applied current technique. Weight difference approach method was used to determine the cathode current efficiency and deposit thickness. Also, the influence effect of current density on the deposition process, solderability, and porosity of the plating layer in microelectronic applications were examined. The bath temperature effect on nickel composition and the form of the contract was studied using Scanning Electron Microscope (SEM. Moreover, elemental nature of the deposition was analyzed by Energy Dispersive X-Ray (EDX. It has been found that the best bath temperature was 40˚C, specifically at a concentration of 73 g/L of NiCl2.6H2O, has a milestone influence on the nickel composition and structure of the deposits. The potential is a major factor influencing the deposition coating alloy which is adjusted by the operations of the cathodic polarization; rather than the standard potential of the two metals as determined by the e.m.f. series. The anomalous deposition was obtained at a current density lower than 0.8 A/dm2, while normal deposition occurred at current densities less than 1.2 A/dm2. Corrosion behavior was exhibited by the bath and for performance was carried out, and it shows that the best corrosion performance was for nickel composition of 10-12.6 wt%.
Laser ablation deposition measurements from silver and nickel
DEFF Research Database (Denmark)
Svendsen, Winnie Edith; Ellegaard, Ole; Schou, Jørgen
1996-01-01
The deposition rate for laser ablated metals has been studied in a standard geometry for fluences up to 20 J/cm(2). The rate for silver and nickel is a few percent of a monolayer per pulse at the laser wavelengths 532 nm and 355 nm. The rate for nickel is significantly higher than that for silver...... at 532 nm, whereas the rate for the two metals is similar at 355 nm. This behaviour disagrees with calculations based on the thermal properties at low intensities as well as predictions based on formation of an absorbing plasma at high intensities. The deposition rate falls strongly with increasing...
Enhancement of porous silicon photoluminescence by electroless deposition of nickel
Energy Technology Data Exchange (ETDEWEB)
Amdouni, S. [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia); Rahmani, M., E-mail: rahmanimehdi79@yahoo.com [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia); Zaïbi, M.-A [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia); Ecole Nationale Supérieure des Ingénieurs de Tunis, Université de Tunis, 5 Avenue Taha Hussein, 1008 Tunis (Tunisia); Oueslati, M. [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia)
2015-01-15
Nickel-porous silicon nanocomposites (PS/Ni) are elaborated by an electroless deposition method using NiCl{sub 2} aqueous solution. The presence of nickel ions in the porous layer is confirmed by Fourier Transformed InfraRed spectroscopy (FTIR) and Raman spectroscopy. The photoluminescence (PL) spectra of PS/Ni, prepared at different electroless durations (t{sub edp}), are analyzed. A remarkable enhancement in the integrated PL intensity of PS containing nickel was observed. The lower t{sub edp} favor the deposition of nickel in PS, hence the silicon dangling bonds at the porous surface are quenched and this was increased the PL intensity. However, for the longer t{sub edp}, the PL intensity has been considerably decreased due to the destruction of some Si nanocrystallites. The PL spectra of PS/Ni, for t{sub edp} less than 8 min, show a multiband profile indicating the creation of new luminescent centers by Ni elements which induces a strong modification in the emission mechanisms. - Highlights: • Deposition of Ni ions into porous silicon (PS) layer using the electroless method. • Formation of Ni–O bonds on the porous layer. • The photoluminescence (PL) intensity of PS is enhanced after Ni deposition. • The increase of the PL is due to the contribution of radiative centers related to Ni.
International Nuclear Information System (INIS)
Salazar, Pedro; Rico, Victor; González-Elipe, Agustín R.
2017-01-01
Highlights: • A non-enzymatic sensor for H 2 O 2 detection based on nickel thin film is reported. • Nanostructured nickel thin films are prepared by physical vapor deposition at oblique angles. • Main analytical parameters were obtained under optimal operation conditions. • Sensors depict an outstanding selectivity and a high stability. • Sensors are successfully used to determine H 2 O 2 in antiseptic solutions. - Abstract: In this work we report a non-enzymatic sensor for hydrogen peroxide (H 2 O 2 ) detection based on nanostructured nickel thin films prepared by physical vapor deposition at oblique angles. Porous thin films deposited on ITO substrates were characterized by X-ray diffraction analysis, scanning electron microcopy (SEMs), X-ray photoelectron spectroscopy (XPS) and electrochemical techniques such as Cyclic Voltammetry (CV) and Constant Potential Amperometry (CPA). The microstructure of the thin films consisted of inclined and separated Ni nanocolumns forming a porous thin layer of about 500 nm thickness. Prior to their use, the films surface was electrochemically modified and the chemical state studied by CV and XPS analysis. These techniques also showed that Ni 2+ /Ni 3+ species were involved in the electrochemical oxidation and detection of H 2 O 2 in alkaline medium. Main analytical parameters such as sensitivity (807 mA M −1 cm −2 ), limit of detection (3.22 μM) and linear range (0.011–2.4 mM) were obtained under optimal operation conditions. Sensors depicted an outstanding selectivity and a high stability and they were successfully used to determine H 2 O 2 concentration in commercial antiseptic solutions.
International Nuclear Information System (INIS)
Khorsand, S.; Raeissi, K.; Ashrafizadeh, F.
2014-01-01
A super-hydrophobic nickel film with micro-nano structure was successfully fabricated by electrodeposition process. By controlling electrodeposition parameters and considering different storage times for the coatings in air, various nickel films with different wettability were fabricated. Surface morphology of nickel films was examined by means of scanning electron microscopy (SEM). The results showed that the micro-nano nickel film was well-crystallized and exhibited pine cone-like microstructure with nano-cone arrays randomly dispersed on each micro-protrusion. The wettability of the micro-nano nickel film varied from super-hydrophilicity (water contact angle 5.3°) to super-hydrophobicity (water contact angle 155.7°) by exposing the surface in air at room temperature. The corrosion resistance of the super-hydrophobic film was estimated by electrochemical impedance spectroscopy (EIS) and Tafel polarization measurements. The potentiodynamic curves revealed that the corrosion rate of superhydrophobic surface was only 0.16% of the bare copper substrate. Moreover, EIS measurements and appropriate equivalent circuit models revealed that the corrosion resistance of nickel films considerably improved with an increase in the hydrophobicity. The superhydrophobic surface also exhibited an excellent long-term durability in neutral 3.5 wt.% NaCl solution.
Nickel nanostructured materials from liquid phase photodeposition
International Nuclear Information System (INIS)
Giuffrida, Salvatore; Condorelli, Guglielmo G.; Costanzo, Lucia L.; Ventimiglia, Giorgio; Nigro, Raffaella Lo; Favazza, Maria; Votrico, Enrico; Bongiorno, Corrado; Fragala, Ignazio L.
2007-01-01
Liquid Phase Photo-Deposition (LPPD) technique has been used to obtain both colloidal particles and thin films of metallic and chloride nickel from solutions of only precursor Ni(acac) 2 (acac=2,4-pentandionato). Metallic nickel was obtained from ethanol solutions by direct nickel(II) photoreduction at 254 nm and by acetone sensitised reaction at 300 nm. In this latter process the rate was higher than in the first one. NiCl 2 was formed from CCl 4 solution by a solvent-initiated reaction. TEM analysis, performed on colloidal particles of nickel, showed that their dimensions are in the range 2-4 nm. The films did not present carbon contamination and were characterized by AFM, XPS and GIXRD. Metallic films consisted of particles of 20-40 nm that are the result of the aggregation of smaller crystallites (4-5 nm). Larger agglomerations (around 200 nm) have been observed for NiCl 2 films
Nickel nanostructured materials from liquid phase photodeposition
Energy Technology Data Exchange (ETDEWEB)
Giuffrida, Salvatore, E-mail: sgiuffrida@unict.it; Condorelli, Guglielmo G.; Costanzo, Lucia L.; Ventimiglia, Giorgio [Universita degli Studi di Catania and INSTM UdR di, Dipartimento di Scienze Chimiche (Italy); Nigro, Raffaella Lo [IMM-CNR (Italy); Favazza, Maria; Votrico, Enrico [Universita degli Studi di Catania and INSTM UdR di, Dipartimento di Scienze Chimiche (Italy); Bongiorno, Corrado [IMM-CNR (Italy); Fragala, Ignazio L. [Universita degli Studi di Catania and INSTM UdR di, Dipartimento di Scienze Chimiche (Italy)
2007-08-15
Liquid Phase Photo-Deposition (LPPD) technique has been used to obtain both colloidal particles and thin films of metallic and chloride nickel from solutions of only precursor Ni(acac){sub 2} (acac=2,4-pentandionato). Metallic nickel was obtained from ethanol solutions by direct nickel(II) photoreduction at 254 nm and by acetone sensitised reaction at 300 nm. In this latter process the rate was higher than in the first one. NiCl{sub 2} was formed from CCl{sub 4} solution by a solvent-initiated reaction. TEM analysis, performed on colloidal particles of nickel, showed that their dimensions are in the range 2-4 nm. The films did not present carbon contamination and were characterized by AFM, XPS and GIXRD. Metallic films consisted of particles of 20-40 nm that are the result of the aggregation of smaller crystallites (4-5 nm). Larger agglomerations (around 200 nm) have been observed for NiCl{sub 2} films.
Post-deposition treatments of plasma-sprayed YBaCuO coatings deposited on nickel
Energy Technology Data Exchange (ETDEWEB)
Dube, D; Lambert, P; Arsenault, B; Champagne, B [National Research Council of Canada, Boucherville, PQ (Canada)
1990-12-15
As-sprayed YBaCuO coatings do not exhibit superconductivity because of the non-equilibrium solidification conditions of molten particles on the substrate and to the deposit's loss of oxygen. Therefore post-deposition treatments are required to restore the superconductivity. In this study, post-deposition treatments were carried out on thick YBaCuO coatings (200 {mu}m) deposited on cold nickel substrates to modify their microstructure, to restore the oxygen content and to improve their superconducting properties. These treatments consist in heating the coatings at various temperatures above 950deg C followed by controlled solidification cycles. The effect of these treatments on the microstructure of the coatings was assessed and the interaction between the coatings and the nickel substrate was also examined. Solidification cycles including a low cooling rate near the non-congruent melting temperature of YBa{sub 2}Cu{sub 3}O{sub x} and involving a temperature gradient were carried out to create a texture. (orig.).
Energy Technology Data Exchange (ETDEWEB)
Ramkumar, S. [Bannari Amman Institute of Technology, Department of Physics, Erode, Tamilnadu (India); Rajarajan, G. [Vidhya Mandhir Institute of Technology, Department of Physics, Erode, Tamilnadu (India)
2017-06-15
Nanocrystalline of pristine and nickel (Ni)-doped tungsten trioxide (WO{sub 3}) thin films was deposited by chemical bath deposition method. The concentrations of Ni ions were varied from 0 to 10 wt%. In order to improve the crystallinity of the films were annealed at 600 C for 2 h in the ambient atmosphere. X-ray diffraction results reveal that the WO{sub 3} doped with nickel crystallizes in monoclinic structure and the results are in good agreement with the standard JCPDS data (card no: 83-0951). AFM micrographs reveal that average grain size of about 27-39 nm for pure and Ni-doped WO{sub 3} thin films. In addition, the band gap of the Ni-doped WO{sub 3} nanostructures is facilely tunable by controlling the Ni contents. The humidity sensor setup was fabricated and measured for pure and Ni-doped WO{sub 3} thin film sensor with various level of RH (10-90%). The Ni-doped WO{sub 3} sensor showed fast response and high sensitivity than pure WO{sub 3}. The photocatalytic activities of the films were evaluated by degradation of methyl orange, methylene blue and phenol in an aqueous solution under visible light irradiation. The photocatalytic activity of WO{sub 3} nanostructures could be remarkably enhanced by doping the Ni impurity. (orig.)
International Nuclear Information System (INIS)
Huh, Jin; Lee, Jae Ho
2000-01-01
Electroless depositions of nickel were conducted in different bath conditions to find optimum conditions of electroless nickel plating at low operating temperature and pH. The effect of complexing reagent on stability of plating solution was investigated. Sodium citrate complexed plating solution is more stable than sodium pyrophosphate complexed solution. The effects of nickel salt concentration, reducing agent, complexing agent and inhibitor on deposition rate was investigated. The effects of pH on deposition rate and content of phosphorous in deposited nickel were also analyzed. Electroless deposited nickel become crystallized with increasing pH due to lower phosphorous content. In optimum operating bath condition, deposition rate was 7 μm/hr at 60 .deg. C and pH 10.0 without stabilizer. The rate was decreased with stabilizer concentration
International Nuclear Information System (INIS)
Uudeküll, Peep; Kozlova, Jekaterina; Mändar, Hugo; Link, Joosep; Sihtmäe, Mariliis; Käosaar, Sandra; Blinova, Irina; Kasemets, Kaja; Kahru, Anne; Stern, Raivo; Tätte, Tanel; Kukli, Kaupo; Tamm, Aile
2017-01-01
Spherical nickel particles with size in the range of 100–400 nm were synthesized by non-aqueous liquid phase benzyl alcohol method. Being developed for magnetically guided biomedical applications, the particles were coated by conformal and antimicrobial thin titanium oxide films by atomic layer deposition. The particles retained their size and crystal structure after the deposition of oxide films. The sensitivity of the coated particles to external magnetic fields was increased compared to that of the uncoated powder. Preliminary toxicological investigations on microbial cells and small aquatic crustaceans revealed non-toxic nature of the synthesized particles.
Energy Technology Data Exchange (ETDEWEB)
Uudeküll, Peep, E-mail: peep.uudekull@ut.ee [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); Kozlova, Jekaterina; Mändar, Hugo [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); Link, Joosep [Laboratory of Chemical Physics, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Sihtmäe, Mariliis [Laboratory of Environmental Toxicology, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Käosaar, Sandra [Laboratory of Environmental Toxicology, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Faculty of Chemical and Materials Technology, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Blinova, Irina; Kasemets, Kaja; Kahru, Anne [Laboratory of Environmental Toxicology, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Stern, Raivo [Laboratory of Chemical Physics, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Tätte, Tanel [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); Kukli, Kaupo [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Tamm, Aile [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia)
2017-05-01
Spherical nickel particles with size in the range of 100–400 nm were synthesized by non-aqueous liquid phase benzyl alcohol method. Being developed for magnetically guided biomedical applications, the particles were coated by conformal and antimicrobial thin titanium oxide films by atomic layer deposition. The particles retained their size and crystal structure after the deposition of oxide films. The sensitivity of the coated particles to external magnetic fields was increased compared to that of the uncoated powder. Preliminary toxicological investigations on microbial cells and small aquatic crustaceans revealed non-toxic nature of the synthesized particles.
Energy Technology Data Exchange (ETDEWEB)
Naponiello, Gaia; Venditti, Iole [Department of Chemistry, Sapienza University of Rome P.le A. Moro 5, 00185 Rome (Italy); Zardetto, Valerio [Centre for Hybrid and Organic Solar Energy, Department of Electronic Engineering, University of Rome - Tor Vergata, via del Politecnico 1, 00133 Rome (Italy); Saccone, Davide [Department of Chemistry and NIS, Interdepartmental Centre of Excellence, University of Torino, via Pietro Giuria 7, I-10125 Torino (Italy); Di Carlo, Aldo [Centre for Hybrid and Organic Solar Energy, Department of Electronic Engineering, University of Rome - Tor Vergata, via del Politecnico 1, 00133 Rome (Italy); Fratoddi, Ilaria [Department of Chemistry, Sapienza University of Rome P.le A. Moro 5, 00185 Rome (Italy); Center for Nanotechnology for Engineering (CNIS), Sapienza University of Rome P.le A. Moro 5, 00185 Rome (Italy); Barolo, Claudia [Department of Chemistry and NIS, Interdepartmental Centre of Excellence, University of Torino, via Pietro Giuria 7, I-10125 Torino (Italy); Dini, Danilo, E-mail: danilo.dini@uniroma1.it [Department of Chemistry, Sapienza University of Rome P.le A. Moro 5, 00185 Rome (Italy)
2015-11-30
Graphical abstract: Screen-printing method has been adopted for the deposition of nickel oxide thin film electrodes with mesoporous features. Nickel oxide was sensitized with three newly synthesized squaraines (VG1C8,VG10C8 and DS2/35) and employed as photoelectroactive cathode of p-type dye-sensitized solar cells. Colorant erythrosine b (EB) was taken as commercial benchmark for comparative purposes. Sensitization was successful with the attainment of overall conversion efficiencies in the order of 0.025% when the mesoporous surface of nickel oxide was alkali treated. The prolongation of nickel oxide sensitization time up to 16 h led to a general increase of the open circuit voltage in the corresponding solar cells. - Highlights: • We deposited nickel oxide with screen-printing technique utilizing nickel oxide nanoparticles. • We employed screen-printed nickel oxide as cathodes of p-DSCs. • We employed new squaraine as sensitizers of screen-printed nickel oxide. • Further progress is expected when the formulation of the screen-printing paste will be optimized. - Abstract: In the present paper we report on the employment of the screen-printing method for the deposition of nickel oxide (NiO{sub x}) layers when preformed nanoparticles of the metal oxide (diameter < 50 nm) constitute the precursors in the paste. The applicative purpose of this study is the deposition of mesoporous NiO{sub x} electrodes in the configuration of thin films (thickness, l ≤ 4 μm) for the realization of p-type dye-sensitized solar cells (p-DSCs). Three different squaraine-based dyes (here indicated with VG1C8, VG10C8 and DS2/35), have been used for the first time as sensitizers of a p-type DSC electrode. VG1C8 and VG10C8 present two carboxylic groups as anchoring moieties, whereas DS2/35 sensitizer possesses four acidic anchoring groups. All three squaraines are symmetrical and differ mainly for the extent of electronic conjugation. The colorant erythrosine b (ERY B) was taken as
Shang, Xiao; Yan, Kai-Li; Lu, Shan-Shan; Dong, Bin; Gao, Wen-Kun; Chi, Jing-Qi; Liu, Zi-Zhang; Chai, Yong-Ming; Liu, Chen-Guang
2017-09-01
Developing cost-effective electrocatalysts with both high activity and stability remains challenging for oxygen evolution reaction (OER) in water electrolysis. Herein, based on V-doped nickel sulfide nanowire on nickel foam (NiVS/NF), we further conduct controllable electrodeposition of Fe hydroxides film on NiVS/NF (eFe/NiVS/NF) to further improve OER performance and stability. For comparison, ultrafast chemical deposition of Fe hydroxides on NiVS/NF (uFe/NiVS/NF) is also utilized. V-doping of NiVS/NF may introduce more active sites for OER, and nanowire structure can expose abundant active sites and facilitate mass transport. Both of the two depositions generate amorphous Fe hydroxides film covering on the surface of nanowires and lead to enhanced OER activities. Furthermore, electrodeposition strategy realizes uniform Fe hydroxides film on eFe/NiVS/NF confirmed by superior OER activity of eFe/NiVS/NF than uFe/NiVS/NF with relatively enhanced stability. The OER activity of eFe/NiVS/NF depends on various electrodepositon time, and the optimal time (15 s) is obtained with maximum OER activity. Therefore, the controllable electrodeposition of Fe may provide an efficient and simple strategy to enhance the OER properties of electrocatalysts.
International Nuclear Information System (INIS)
Evdokimova, N.V.; Byacheslavov, P.M.; Lokshtanova, O.G.
1979-01-01
The results of kinetic regularities experimental investigations during electrodeposition of rhodium-nickel and rhonium-indium alloys are presented. Methods of general and partial polarization curves have been used to show the nature of polarization during the rhonium-nickel and rhodium-indium alloys deposition. It is shown that indium into the rhodium-indium alloy and nickel into the rhodium-nickel alloy deposit with great depolarization ( PHIsub(In)sup(0)=-0.33B, PHIsub(Ni)sup(0)=-0.23B). Indium and nickel in pure form do not deposit from the electrolytes of the given composition (H 2 SO 4 - 50 g/l, HNH 2 SO 3 -10 g/l). The recalculation of partial polarization curve of indium precipitation into the rhodium-indium alloy in the mixed kinetics coordinates gives a straight line with 40 mV inclination angle. This corresponds to the delayed stage of the second electron addition with the imposition of diffusion limitations
International Nuclear Information System (INIS)
Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu
2004-01-01
The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications
Energy Technology Data Exchange (ETDEWEB)
Birjega, R. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Vlad, A., E-mail: angela.vlad@gmail.com [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Matei, A.; Ion, V.; Luculescu, C.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Zavoianu, R. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania)
2016-09-01
Layered double hydroxides (LDHs) are a class of layered materials consisting of positively charged brucite-like layers and exchangeable interlayer anions. Layered double hydroxides containing a transition metal which undergoes a reversible redox reaction in the useful potential range have been proposed as electrode coating materials due to their properties of charge transport and redox catalysts in basic solutions. Ni–Al,(Ni,Mg)–Al and, as reference, non-electronically conductive Mg–Al double hydroxides thin films were obtained via pulsed laser deposition technique. The thin films were deposited on different substrates (Si, glass) by using a Nd:YAG laser (1064 nm) working at a repetition rate of 10 Hz. X-ray diffraction, Atomic Force Microscopy, Energy Dispersive X-ray spectroscopy, Fourier Transform Infra-Red Spectroscopy, Secondary Ions Mass Spectrometry, Impedance Analyzer and ellipsometry were the techniques used for the as deposited thin films investigation. The optical properties of Ni based LDH thin films and the effect of the Ni amount on the structural, morphological and optical response are evidenced. The optical band gap values, covering a domain between 3.84 eV and 4.38 eV, respond to the Ni overall concentration: the higher Ni amount the lower the band gap value. - Highlights: • Ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films were deposited. • The effect of the nickel is evidenced. • The possibility to tailor the materials accompanied by an optical response is shown.
Improvements of Nickel Deposit Characteristics by Pulse Plating
DEFF Research Database (Denmark)
Tang, Peter Torben; Leisner, Peter; Møller, Per
1993-01-01
Investigation of the properties of electroplated nickel, using both pulse plating and conventional di-rect current (DC), has lead to several interesting improvements of deposit characteristics. Investigated properties include; internal stress, tensile strength, yield stress, elongation, hardness...
Directory of Open Access Journals (Sweden)
Hae-Min Lee
2014-01-01
Full Text Available Manganese-nickel (Mn-Ni oxide films were electrodeposited on a graphite sheet in a bath consisting of manganese acetate and nickel chloride, and the structural, morphological, and electrochemical properties of these films were investigated. The electrodeposited Mn-Ni oxide films had porous structures covered with nanofibers. The X-ray diffractometer pattern revealed the presence of separate manganese oxide (g-MnO2 and nickel oxide (NiO in the films. The electrodeposited Mn-Ni oxide electrode exhibited a specific capacitance of 424 F/g in Na2SO4 electrolyte. This electrode maintained 86% of its initial specific capacitance over 2000 cycles of the charge-discharge operation, showing good cycling stability.
Laser deposition of HTSC films
International Nuclear Information System (INIS)
Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.
1990-01-01
Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs
ITO thin films deposited by advanced pulsed laser deposition
International Nuclear Information System (INIS)
Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares
2007-01-01
Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%
Kartal, Muhammet; Uysal, Mehmet; Gul, Harun; Alp, Ahmet; Akbulut, Hatem
2015-11-01
A nickel plating bath containing WC particles was used to obtain hard and wear-resistant particle reinforced Ni/WC MMCs on steel surfaces for anti-wear applications. Copper substrates were used for electro co-deposition of Ni matrix/WC with the particle size of <1 μm tungsten carbide reinforcements. The influence of surfactant (sodium dodecyl sulfate, SDS) concentration on particle distribution, microhardness and wear resistance of composite coatings has been studied. The nickel films were characterized by scanning electron microscopy (SEM) and X-ray diffraction (XRD). The effects of the surfactant on the zeta potential, co-deposition and distribution of WC particles in the nickel matrix, as well as the tribological properties of composite coatings were also investigated. The tribological behaviors of the electrodeposited WC composite coatings sliding against M50 steel ball (Ø 10 mm) were examined on a CSM Instrument. All friction and wear tests were performed without lubrication at room temperature and in the ambient air (relative humidity 55-65%).
Energy Technology Data Exchange (ETDEWEB)
Akhtar, Muhammad Saeed [School of Materials, The University of Manchester, Oxford Road, Manchester M13 9PL (United Kingdom); Centre of Excellence in Solid State Physics, University of the Punjab, Lahore 54590 (Pakistan); Malik, Mohammad Azad, E-mail: Azad.malik@manchester.ac.uk [School of Materials, The University of Manchester, Oxford Road, Manchester M13 9PL (United Kingdom); Riaz, Saira; Naseem, Shahzad [Centre of Excellence in Solid State Physics, University of the Punjab, Lahore 54590 (Pakistan)
2015-06-15
The nickel doped nanocrystalline ZnS thin films were deposited onto glass substrates by chemical bath deposition (CBD). Also ZnS:Ni nanoparticles were synthesized by CBD/co-precipitation method. Powder X-ray diffraction (p-XRD) studies demonstrate that both thin films and nanoparticles correspond to sphalerite (cubic) phase of ZnS with slight shift towards higher 2θ values due to incorporation of nickel in the ZnS lattice. The crystallite sizes estimated by Scherrer equation were 4 and 2.6 nm for ZnNiS thin films and nanoparticles, respectively. Scanning Electron Microscopy (SEM) images reveal that the morphology of thin films is based on quasi-spherical particles with nano scale dimensions. Energy Dispersive X-ray (EDX) spectroscopy confirms that the as-deposited thin films have a stoichiometry consistent with the nickel doped ZnS. Full-potential linearized augmented plane wave (FP-L/APW) method based on spin-polarized density functional theory (DFT) was employed to investigate the electronic and magnetic properties of ZnNiS for the doping concentration. Exchange-correlation functional was studied using generalized gradient approximation (GGA + U) method. Electronic band structures and density of states (DOS) demonstrate 100% spin polarization (half metallicity) with ferromagnetic exchange interactions. Superconducting quantum interference device (SQUID) analysis confirms the theoretical observation of ferromagnetism in nickel doped ZnS. These ZnS based half metallic ferromagnets seem to have virtuous applications in future spintronic devices. - Highlights: • ZnS.Ni thin films and nanoparticles were deposited onto glass substrates by CBD. • p-XRD correspond to sphalerite (cubic) phase of ZnS with slight shift in peaks. • DFT was employed to investigate the properties of ZnS.Ni. • DOS demonstrate 100% spin polarization with ferromagnetic exchange interactions. • SQUID analysis confirms the theoretical observations of nickel doped ZnS.
Ion beam assisted deposition of nano-structured C:Ni films
Energy Technology Data Exchange (ETDEWEB)
Abrasonis, G.; Muecklich, A.; Heller, R.; Heinig, K.H.; Gemming, S.; Moeller, W. [Helmholtz-Zentrum Dresden-Rossendorf, Dresden (Germany); Krause, M. [Helmholtz-Zentrum Dresden-Rossendorf, Dresden (Germany); Institute of Physics, TU Dresden (Germany)
2012-07-01
Nanostructures influence material properties dramatically due to size, shape and interface effects. Thus the control of the structure at the nanoscale is a key issue in nanomaterials science. The interaction of hyperthermal ions with solids is confined to the nanometer scale. Thus, it can be used to control the morphology evolution during multiphase film deposition. Ion-induced displacements occur in a thin surface layer of the growing film where they increase the atomic mobility for the phase separation. Here the growth-structure relationship of C:Ni (15 at.%) nanocomposite films grown by oblique incidence (45 ) ion beam assisted deposition is reported. The influences of the flux of an assisting Ar+ ion beam (0-140 eV) as well as of an elevated substrate temperature have been studied. The formation of elongated nickel nanoparticles is strongly promoted by the ion beam assistance. Moreover, the metal nanocolumns no longer align with the advancing surface, but with the incoming ions. A window of conditions is established within which the ion assistance leads to the formation of regular composition modulations with a well defined periodicity and tilt. As the dominating driving force for the pattern formation is of physical origin, this approach might be applicable to other immiscible systems.
Sarkar, Arup; Suresh, K. A.
2018-04-01
We find negative differential resistance (NDR) at room temperature in ultrathin films of nickel (II) 1,4,8,11,15,18,22,25-octabutoxy-29H,31H-phthalocyanine [NiPc(OBu)8] deposited on highly ordered pyrolytic graphite (HOPG) substrate [NiPc(OBu)8/HOPG] and NiPc(OBu)8 on graphene oxide (GO) deposited on HOPG [NiPc(OBu)8/GO/HOPG]. For the NiPc(OBu)8/HOPG system, NiPc(OBu)8 was transferred four times onto HOPG by the Langmuir-Blodgett (LB) technique. We have prepared a stable Langmuir monolayer of amphiphilic GO at the air-water interface and transferred it onto HOPG by the LB technique. Further, the monolayer of NiPc(OBu)8 was transferred four times for good coverage on GO to obtain the NiPc(OBu)8/GO/HOPG system. The current-voltage characteristics were carried out using a current sensing atomic force microscope (CSAFM) with a platinum (Pt) tip that forms Pt/NiPc(OBu)8/HOPG and Pt/NiPc(OBu)8/GO/HOPG junctions. The CSAFM, UV-visible spectroscopy, and cyclic voltammetry studies show that the NDR effect occurs due to molecular resonant tunneling. In the Pt/NiPc(OBu)8/GO/HOPG junction, we find that due to the presence of GO, the features of NDR become more prominent. Also, GO causes a shift in NDR voltage towards a lower value in the negative bias direction. We attribute this behavior to the role of GO in injecting holes into the NiPc(OBu)8 film.
Super-hydrophobic nickel films with micro-nano hierarchical structure prepared by electrodeposition
International Nuclear Information System (INIS)
Hang Tao; Hu Anmin; Ling Huiqin; Li Ming; Mao Dali
2010-01-01
Super-hydrophobic nickel films were prepared by a simple and low cost electrodepositing method. The surface morphologies of the films characterized by scanning electronic microscope exhibit hierarchical structure with micro-nanocones array, which can be responsible for their super-hydrophobic characteristic (water contact angle over 150 o ) without chemical modification. The wettability of the film can be varied from super-hydrophobic (water contact angle 154 o ) to relatively hydrophilic (water contact angle 87 o ) by controlling the size of the micro-nanocones. The mechanism of the hydrophobic characteristic of nickel films with this unique structure was illustrated by several models. Such micro-nanostructure and its special wettability are expected to be applied in the practical industry.
Energy Technology Data Exchange (ETDEWEB)
Kartal, Muhammet, E-mail: kartal@sakarya.edu.tr [Sakarya University, Engineering Faculty, Metallurgical & Materials Engineering Department, Esentepe Campus, 54187 Sakarya (Turkey); Uysal, Mehmet [Sakarya University, Engineering Faculty, Metallurgical & Materials Engineering Department, Esentepe Campus, 54187 Sakarya (Turkey); Gul, Harun [Duzce University, Gumusova Vocational School, 81850 Duzce (Turkey); Alp, Ahmet; Akbulut, Hatem [Sakarya University, Engineering Faculty, Metallurgical & Materials Engineering Department, Esentepe Campus, 54187 Sakarya (Turkey)
2015-11-01
Highlights: • Effect of surfactant concentration on the co-deposited WC was investigated. • In the Ni matrix significantly high hardness was achieved by WC co-deposition. • Optimum surfactant resulted in obtaining superior wear resistance in the Ni. • Friction coefficient was decreased by WC co-deposition in the Ni matrix. - Abstract: A nickel plating bath containing WC particles was used to obtain hard and wear-resistant particle reinforced Ni/WC MMCs on steel surfaces for anti-wear applications. Copper substrates were used for electro co-deposition of Ni matrix/WC with the particle size of <1 μm tungsten carbide reinforcements. The influence of surfactant (sodium dodecyl sulfate, SDS) concentration on particle distribution, microhardness and wear resistance of composite coatings has been studied. The nickel films were characterized by scanning electron microscopy (SEM) and X-ray diffraction (XRD). The effects of the surfactant on the zeta potential, co-deposition and distribution of WC particles in the nickel matrix, as well as the tribological properties of composite coatings were also investigated. The tribological behaviors of the electrodeposited WC composite coatings sliding against M50 steel ball (Ø 10 mm) were examined on a CSM Instrument. All friction and wear tests were performed without lubrication at room temperature and in the ambient air (relative humidity 55–65%).
Initial deposition mechanism of electroless nickel plating on AZ91D magnesium alloys
International Nuclear Information System (INIS)
Song, Y.; Shan, D.; Han, E.
2006-01-01
The pretreatment processes and initial deposition mechanism of electroless nickel plating on AZ91D magnesium alloy were investigated by scanning electron microscopy (SEM) and energy dispersive X-ray (EDX). The results showed that alkaline cleaning could remove the greases and oils from the substrate surface. Acid etching could wipe off the metal chippings and oxides. The hydrofluoric acid activating process which could improve the adhesion of coating to substrate played a key role in the subsequent process of electroless nickel plating. The nickel coating was deposited preferentially on the primary α phase and then spread to the eutectic α phase and β phase. The nickel initially nucleated on the primary α phase by a replacement reaction, then grew depending on the autocatalysis function of nickel. The coating on the β phase displayed better adhesion than that on the α phase due to the nails fixing effect. (author)
Energy Technology Data Exchange (ETDEWEB)
Mani Menaka, S., E-mail: manimenaka.phy@gmail.com [PG and Research Department of Physics, Government Arts College, Coimbatore, 641018, Tamilnadu (India); Umadevi, G. [PG and Research Department of Physics, Government Arts College, Coimbatore, 641018, Tamilnadu (India); Manickam, M. [SRMV College of Arts and Science, Coimbatore, 641020, Tamilnadu (India)
2017-04-15
The spray pyrolysis (SP) technique is an important and powerful method for the preparation of nickel oxide (NiO) and copper-doped nickel oxide thin films. The best films were obtained when the substrate temperature, T{sub s} = 450 °C on glass substrates. Copper (Cu) concentrations in the films were varied from 0 to 8%. The effect of Cu concentration on the structural, morphological, spectral, optical, and electrical properties of the thin films were studied by X-ray diffraction (XRD), Scanning electron microscopy (SEM), Fourier transformed infrared spectroscopy (FTIR), UV–vis–NIR spectrophotometer, Hot probe and Hall system. The X-ray diffraction result shows the polycrystalline cubic structure of sprayed films with (200) preferred orientation. The variations of the structural parameters such as lattice parameters and grain sizes were investigated. The SEM image displays the surface morphology of the NiO and Cu:NiO thin films. The FTIR of the as-deposited films were associated with chemical identification. The optical transmittance and absorbance spectra of the films were measured by UV–vis–NIR spectrophotometer. The absorption coefficient and band gaps of the films were calculated using the optical method. All the NiO and Cu:NiO films were p-type. The resistivity of the above films decreases with the increase in copper concentration and so the conductivity of the films depend on the precursor concentration. - Highlights: • Pure and Cu:NiO films were deposited by Spray pyrolysis technique. • The XRD result shows the polycrystalline nature of pure and Cu:NiO films. • The formation of pure and Cu:NiO were confirmed by FTIR analysis. • Band gap values of pure and Cu:NiO decreases. • All the pure and Cu:NiO films were p-type.
International Nuclear Information System (INIS)
Mani Menaka, S.; Umadevi, G.; Manickam, M.
2017-01-01
The spray pyrolysis (SP) technique is an important and powerful method for the preparation of nickel oxide (NiO) and copper-doped nickel oxide thin films. The best films were obtained when the substrate temperature, T_s = 450 °C on glass substrates. Copper (Cu) concentrations in the films were varied from 0 to 8%. The effect of Cu concentration on the structural, morphological, spectral, optical, and electrical properties of the thin films were studied by X-ray diffraction (XRD), Scanning electron microscopy (SEM), Fourier transformed infrared spectroscopy (FTIR), UV–vis–NIR spectrophotometer, Hot probe and Hall system. The X-ray diffraction result shows the polycrystalline cubic structure of sprayed films with (200) preferred orientation. The variations of the structural parameters such as lattice parameters and grain sizes were investigated. The SEM image displays the surface morphology of the NiO and Cu:NiO thin films. The FTIR of the as-deposited films were associated with chemical identification. The optical transmittance and absorbance spectra of the films were measured by UV–vis–NIR spectrophotometer. The absorption coefficient and band gaps of the films were calculated using the optical method. All the NiO and Cu:NiO films were p-type. The resistivity of the above films decreases with the increase in copper concentration and so the conductivity of the films depend on the precursor concentration. - Highlights: • Pure and Cu:NiO films were deposited by Spray pyrolysis technique. • The XRD result shows the polycrystalline nature of pure and Cu:NiO films. • The formation of pure and Cu:NiO were confirmed by FTIR analysis. • Band gap values of pure and Cu:NiO decreases. • All the pure and Cu:NiO films were p-type.
Electrolytic nickel deposits upon uranium; Depot electrolytique de nickel sur l'uraniun
Energy Technology Data Exchange (ETDEWEB)
Baudin, G; Chauvin, G; Coriou, H; Hure, J [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires
1958-07-01
The authors present a new possibility to protect uranium by very adherent nickel deposits got by aqueous medium electrolysis. Surface treatment of uranium is based upon the chemical etching method from Lietazke. After thermal treatments at 600, 700 and 800 deg. C, under vacuum, a good intermetallic U-Ni diffusion is observed for each case. (author) [French] Les auteurs mettent en evidence une possibilite nouvelle de protection de l'uranium par des depots tres adherents de nickel realises par electrolyse en milieu aqueux. La preparation de surface de l'uranium est basee sur la methode du decapage chimique de Lietazke. Apres des traitements thermiques a 600, 700 et 800 deg. C, sous vide, on constate dans tous les cas une bonne diffusion intermetallique U-Ni. (auteur)
Nanostructured nickel doped β-V{sub 2}O{sub 5} thin films for supercapacitor applications
Energy Technology Data Exchange (ETDEWEB)
Jeyalakshmi, K. [Department of Physics, PSNA College of Engineering and Technology, Dindigul 624622 (India); Vijayakumar, S. [Department of Physics, Gandhigram Rural Institute, Gandhigram 624302 (India); Purushothaman, K.K. [Department of Physics, TRP Engineering College, Trichy (India); Muralidharan, G., E-mail: muralg@rediffmail.com [Department of Physics, Gandhigram Rural Institute, Gandhigram 624302 (India)
2013-07-15
Graphical abstract: - Highlights: • Nanorod with pores has been observed for 5 wt.% nickel doped β-V{sub 2}O{sub 5} thin films. • Film with 5 wt.% of nickel exhibits a specific capacitance of 417 F g{sup −1}. • These films exhibit high energy density. • The charge transfer resistance is 103 Ω. - Abstract: Interesting thin film electrodes of nickel doped vanadium pentoxide with different levels of doping (2.5–10 wt.%) are prepared on FTO and glass substrate at 300 °C using sol–gel spin coating method. The structural and morphological studies are made to understand the nature of the surface of the thin films. The electrochemical characteristics have been investigated through cyclic voltammetry and ac impedance spectroscopy measurements. The doping of nickel with β-V{sub 2}O{sub 5} has led to enhanced intercalation and deintercalation of ions. β-V{sub 2}O{sub 5} films with 5 wt.% of Ni exhibit the maximum specific capacitance of 417 F/g at a scan rate of 5 mV/s, with a good cyclic stability making it a promising candidate for supercapacitor application.
Preparation by thermal evaporation under vacuum of thin nickel films without support
International Nuclear Information System (INIS)
Prugne, P.; Garin, P.; Lechauguette, G.
1959-01-01
This note deals with the preparation of nickel films without support by means of the technique described but using a new evaporation apparatus. In effect it was necessary, in order to obtain these nickel films, to modify the thermal evaporation conditions. An attempt to obtain a film without support after evaporation in a conventional apparatus led almost invariably to defeat. This appeared to be due to the high concentration of oxygen and of various vapors (diffusion pumps, degassing, etc.) present in the residual atmosphere of the conventional evaporation system. Reprint of a paper published in 'Le Vide, N. 74, March-April 1958, p. 82-83
P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer
Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih
2018-01-01
The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.
Understanding Nickel Thin Film crystallization using X-Ray ...
African Journals Online (AJOL)
The microstructures of these Ni films were studied using X-ray diffractometry technique. The X-ray diffraction (XRD) patterns depicted 100% and 42% relative intensity (RI) peaks identified for normal and helical deposited Ni films but none for the zigzag deposited Ni film. Higher degree of crystallinity of Ni was demonstrated ...
International Nuclear Information System (INIS)
Samardak, Alexander; Sukovatitsina, Ekaterina; Ognev, Alexey; Stebliy, Maksim; Davydenko, Alexander; Chebotkevich, Ludmila; Keun Kim, Young; Nasirpouri, Forough; Janjan, Seyed-Mehdi; Nasirpouri, Farzad
2014-01-01
Magnetic states of nickel nanogranular films were studied in two distinct structures of individual and agglomerated granules electrodeposited on n-type Si(1 1 1) surface from a modified Watts bath at a low pH of 2. Magnetic force microscopy and micromagnetic simulations revealed three-dimensional out-of-plane magnetic vortex states in stand-alone hemispherical granules and their arrays, and multi-domain patterns in large agglomerates and integrated films. Once the granules coalesce into small chains or clusters, the coercivity values increased due to the reduction of inter-granular spacing and strengthening of the magnetostatic interaction. Further growth leads to the formation of a continuous granulated film which strongly affected the coercivity and remanence. This was characterized by the domain wall nucleation and propagation leading to a stripe domain pattern. Magnetoresistance measurements as a function of external magnetic field are indicative of anisotropic magnetoresistance (AMR) for the continuous films electrodeposited on Si substrate. - Highlights: • Magnetic states of electrodeposited nickel in isolated spherical and agglomerated nanogranules, and a continuous film. • Preferential magnetization reversal mechanism in isolated granules is vortex state. • Micromagnetic simulations confirm the three-dimensional vortex. • Transition between the vortex state and multi-domain magnetic pattern causes a significant decrease in the coercive force. • Continuous nickel films electrodeposited on silicon substrate exhibit AMR whose magnitude increases with the film thickness
International Nuclear Information System (INIS)
Nan Junmin; Yang Yong; Lin Zugeng
2006-01-01
The oxide films of nickel electrode formed in 30 wt.% KOH solution under potentiodynamic conditions were characterized by means of electrochemical, in situ PhotoElectrochemistry Measurement (PEM) and Confocal Microprobe Raman spectroscopic techniques. The results showed that a composite oxide film was produced on nickel electrode, in which aroused cathodic or anodic photocurrent depending upon polarization potentials. The cathodic photocurrent at -0.8 V was raised from the amorphous film containing nickel hydroxide and nickel monoxide, and mainly attributed to the formation of NiO through the separation of the cavity and electron when laser light irradiates nickel electrode. With the potential increasing to more positive values, Ni 3 O 4 and high-valence nickel oxides with the structure of NiO 2 were formed successively. The composite film formed in positive potential aroused anodic photocurrent from 0.33 V. The anodic photocurrent was attributed the formation of oxygen through the cavity reaction with hydroxyl on solution interface. In addition, it is demonstrated that the reduction resultants of high-valence nickel oxides were amorphous, and the oxide film could not be reduced completely. A stable oxide film could be gradually formed on the surface of nickel electrode with the cycling and aging in 30 wt.% KOH solution
Yu, Qian; Zhou, Tianfeng; Jiang, Yonggang; Yan, Xing; An, Zhonglie; Wang, Xibin; Zhang, Deyuan; Ono, Takahito
2018-03-01
To improve the mechanical properties of nickel-phosphorus (Ni-P) mold material for glass molding, an ultrasonic-assisted electroless plating method is proposed for the synthesis of graphene-enhanced nickel-phosphorus (G-Ni-P) composite films on heat-resistant stainless steel (06Cr25Ni20). Graphene flakes are prepared by an electrochemical exfoliation method. The surface roughness of the as-plated G-Ni-P composite plating is Ra 2.84 μm, which is higher than that of the Ni-P plating deposited using the same method. After annealing at 400 ºC for 2 h, the main phase of the G-Ni-P composite is transformed to crystalline Ni3P with an average grain size of 32.8 nm. The Vickers hardness and Young's modulus of the G-Ni-P composite are increased by 8.0% and 8.2% compared with the values of Ni-P, respectively. The detailed plating process is of great significance for the fabrication of G-Ni-P mold materials with enhanced mechanical properties.
Directory of Open Access Journals (Sweden)
Jun Gou
2015-06-01
Full Text Available Enhanced terahertz (THz absorption of NiCr film deposited on a dielectric substrate has been proven by applying a reactive ion etching (RIE treatment to the dielectric film. Nano – scale nickel – chromium (NiCr thin films are deposited on RIE treated silicon dioxide (SiO2 dielectric substrates to study the transmission and reflection characteristics. Experimental results suggest that both transmission and reflection of NiCr film are weakened by the RIE treatment. The most significant decrease of transmission is observed in 1 ~ 4 THz while that of reflection occurs in 1.7 ~ 2.5 THz band. The decrease of both transmission and reflection is more significant for NiCr film with higher thickness. The RIE treatment, which induces nano – scale surface structures and increases the effective surface area of NiCr film, enhances the absorption and weakens the transmission and reflection of THz radiation.DOI: http://dx.doi.org/10.5755/j01.ms.21.2.6131
Directory of Open Access Journals (Sweden)
Yufang Niu
2014-01-01
Full Text Available Nickel nanoparticles were electrochemically deposited on indium-tin oxide (ITO coated glass plate in a modified Watt’s electrolyte. The surface-enhanced infrared absorption (SEIRA effect of the nanoparticles was evaluated by attenuated total reflection spectroscopy (ATR-FTIR using o-nitroaniline as a probe molecule. Electrodeposition parameters such as deposition time, pH value, and the type of surfactants were investigated. The morphology and the microstructure of the deposits were characterized by the field emission scanning electron microscope (FESEM and the atomic force microscope (AFM, respectively. The results indicate that the optimum parameters were potential of 1.3 V, time of 30 s, and pH of 8.92 in the solution of 0.3756 mol/L diethanolamine, 0.1 mol/L nickel sulfate, 0.01 mol/L nickel chloride, and 0.05 mol/L boric acid. The FESEM observation shows that the morphology of nickel nanoparticles with best enhancement effect is spherical and narrowly distributed particles with the average size of 50 nm. SEIRA enhancement factor is about 68.
Inkjet-printed p-type nickel oxide thin-film transistor
Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan
2018-05-01
High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.
Energy Technology Data Exchange (ETDEWEB)
Compean-González, C.L. [Universidad Autónoma de Nuevo León, Facultad de Ingeniería Civil, Departamento de Ecomateriales y Energía, Av. Universidad s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León C.P. 66451 (Mexico); Arredondo-Torres, V.M. [Facultad de Químico Farmacobiología, Universidad Michoacana de San Nicolás de Hidalgo, Tzintzuntzan #173, Col. Matamoros, Morelia, Michoacán C.P. 58240 (Mexico); Zarazúa-Morin, M.E. [Universidad Autónoma de Nuevo León, Facultad de Ingeniería Civil, Departamento de Ecomateriales y Energía, Av. Universidad s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León C.P. 66451 (Mexico); Figueroa-Torres, M.Z., E-mail: m.zyzlila@gmail.com [Universidad Autónoma de Nuevo León, Facultad de Ingeniería Civil, Departamento de Ecomateriales y Energía, Av. Universidad s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León C.P. 66451 (Mexico)
2015-09-15
Highlights: • Efficient short-time procedure for nickel nanoparticles dispersion by electroless. • Nanoparticles are spherical in shape with an average size of 15 nm. • Influence of surface texture on deposition temperature and time was observed. • Nickel deposition can be done below 50 °C. - Abstract: The present work studies the effect of smooth and porous texture of Sr{sub 2}Ta{sub 2}O{sub 7} on its surface modification with nickel nanoparticles through electroless deposition technique. The influence of temperature to control Ni nanoparticles loading amount and dispersion were analyzed. Nitrogen adsorption isotherms were used to examine surface texture characteristics. The morphology was observed by scanning electron microscopy (MEB) equipped with an energy dispersive spectrometry system (EDS), which was used to determine the amount of deposited Ni. The material with smooth texture (SMT) consists of big agglomerates of semispherical shape particles of 400 nm. Whilst the porous texture (PRT) exhibit a pore-wall formed of needles shape particles of around 200 nm in size. Results indicated that texture characteristics strongly influence the deposition reaction rate; for PRT oxide, Ni deposition can be done from 20 °C while for SMT oxide deposition begins at 40 °C. Analysis of Sr{sub 2}Ta{sub 2}O{sub 7} surface indicated that in both textures, Ni nanoparticles with spherical shape in the range of 10–20 nm were obtained.
Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.
Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei
2018-01-09
Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.
Electrical and optical properties of sub-10 nm nickel silicide films for silicon solar cells
International Nuclear Information System (INIS)
Brahmi, Hatem; Ravipati, Srikanth; Yarali, Milad; Wang, Weijie; Ryou, Jae-Hyun; Mavrokefalos, Anastassios; Shervin, Shahab
2017-01-01
Highly conductive and transparent films of ultra-thin p-type nickel silicide films have been prepared by RF magnetron sputtering of nickel on silicon substrates followed by rapid thermal annealing in an inert environment in the temperature range 400–600 °C. The films are uniform throughout the wafer with thicknesses in the range of 3–6 nm. The electrical and optical properties are presented for nickel silicide films with varying thickness. The Drude–Lorentz model and Fresnel equations were used to calculate the dielectric properties, sheet resistance, absorption and transmission of the films. These ultrathin nickel silicide films have excellent optoelectronic properties for p-type contacts with optical transparencies up to 80% and sheet resistance as low as ∼0.15 µΩ cm. Furthermore, it was shown that the use of a simple anti-reflection (AR) coating can recover most of the reflected light approaching the values of a standard Si solar cell with the same AR coating. Overall, the combination of ultra-low thickness, high transmittance, low sheet resistance and ability to recover the reflected light by utilizing standard AR coating makes them ideal for utilization in silicon based photovoltaic technologies as a p-type transparent conductor. (paper)
Electrical and optical properties of sub-10 nm nickel silicide films for silicon solar cells
Brahmi, Hatem; Ravipati, Srikanth; Yarali, Milad; Shervin, Shahab; Wang, Weijie; Ryou, Jae-Hyun; Mavrokefalos, Anastassios
2017-01-01
Highly conductive and transparent films of ultra-thin p-type nickel silicide films have been prepared by RF magnetron sputtering of nickel on silicon substrates followed by rapid thermal annealing in an inert environment in the temperature range 400-600 °C. The films are uniform throughout the wafer with thicknesses in the range of 3-6 nm. The electrical and optical properties are presented for nickel silicide films with varying thickness. The Drude-Lorentz model and Fresnel equations were used to calculate the dielectric properties, sheet resistance, absorption and transmission of the films. These ultrathin nickel silicide films have excellent optoelectronic properties for p-type contacts with optical transparencies up to 80% and sheet resistance as low as ~0.15 µΩ cm. Furthermore, it was shown that the use of a simple anti-reflection (AR) coating can recover most of the reflected light approaching the values of a standard Si solar cell with the same AR coating. Overall, the combination of ultra-low thickness, high transmittance, low sheet resistance and ability to recover the reflected light by utilizing standard AR coating makes them ideal for utilization in silicon based photovoltaic technologies as a p-type transparent conductor.
Rare-earth nickelates RNiO3: thin films and heterostructures
Catalano, S.; Gibert, M.; Fowlie, J.; Íñiguez, J.; Triscone, J.-M.; Kreisel, J.
2018-04-01
This review stands in the larger framework of functional materials by focussing on heterostructures of rare-earth nickelates, described by the chemical formula RNiO3 where R is a trivalent rare-earth R = La, Pr, Nd, Sm, …, Lu. Nickelates are characterized by a rich phase diagram of structural and physical properties and serve as a benchmark for the physics of phase transitions in correlated oxides where electron–lattice coupling plays a key role. Much of the recent interest in nickelates concerns heterostructures, that is single layers of thin film, multilayers or superlattices, with the general objective of modulating their physical properties through strain control, confinement or interface effects. We will discuss the extensive studies on nickelate heterostructures as well as outline different approaches to tuning and controlling their physical properties and, finally, review application concepts for future devices.
Graphene-based LbL deposited films: further study of electrical and gas sensing properties
Directory of Open Access Journals (Sweden)
Nabok A.
2017-01-01
Full Text Available Graphene-surfactant composite materials obtained by the ultrasonic exfoliation of graphite powder in the presence of ionic surfactants (either CTAB or SDS were utilised to construct thin films using layer-by-layer (LbL electrostatic deposition technique. A series of graphene-based thin films were made by alternating layers of either graphene-SDS with polycations (PEI or PAH or graphene-CTAB with polyanions (PSS. Also, graphene-phthalocyanine composite films were produced by alternating layers of graphene-CTAB with tetrasulfonated nickel phthalocyanine. Graphene-surfactant LbL films exhibited good electric conductivity (about 0.1 S/cm of semiconductor type with a band gap of about 20 meV. Judging from UV-vis spectra measurements, graphene-phthalocyanine LbL films appeared to form joint π-electron system. Gas sensing testing of such composite films combining high conductivity of graphene with the gas sensing abilities of phthalocyanines showed substantial changes (up to 10% in electrical conductivity upon exposure to electro-active gases such as HCl and NH3.
Hong, Min; Xu, Shusheng; Yao, Lu; Zhou, Chao; Hu, Nantao; Yang, Zhi; Hu, Jing; Zhang, Liying; Zhou, Zhihua; Wei, Hao; Zhang, Yafei
2018-07-06
A self-free-standing core-sheath structured hybrid membrane electrodes based on nickel and nickel based metal-organic complexes (Ni@Ni-OC) was designed and constructed for high volumetric supercapacitors. The self-standing Ni@Ni-OC film electrode had a high volumetric specific capacity of 1225.5 C cm -3 at 0.3 A cm -3 and an excellent rate capability. Moreover, when countered with graphene-carbon nanotube (G-CNT) film electrode, the as-assembled Ni@Ni-OC//G-CNT hybrid supercapacitor device delivered an extraordinary volumetric capacitance of 85 F cm -3 at 0.5 A cm -3 and an outstanding energy density of 33.8 at 483 mW cm -3 . Furthermore, the hybrid supercapacitor showed no capacitance loss after 10 000 cycles at 2 A cm -3 , indicating its excellent cycle stability. These fascinating performances can be ascribed to its unique core-sheath structure that high capacity nano-porous nickel based metal-organic complexes (Ni-OC) in situ coated on highly conductive Ni wires. The impressive results presented here may pave the way to construct s self-standing membrane electrode for applications in high volumetric-performance energy storage.
Nickel hydroxide modified electrodes for urea determination
Directory of Open Access Journals (Sweden)
Luiz Henrique Dall´Antonia
2007-03-01
Full Text Available Nickel hydroxide films were prepared by electrodeposition from a solution Ni(NO32 0,05 mol L ?¹ on ITO electrodes (Tin oxide doped with Indium on PET-like plastic film, applying a current of - 0,1 A cm ?² during different time intervals between 1800 and 7200 s. The electrochemical behavior of the nickel hydroxide electrode was investigated through a cyclic voltammogram, in NaOH 1,0 mol L ?¹, where it was observed two peaks in the profile in 0,410 and 0,280 V, corresponding to redox couple Ni(II/Ni(III. A sensor for urea presenting a satisfactory answer can be obtained when, after the deposit of the film of Ni(OH2 on the electrode of nickel, it is immersed in a solution of NaOH 1,0 mol L ?¹ and applying a potential of + 0,435 V, where the maximum of the anodic current occurs in the cyclic voltammogram. Analyzing the results it can be observed that, for a range of analite concentration between 5 to 50 m mol L ?¹, the behavior is linear and the sensibility found was of 20,3 mA cm?² (mol L?¹?¹, presenting reproducibility confirming the nickel hydroxide electrodes utilization for the determination of urea.
Chemical vapour deposition of thin-film dielectrics
International Nuclear Information System (INIS)
Vasilev, Vladislav Yu; Repinsky, Sergei M
2005-01-01
Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.
Modeling and simulation of NiO dissolution and Ni deposition in molten carbonate fuel cells
Energy Technology Data Exchange (ETDEWEB)
Nam, Suk Woo; Choi, Hyung-Joon; Lim, Tae Hoon [Korea Institute of Science & Technology, Seoul (Korea, Republic of)] [and others
1996-12-31
Dissolution of NiO cathode into the electrolyte matrix is an important phenomena limiting the lifetime of molten carbonate fuel cell (MCFC). The dissolved nickel diffuses into the matrix and is reduced by dissolved hydrogen leading to the formation of metallic nickel films in the pores of the matrix. The growth of Ni films in the electrolyte matrix during the continuous cell operation results eventually in shorting between cathode and anode. Various mathematical and empirical models have been developed to describe the NiO dissolution and Ni deposition processes, and these models have some success in estimating the lifetime of MCFC by correlating the amount of Ni deposited in the matrix with shorting time. Since the exact mechanism of Ni deposition was not well understood, deposition reaction was assumed to be very fast in most of the models and the Ni deposition region was limited around a point in the matrix. In fact, formation of Ni films takes place in a rather broad region in the matrix, the location and thickness of the film depending on operating conditions as well as matrix properties. In this study, we assumed simple reaction kinetics for Ni deposition and developed a mathematical model to get the distribution of nickel in the matrix.
International Nuclear Information System (INIS)
Bhaskaran, M; Sriram, S; Sim, L W
2008-01-01
This paper studies the feasibility of using titanium and nickel silicide thin films as mask materials for silicon bulk micro-machining. Thin films of nickel silicide were found to be more resistant to wet etching in potassium hydroxide. The use of nickel silicide as a structural material, by fabricating micro-beams of varying dimensions, is demonstrated. The micro-structures were realized using these thin films with wet etching using potassium hydroxide solution on (1 0 0) and (1 1 0) silicon substrates. These results show that nickel silicide is a suitable alternative to silicon nitride for silicon bulk micro-machining
Energy Technology Data Exchange (ETDEWEB)
You Zheng [Department of Precision Instruments and Mechanology, Tsinghua University, Beijing 100084 (China); Shen Kui; Wu Zhicheng [Institute for Advanced Materials and Technology, University of Science and Technology Beijing, Beijing 100083 (China); Wang Xiaofeng [Department of Precision Instruments and Mechanology, Tsinghua University, Beijing 100084 (China); Kong Xianghua, E-mail: kongxh@ustb.edu.cn [Institute for Advanced Materials and Technology, University of Science and Technology Beijing, Beijing 100083 (China)
2012-08-01
Zn-doped {alpha}-nickel hydroxide materials with flower-like nanostructures are synthesized by electrochemical deposition method. The samples are characterized by X-ray diffraction (XRD), field emission scanning electron microscope (SEM) and electrochemical measurements. XRD spectra indicate nickel hydroxide doped with Zn is {alpha}-Ni(OH){sub 2} with excellent crystallization. The SEM observation shows that the formation of Zn-doped Ni(OH){sub 2} includes two steps: a honeycomb-like film forms on the substrate first, then flower-like particles forms on the films. The nickel hydroxide doped with 5% Zn can maintain a maximum specific capacitance of 860 F g{sup -1}, suggesting its potential application in electrochemical capacitors.
Electrodeposition of Zn-doped α-nickel hydroxide with flower-like nanostructure for supercapacitors
You, Zheng; Shen, Kui; Wu, Zhicheng; Wang, Xiaofeng; Kong, Xianghua
2012-08-01
Zn-doped α-nickel hydroxide materials with flower-like nanostructures are synthesized by electrochemical deposition method. The samples are characterized by X-ray diffraction (XRD), field emission scanning electron microscope (SEM) and electrochemical measurements. XRD spectra indicate nickel hydroxide doped with Zn is α-Ni(OH)2 with excellent crystallization. The SEM observation shows that the formation of Zn-doped Ni(OH)2 includes two steps: a honeycomb-like film forms on the substrate first, then flower-like particles forms on the films. The nickel hydroxide doped with 5% Zn can maintain a maximum specific capacitance of 860 F g-1, suggesting its potential application in electrochemical capacitors.
International Nuclear Information System (INIS)
Yoshida, Masaaki; Iida, Tsuyoshi; Mineo, Takehiro
2014-01-01
The electrochromic transition of a nickel borate thin film between colorless and brown was examined by means of in situ XAFS and UV/vis spectroscopy. The XAFS spectra showed that the average valence state of the nickel species in the film changed from +2.1 to +3.8 following the application of an electrode potential. Additionally, a broad peak at 700 nm was observed during in situ UV/vis absorption measurements on the application of a positive potential. These results suggest that the nickel borate film reversibly forms a NiOOH structure with a domain size of several nanometers during the electrochromic reaction. (author)
Effects of deposition time in chemically deposited ZnS films in acidic solution
Energy Technology Data Exchange (ETDEWEB)
Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.
2015-08-31
We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.
The electrochemical deposition of tin-nickel alloys and the corrosion properties of the coating
DEFF Research Database (Denmark)
Jellesen, Morten Stendahl; Møller, Per
2005-01-01
The electrodeposition of tin/nickel (65/35 wt%) is a unique coating process because of the deposition of an intermetallic phase of nickel and tin, which cannot be formed by any pyrometallurgical process. From thermodynamic calculations it can be shown that intermetallic phases can be formed throu...
International Nuclear Information System (INIS)
Macleod, H.A.
1979-01-01
The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)
Physical Vapor Deposition of Thin Films
Mahan, John E.
2000-01-01
A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam
Ion beam deposited epitaxial thin silicon films
International Nuclear Information System (INIS)
Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den
1991-01-01
Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)
Evaluation of variables which affect the hardness of nickel plate deposited from watts-type baths
International Nuclear Information System (INIS)
Petit, G.S.; Wright, R.R.; Neff, W.A.
1976-01-01
In the course of the Cascade Improvement Program, many component equipment parts will be electroplated with nickel for corrosion protection. The maximum hardness which will be acceptable in the electroplated deposit is specified in Union Carbide's Job Specification JS-1396, Revision 3, entitled Electroplated Nickel Coatings on Steel Parts. The hardness specification is intended primarily as a control over both organic and inorganic impurities in the deposit. This report covers a study evaluating several of the numerous controllable variables which influence the hardness of the nickel plate deposited from a Watts-type bath. The variables tested were: 1) bath composition, 2) pH, 3) current density, 4) anode-cathode area ratio, and 5) bath temperature. Within the tested ranges of the variables studied, the pH and current density had the most influence on the plate hardness. The softest deposit was obtained with a bath pH of 1.5, a current density of 30 to 40 amperes/square foot, and with the anode-cathode area ratio in the range of 3:1 to 1:1
RICARDO TUCCERI
2018-01-01
The deactivation of nickel hydroxide films after prolonged storage times without use was studied. This study was carried out in the context of the Rotating Disc Electrode Voltammetry (RDEV) and Electrochemical Impedance Spectroscopy (EIS) when the nickel hydroxide film contacts an electroactive solution and a redox reaction occurs at the Au-Ni(OH)2|electrolyte interface. Deferasirox (4-(3,5-bis(2- hydroxyphenyl)-1,2,4-triazol-1-yl) benzoic acid) was employed as redox species in solution. Limi...
Directory of Open Access Journals (Sweden)
Yan Lv
2016-01-01
Full Text Available Electrochemical deposition technique was utilized to fabricate nickel nanotubes with the assistance of AAO templates. The topography and element component of the nickel nanotubes were characterized by TEM and EDS. Furthermore, the nickel nanotube was made into microelectrode and its electrochemical hydrogen storage property was studied using cyclic voltammetry. The results showed that the diameter of nickel nanotubes fabricated was around 20–100 mm, and the length of the nanotube could reach micron grade. The nickel nanotubes had hydrogen storage property, and the hydrogen storage performance was higher than that of nickel powder.
Directory of Open Access Journals (Sweden)
NEBOJSA D. NIKOLIC
2007-08-01
Full Text Available The morphologies of nickel and copper deposits obtained without applied magnetic fields, and with both parallel and perpendicular applied magnetic fields were examined by the scanning electron microscopy (SEM technique. Changes in the morphologies of the metals caused by the effect of the magnetic fields are explained by the concept of “effective overpotential”. The morphologies of the nickel and copper deposits obtained under parallelly oriented magnetic fields were similar to those obtained at some lower cathodic potentials without an applied magnetic field. The magnetic field with a perpendicular orientation to the electrode surface increased the dispersity of the nickel and copper deposits. Nickel and copper deposits obtained under this orientation of the magnetic field were similar to those obtained at some higher cathodic potentials without an applied magnetic field.
Direct current magnetron sputter-deposited ZnO thin films
International Nuclear Information System (INIS)
Hoon, Jian-Wei; Chan, Kah-Yoong; Krishnasamy, Jegenathan; Tou, Teck-Yong; Knipp, Dietmar
2011-01-01
Zinc oxide (ZnO) is a very promising electronic material for emerging transparent large-area electronic applications including thin-film sensors, transistors and solar cells. We fabricated ZnO thin films by employing direct current (DC) magnetron sputtering deposition technique. ZnO films with different thicknesses ranging from 150 nm to 750 nm were deposited on glass substrates. The deposition pressure and the substrate temperature were varied from 12 mTorr to 25 mTorr, and from room temperature to 450 deg. C, respectively. The influence of the film thickness, deposition pressure and the substrate temperature on structural and optical properties of the ZnO films was investigated using atomic force microscopy (AFM) and ultraviolet-visible (UV-Vis) spectrometer. The experimental results reveal that the film thickness, deposition pressure and the substrate temperature play significant role in the structural formation and the optical properties of the deposited ZnO thin films.
Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films
International Nuclear Information System (INIS)
Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan
2010-01-01
Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).
Nickel-induced crystallization of amorphous silicon
Energy Technology Data Exchange (ETDEWEB)
Schmidt, J A; Arce, R D; Buitrago, R H [INTEC (CONICET-UNL), Gueemes 3450, S3000GLN Santa Fe (Argentina); Budini, N; Rinaldi, P, E-mail: jschmidt@intec.unl.edu.a [FIQ - UNL, Santiago del Estero 2829, S3000AOM Santa Fe (Argentina)
2009-05-01
The nickel-induced crystallization of hydrogenated amorphous silicon (a-Si:H) is used to obtain large grained polycrystalline silicon thin films on glass substrates. a-Si:H is deposited by plasma enhanced chemical vapour deposition at 200 deg. C, preparing intrinsic and slightly p-doped samples. Each sample was divided in several pieces, over which increasing Ni concentrations were sputtered. Two crystallization methods are compared, conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The crystallization was followed by optical microscopy and scanning electron microscopy observations, X-ray diffraction, and reflectance measurements in the UV region. The large grain sizes obtained - larger than 100{mu}m for the samples crystallized by CFA - are very encouraging for the preparation of low-cost thin film polycrystalline silicon solar cells.
Chromium carbide thin films deposited by ultra-short pulse laser deposition
International Nuclear Information System (INIS)
Teghil, R.; Santagata, A.; De Bonis, A.; Galasso, A.; Villani, P.
2009-01-01
Pulsed laser deposition performed by a laser with a pulse duration of 250 fs has been used to deposit films from a Cr 3 C 2 target. Due to the different processes involved in the laser ablation when it is performed by an ultra-short pulse source instead of a conventional short pulse one, it has been possible to obtain in vacuum films containing only one type of carbide, Cr 3 C 2 , as shown by X-ray photoelectron spectroscopy. On the other hand, Cr 3 C 2 is not the only component of the films, since a large amount of amorphous carbon is also present. The films, deposited at room temperature, are amorphous and seem to be formed by the coalescence of a large number of particles with nanometric size. The film composition can be explained in terms of thermal evaporation from particles ejected from the target.
Stress evaluation of chemical vapor deposited silicon dioxide films
International Nuclear Information System (INIS)
Maeda, Masahiko; Itsumi, Manabu
2002-01-01
Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects
Growth and decomposition of Lithium and Lithium hydride on Nickel
DEFF Research Database (Denmark)
Engbæk, Jakob; Nielsen, Gunver; Nielsen, Jane Hvolbæk
2006-01-01
In this paper we have investigated the deposition, structure and decomposition of lithium and lithium-hydride films on a nickel substrate. Using surface sensitive techniques it was possible to quantify the deposited Li amount, and to optimize the deposition procedure for synthesizing lithium......-hydride films. By only making thin films of LiH it is possible to study the stability of these hydride layers and compare it directly with the stability of pure Li without having any transport phenomena or adsorbed oxygen to obscure the results. The desorption of metallic lithium takes place at a lower...... temperature than the decomposition of the lithium-hydride, confirming the high stability and sintering problems of lithium-hydride making the storage potential a challenge. (c) 2006 Elsevier B.V. All rights reserved....
Evaluation of Electroless-Nickel Plated Polypropylene under Thermal Cycling and Mechanical Tests
Directory of Open Access Journals (Sweden)
O.O. Ajibola
2016-09-01
Full Text Available The electroless-nickel composite (ENC consisting of bright metallic electroless-nickel (EN and dull electroless-nickel-phosphorus (EN-P were deposited on the polypropylene (PP substrate from the sodium hypophosphite baths. The ENC plated specimens were subjected to abrasive wear-adhesion test of 1750, 3500, 7000 and 14000 cycles; thermal cycle-adhesion tests, and tensile strength and creep tests. The deposition of ENC influenced the strength and creep strain properties of the PP. The maximum stress σ of 118 (MPa was obtained from EN-PP specimen at strain of 0.1 mm/mm as compared with the PP having stress σ of 36 (MPa at strain of 0.07 mm/mm before failure The surface appearances and microstructures of ENC film on PP substrates were examined under the higher resolution metallurgical microscope with digital camera and microscopic camera. The composition of ENC film was characterized using Scanning Electron Microscopy and Energy Dispersive X-Ray analyses (Jeol JSM-7600F Field Emission SEM/EDX, The micrographs and spectra lines data generated were used to interpret the results.
Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.
2018-05-01
The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.
Deformation and fracture in micro-tensile tests of freestanding electrodeposited nickel thin films
International Nuclear Information System (INIS)
Yang, Y.; Yao, N.; Soboyejo, W.O.; Tarquinio, C.
2008-01-01
In situ scanning electron microscopy micro-tensile tests were conducted on freestanding LIGA nickel thin films of two thicknesses (70 and 270 μm). The deformation and fracture mechanisms were elucidated by in situ scanning electron microscopy imaging and ex situ fractographic analysis. Due to the film microstructural gradient, an apparent thickness effect on the film yield strengths was observed, which was then rationalized with a continuum micromechanics model
International Nuclear Information System (INIS)
Ksapabutr, Bussarin; Chalermkiti, Tanapol; Wongkasemjit, Sujitra; Panapoy, Manop
2013-01-01
This paper describes a simple and low-temperature approach to fabrication of dense and crack-free gadolinium doped ceria (GDC) thin films with controllable deposition by a magnetic-field-assisted electrostatic spray deposition technique. The influences of external permanent magnets on the deposition of GDC films were investigated. The coating area deposited using two magnets with the same pole arrangement decreased in comparison with the case of no magnets, whereas the largest deposition area was obtained in the system of the opposite poles. Analysis of as-deposited films at 450 °C indicated the formation of uniform, smooth and dense thin films with a single-phase fluorite structure. The films produced in the system using same poles were thicker, smaller in crystallite size and smoother than those fabricated under other conditions. Additionally, the GDC film deposited using the same pole arrangement showed the maximum in electrical conductivity of about 2.5 × 10 −2 S/cm at a low operating temperature of 500 °C. - Highlights: • Magnetic-field-assisted electrostatic spray allows a controllable coating. • Dense, crack-free thin films were obtained at low process temperature of 450 °C. • Control of deposition, thickness and uniformity is easy to achieve simultaneously. • Films from the same pole were thicker, smaller in crystal size and smoother. • The maximum conductivity of doped ceria film was 2.5 × 10 −2 S/cm at 500 °C
Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films
Energy Technology Data Exchange (ETDEWEB)
Deen, I. [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada); Zhitomirsky, I., E-mail: zhitom@mcmaster.ca [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada)
2014-02-15
Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties.
Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films
International Nuclear Information System (INIS)
Deen, I.; Zhitomirsky, I.
2014-01-01
Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties
Excimer Laser Deposition of PLZT Thin Films
National Research Council Canada - National Science Library
Petersen, GAry
1991-01-01
.... In order to integrate these devices into optical systems, the production of high quality thin films with high transparency and perovskite crystal structure is desired. This requires development of deposition technologies to overcome the challenges of depositing and processing PLZT thin films.
Atomic layer deposition of a MoS₂ film.
Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping
2014-09-21
A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.
International Nuclear Information System (INIS)
Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming
2014-01-01
Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were
Energy Technology Data Exchange (ETDEWEB)
Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)
2008-10-01
A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.
International Nuclear Information System (INIS)
Inagaki, N.
2003-01-01
SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)
International Nuclear Information System (INIS)
Escobedo-Cousin, Enrique; Vassilevski, Konstantin; Hopf, Toby; Wright, Nick; O'Neill, Anthony; Horsfall, Alton; Goss, Jonathan; Cumpson, Peter
2013-01-01
Patterned few-layer graphene (FLG) films were obtained by local solid phase growth from nickel silicide supersaturated with carbon, following a fabrication scheme, which allows the formation of self-aligned ohmic contacts on FLG and is compatible with conventional SiC device processing methods. The process was realised by the deposition and patterning of thin Ni films on semi-insulating 6H-SiC wafers followed by annealing and the selective removal of the resulting nickel silicide by wet chemistry. Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) were used to confirm both the formation and subsequent removal of nickel silicide. The impact of process parameters such as the thickness of the initial Ni layer, annealing temperature, and cooling rates on the FLG films was assessed by Raman spectroscopy, XPS, and atomic force microscopy. The thickness of the final FLG film estimated from the Raman spectra varied from 1 to 4 monolayers for initial Ni layers between 3 and 20 nm thick. Self-aligned contacts were formed on these patterned films by contact photolithography and wet etching of nickel silicide, which enabled the fabrication of test structures to measure the carrier concentration and mobility in the FLG films. A simple model of diffusion-driven solid phase chemical reaction was used to explain formation of the FLG film at the interface between nickel silicide and silicon carbide.
Directory of Open Access Journals (Sweden)
Anuar Kassim
2010-12-01
Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.
Deposition of antimony telluride thin film by ECALE
Institute of Scientific and Technical Information of China (English)
GAO; Xianhui; YANG; Junyou; ZHU; Wen; HOU; Jie; BAO; Siqian; FAN; Xi'an; DUAN; Xingkai
2006-01-01
The process of Sb2Te3 thin film growth on the Pt substrate by electrochemical atomic layer epitaxy (ECALE) was studied. Cyclic voltammetric scanning was performed to analyze the electrochemical behavior of Te and Sb on the Pt substrate. Sb2Te3 film was formed using an automated flow deposition system by alternately depositing Te and Sb atomic layers for 400 circles. The deposited Sb2Te3 films were characterized by XRD, EDX, FTIR and FESEM observation. Sb2Te3 compound structure was confirmed by XRD pattern and agreed well with the results of EDX quantitative analysis and coulometric analysis. FESEM micrographs showed that the deposit was composed of fine nano particles with size of about 20 nm. FESEM image of the cross section showed that the deposited films were very smooth and dense with thickness of about 190 nm. The optical band gap of the deposited Sb2Te3 film was determined as 0.42 eV by FTIR spectroscopy, and it was blue shifted in comparison with that of the bulk Sb2Te3 single crystal due to its nanocrystalline microstructure.
Pulsed laser deposition of Tl-Ca-Ba-Cu-O films
International Nuclear Information System (INIS)
Ianno, N.J.; Liou, S.H.; Woollam, J.A.; Thompson, D.; Johs, B.
1990-01-01
Pulsed laser deposition is a technique commonly used to deposit high quality thin films of high temperature superconductors. This paper discusses the results obtained when this technique is applied to the deposition of Tl-Ca-Ba-Cu-O thin films using a frequency doubled Nd:YAG laser operating at 532 nm and an excimer laser operating at 248 nm. Films with onset temperatures of 125 K and zero resistance temperatures of 110 K deposited on (100) oriented MgO from a composite Tl2Ca2Ba2Cu3Ox target were obtained at both wavelengths upon appropriate post deposition annealing. Films deposited at 532 nm exhibit a rough surface, while those deposited at 248 nm are smooth and homogeneous. Upon annealing, films deposited at both wavelengths are single phase Tl2Ca2Ba2Cu3Ox. 12 refs
Plasma-deposited a-C(N) H films
Franceschini, D E
2000-01-01
The growth behaviour, film structure and mechanical properties of plasma-deposited amorphous hydrogenated carbon-nitrogen films are shortly reviewed. The effect of nitrogen-containing gas addition to the deposition to the hydrocarbon atmospheres used is discussed, considering the modifications observed in the chemical composition growth kinetics, carbon atom hybridisation and chemical bonding arrangements of a-C(N):H films. The overall structure behaviour is correlated to the variation of the mechanical properties.
A microfabricated nickel-hydrogen battery using thick film printing techniques
Tam, Waiping G.; Wainright, Jesse S.
To utilize the distinctive cycle life and safety characteristics of the nickel-hydrogen chemistry while eliminating the high pressure limitations of conventional nickel-hydrogen cells, a microfabricated nickel-hydrogen battery using a low-pressure metal hydride for hydrogen storage is being developed for powering micro-electromechanical systems (MEMS) devices and for biomedical applications where the battery would be implanted within the body. Thick film printing techniques which are simple and low cost were used to fabricate this battery. Inks were developed for each of the different battery components, including the electrodes, current collectors and separator. SEM images on these printed components showed the desired characteristics for each. Positive electrode cycling tests were performed on the printed positive electrodes while cyclic voltammetry was used to characterize the printed negative electrodes. Consistent charge and discharge performance was observed during positive electrode cycling. Full cells with printed positive and negative assemblies were assembled and tested.
A microfabricated nickel-hydrogen battery using thick film printing techniques
Energy Technology Data Exchange (ETDEWEB)
Tam, Waiping G.; Wainright, Jesse S. [Department of Chemical Engineering, Case Western Reserve University, Cleveland, OH 44106 (United States)
2007-02-25
To utilize the distinctive cycle life and safety characteristics of the nickel-hydrogen chemistry while eliminating the high pressure limitations of conventional nickel-hydrogen cells, a microfabricated nickel-hydrogen battery using a low-pressure metal hydride for hydrogen storage is being developed for powering micro-electromechanical systems (MEMS) devices and for biomedical applications where the battery would be implanted within the body. Thick film printing techniques which are simple and low cost were used to fabricate this battery. Inks were developed for each of the different battery components, including the electrodes, current collectors and separator. SEM images on these printed components showed the desired characteristics for each. Positive electrode cycling tests were performed on the printed positive electrodes while cyclic voltammetry was used to characterize the printed negative electrodes. Consistent charge and discharge performance was observed during positive electrode cycling. Full cells with printed positive and negative assemblies were assembled and tested. (author)
Selective Electroless Nickel Plating on PMMA using Chloroform Pre-Treatment
Sipes, Nicholas
In the past 5 years, we have discovered that chloroform promotes the adhesion of thin gold films to Poly(methyl methacrylate) surfaces. Based on this new understanding of the interaction of chloroform with PMMA and metal atoms, we were curious to see if chloroform would promote the adhesion of Nickel to PMMA deposited by electroless plating. My goal was to selectively electroless plate Nickel onto PMMA. Chloroform was spun-cast onto 1 inch square PMMA substrates. I used electrical tape to shield one half of the PMMA from the chloroform during spin-casting; this allowed for a direct comparison of treated vs. untreated. The samples were then put through hydrochloric acid and a series of baths provided by Transene Company Inc. to electrolessly deposit nickel on the sample. After many trials, there was a clear distinction in the adhesion strength of the Nickel to the plain PMMA surface vs. the chloroform pre-treated surface. Showing that it is possible to create chloroform sites via spin-casting for electroless nickel plating on PMMA opens up the challenge to better understand the chemistry taking place and to perfect the electroless plating process.
Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU
2018-03-01
Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.
International Nuclear Information System (INIS)
Gou Shiping; Sun, I.-W.
2008-01-01
The electrodeposition of nickel and nickel-zinc alloys was investigated at polycrystalline tungsten electrode in the zinc chloride-1-ethyl-3-methylimidazolium chloride molten salt. Although nickel(II) chloride dissolved easily into the pure chloride-rich 1-ethyl-3-methylimidazolium chloride ionic melt, metallic nickel could not be obtained by electrochemical reduction of this solution. The addition of zinc chloride to this solution shifted the reduction of nickel(II) to more positive potential making the electrodeposition of nickel possible. The electrodeposition of nickel, however, requires an overpotential driven nucleation process. Dense and compact nickel deposits with good adherence could be prepared by controlling the deposition potential. X-ray powder diffraction measurements indicated the presence of crystalline nickel deposits. Non-anomalous electrodeposition of nickel-zinc alloys was achieved through the underpotential deposition of zinc on the deposited nickel at a potential more negative than that of the deposition of nickel. X-ray powder diffraction and energy-dispersive spectrometry measurements of the electrodeposits indicated that the composition and the phase types of the nickel-zinc alloys are dependent on the deposition potential. For the Ni-Zn alloy deposits prepared by underpotential deposition of Zn on Ni, the Zn content in the Ni-Zn was always less than 50 atom%
International Nuclear Information System (INIS)
Bao Quanhe; Chen Chuanzhong; Wang Diangang; Liu Junming
2008-01-01
Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 4 3- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA
Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials
Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.
2009-01-01
Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on
Palneedi, Haribabu; Maurya, Deepam; Geng, Liwei D; Song, Hyun-Cheol; Hwang, Geon-Tae; Peddigari, Mahesh; Annapureddy, Venkateswarlu; Song, Kyung; Oh, Yoon Seok; Yang, Su-Chul; Wang, Yu U; Priya, Shashank; Ryu, Jungho
2018-04-04
Enhanced and self-biased magnetoelectric (ME) coupling is demonstrated in a laminate heterostructure comprising 4 μm-thick Pb(Zr,Ti)O 3 (PZT) film deposited on 50 μm-thick flexible nickel (Ni) foil. A unique fabrication approach, combining room temperature deposition of PZT film by granule spray in vacuum (GSV) process and localized thermal treatment of the film by laser radiation, is utilized. This approach addresses the challenges in integrating ceramic films on metal substrates, which is often limited by the interfacial chemical reactions occurring at high processing temperatures. Laser-induced crystallinity improvement in the PZT thick film led to enhanced dielectric, ferroelectric, and magnetoelectric properties of the PZT/Ni composite. A high self-biased ME response on the order of 3.15 V/cm·Oe was obtained from the laser-annealed PZT/Ni film heterostructure. This value corresponds to a ∼2000% increment from the ME response (0.16 V/cm·Oe) measured from the as-deposited PZT/Ni sample. This result is also one of the highest reported values among similar ME composite systems. The tunability of self-biased ME coupling in PZT/Ni composite has been found to be related to the demagnetization field in Ni, strain mismatch between PZT and Ni, and flexural moment of the laminate structure. The phase-field model provides quantitative insight into these factors and illustrates their contributions toward the observed self-biased ME response. The results present a viable pathway toward designing and integrating ME components for a new generation of miniaturized tunable electronic devices.
ZnSe thin films by chemical bath deposition method
Energy Technology Data Exchange (ETDEWEB)
Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)
1998-09-04
The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV
International Nuclear Information System (INIS)
Chi Fangting; Sichuan Univ., Chengdu; Li Bo; Liu Yiyang; Chen Sufen; Jiang Bo
2009-01-01
The deposition capability of nickel on the surface of poly-α-methylstyrene microspheres was improved by combined treatment of ozone aeration and UV irradiation in aqueous ammonia. Surface properties of the treated film were investigated by X-ray photoelectron spectroscopy(XPS) and Fourier transform infrared(FT-TR) measurements. The samples were characterized by SEM. The results indicate that after ultraviolet joint ozone treatment, the surfaces of microspheres were oxidized, and the amine and amide groups are introduced on their surface. The images of SEM show the adhesion between microspheres and nickel-phosphorus films was improved after surface modification. This was attributed to amide which could chemisorb palladium ions to catalyze electroless nickel plating on the pretreated surface of microspheres. (authors)
International Nuclear Information System (INIS)
Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei
2009-01-01
The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.
International Nuclear Information System (INIS)
Randolph, S J; Fowlkes, J D; Melechko, A V; Klein, K L; III, H M Meyer; Simpson, M L; Rack, P D
2007-01-01
Vertically aligned carbon nanofiber (CNF) growth is a catalytic chemical vapor deposition process in which structure and functionality is controlled by the plasma conditions and the properties of the catalyst nanoparticles that template the fiber growth. We have found that the resultant catalyst nanoparticle network that forms by the dewetting of a continuous catalyst thin film is dependent on the initial properties of the thin film. Here we report the ability to tailor the crystallographic texture and composition of the nickel catalyst film and subsequently the nanoparticle template by varying the rf magnetron sputter deposition conditions. After sputtering the Ni catalyst thin films, the films are heated and exposed to an ammonia dc plasma, to chemically reduce the native oxide on the films and induce dewetting of the film to form nanoparticles. Subsequent nanoparticle treatment in an acetylene plasma at high substrate temperature results in CNF growth. Evidence is presented that the texture and composition of the nickel thin film has a significant impact on the structure and composition of the formed nanoparticle, as well as the resultant CNF morphology. Nickel films with a preferred (111) or (100) texture were produced and conditions favoring interfacial silicidation reactions were identified and investigated. Both compositional and structural analysis of the films and nanoparticles indicate that the properties of the as-deposited Ni catalyst film influences the subsequent nanoparticle formation and ultimately the catalytic growth of the carbon nanofibers
International Nuclear Information System (INIS)
Lopez I, J.
2007-01-01
In this thesis work is reported the deposition and characterization of thin films of materials of the type LiMO 2 , with M=Co and Ni, which have application in cathodes for micro-batteries of lithium ions. In the last years some investigators have reported that the electrochemical operation of the lithium ions batteries it can improve recovering the cathode, in bundle form, with some metal oxides as the Al 2 O 3 ; for that the study of the formation of thin films in bilayer form LiMO 2 /AI 2 O 3 is of interest in the development of lithium ions micro batteries. The thin films were deposited using the laser ablation technique studying the effect of some deposit parameters in the properties of the one formed material, as: laser fluence, substrate temperature and working atmosphere, with the purpose of optimizing it. In the case of the LiCoO 2 it was found that to use an inert atmosphere of argon allows to obtain the material with the correct composition. Additionally, with the use of a temperature in the substrate of 150 C is possible to obtain to the material with certain crystallinity grade that to the subjected being to a post-deposit thermal treatment at 300 C for three hours, it gives as result a totally crystalline material. In the case of the thin films of LiNiO 2 , it was necessary to synthesize the oxide starting from a reaction of solid state among nickel oxide (NiO) and lithium oxide (Li 2 O) obtaining stoichiometric LiNiO 2 . For the formation of the thin films of LiNiO 2 it was used an argon atmosphere and the laser fluence was varied, the deposits were carried out to two different substrates temperatures, atmosphere and 160 C. In both cases the material it was recovered with an alumina layer, found that this layer didn't modify the structural properties of the base oxide (LiCoO 2 and LiNiO 2 ). (Author)
Wu, Chia-Ching; Yang, Cheng-Fu
2013-06-12
P-type lithium-doped nickel oxide (p-LNiO) thin films were deposited on an n-type indium tin oxide (ITO) glass substrate using the modified spray pyrolysis method (SPM), to fabricate a transparent p-n heterojunction diode. The structural, optical, and electrical properties of the p-LNiO and ITO thin films and the p-LNiO/n-ITO heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), UV-visible spectroscopy, Hall effect measurement, and current-voltage (I-V) measurements. The nonlinear and rectifying I-V properties confirmed that a heterojunction diode characteristic was successfully formed in the p-LNiO/n-ITO (p-n) structure. The I-V characteristic was dominated by space-charge-limited current (SCLC), and the Anderson model demonstrated that band alignment existed in the p-LNiO/n-ITO heterojunction diode.
Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition
International Nuclear Information System (INIS)
Hernandez-Como, N.; Martinez-Landeros, V.; Mejia, I.; Aguirre-Tostado, F.S.; Nascimento, C.D.; Azevedo, G. de M; Krug, C.; Quevedo-Lopez, M.A.
2014-01-01
The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10 −1 to 10 4 Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10 19 to 10 13 cm −3 and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm 2 /V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10 19 to 10 13 cm −3 . • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied
SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques
Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.
2016-05-01
The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.
Synthesis of graphene on nickel films by CVD method using methane
International Nuclear Information System (INIS)
Castro, Manuela O. de; Liebold-Ribeiro, Yvonne; Barros, Eduardo B.; Salomao, Francisco C.C.; Mendes Filho, Josue; Souza Filho, Antonio G.; Chesman, Carlos
2011-01-01
Full text: Nanomaterials have opened up many possibilities for groundbreaking innovations in various technologies of modern society. One key example is graphene, which is composed of one-atom-thick sheet of sp2-bonded carbon atoms, arranged in a hexagonal symmetry. However, real world applications of graphene require well-established and large synthesis techniques. The so-called Chemical Vapor Deposition (CVD) is one of the most promising method for synthesizing graphene. The general idea of this technique is to dissolve carbon atoms inside a transition metal melt at a certain temperature, then allowing the dissolved carbon to precipitate at lower temperatures as single layer graphene (SLG). In the present work, we used the CVD method and methane gas as carbon source for the synthesis of graphene on silicon (Si) substrates (300nm thermal oxide) covered with sputtered nickel (Ni) films as catalyst. In order to achieve large-area and defect-free graphene sheets the influence of the different growth parameters (growth temperature and time, gas flow of methane, film thickness and grain size of Ni) on quality and quantity of graphene growth were studied. The obtained graphene films were transferred to a silicon substrate by the polymer coating process, using polymethyl-methacrylate (PMMA) as coating. In order to characterize the transferred graphene we used Scanning Electron Microscopy (SEM), Raman Spectroscopy, Optical Microscopy and Atomic Force Microscopy (AFM). The results show the influence of CVD process parameters on the quality and quantity of graphene growth in our experimental conditions. Acknowledgments: The authors thank Brazilian agencies CNPq and FUNCAP for financial support and Alfonso Reina (MIT, USA) for helpful discussions. (author)
Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition
Energy Technology Data Exchange (ETDEWEB)
Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)
2016-01-15
Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.
Energy Technology Data Exchange (ETDEWEB)
Arakelova, E.; Khachatryan, A.; Kteyan, A.; Avjyan, K.; Grigoryan, S.
2016-08-01
Ballistic transport model for target-to-substrate atom transfer during magnetron sputter deposition was used to develop zinc target (cathode) configuration that enabled growth of uniform zinc oxide films on extensive surfaces and provided reproducibility of films characteristics irrespective of the cathode wear-out. The advantage of the developed target configuration for high-quality ZnO film deposition was observed in the sputtering pressure range of 5− 50 mTorr, and in the range of cathode-to-substrate distances 7–20 cm. Characteristics of the deposited films were demonstrated by using X-ray diffraction analysis, as well as optical and electrical measurements. - Highlights: • Change of target configuration for optimization of magnetron sputtering deposition is proposed. • Improvement of ZnO film properties due to use of this target is demonstrated. • This configuration provided reproducibility of the deposited films properties.
International Nuclear Information System (INIS)
Shinoda, Hiroyuki; Mutsukura, Nobuki
2005-01-01
Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C
Modification of thin film properties by ion bombardment during deposition
International Nuclear Information System (INIS)
Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.
1984-01-01
Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)
Chemical bath deposition of indium sulphide thin films: preparation and characterization
Energy Technology Data Exchange (ETDEWEB)
Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie
1999-02-26
Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.
Campet, G.; Portier, J.; Morel, B.; Ferry, D.; Chabagno, J. M.; Benotmane, L.; Bourrel, M.
1992-01-01
Thin films of lithium-nickel oxide, whose texture consists of microcrystallites with an average grain size of 50 Å, permit highly reversible electrochemical insertion of lithium ions in Li+ conducting electrolytes. Therefore, the corresponding materials would be of great interest for energy storage applications. In addition, the lithium insertion/extraction reactions in the nickel-based layers are accompanied with a marked color change, making these films of interest for the devel...
Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng
2011-09-01
Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.
Schulz, Klaus J.; Woodruff, Laurel G.; Nicholson, Suzanne W.; Seal, Robert R.; Piatak, Nadine M.; Chandler, Val W.; Mars, John L.
2014-01-01
Magmatic sulfide deposits containing nickel (Ni) and copper (Cu), with or without (±) platinum-group elements (PGE), account for approximately 60 percent of the world’s nickel production. Most of the remainder of the Ni production is derived from lateritic deposits, which form by weathering of ultramafic rocks in humid tropical conditions. Magmatic Ni-Cu±PGE sulfide deposits are spatially and genetically related to bodies of mafic and/or ultramafic rocks. The sulfide deposits form when the mantle-derived mafic and/or ultramafic magmas become sulfide-saturated and segregate immiscible sulfide liquid, commonly following interaction with continental crustal rocks.
Cadmium sulfide thin films growth by chemical bath deposition
Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.
2018-03-01
Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.
Three dimensional graphene synthesis on nickel foam by chemical vapor deposition from ethylene
International Nuclear Information System (INIS)
Trinsoutrot, Pierre; Vergnes, Hugues; Caussat, Brigitte
2014-01-01
Highlights: • 3D multi-layers graphene networks were synthesized from ethylene on nickel foam. • The weight of graphene increased with run duration and when decreasing temperature. • Weight percentages of graphene as high as 15% were obtained. • A continuous mechanism of graphene formation probably exists in presence of ethylene. -- Abstract: 3D multi-layers graphene networks were synthesized on nickel foam from ethylene between 700 and 1000 °C by chemical vapor deposition. Large nickel foam substrates were used allowing the accurate measurement of graphene masses. The weight of graphene increased with run duration and when decreasing temperature. Graphene was also present inside the hollow branches of the foam. We demonstrated that the weights of graphene formed largely exceed the masses corresponding to carbon solubility into nickel. Indeed weight percentages of graphene as high as 15% were obtained, corresponding to graphene layers of 500 nm to 1 μm thick. This means that graphene formation could not be due only to carbon dissolution into nickel and then precipitation during the cooling step. Another mechanism probably co-exists, involving continuous graphene formation in presence of ethylene either by segregation from the dissolved carbon into nickel or by surface CVD growth
Aluminosilicate glass thin films elaborated by pulsed laser deposition
Energy Technology Data Exchange (ETDEWEB)
Carlier, Thibault [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Saitzek, Sébastien [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Méar, François O., E-mail: francois.mear@univ-lille1.fr [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Blach, Jean-François; Ferri, Anthony [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Huvé, Marielle; Montagne, Lionel [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France)
2017-03-01
Highlights: • Successfully deposition of a glassy thin film by PLD. • A good homogeneity and stoichiometry of the coating. • Influence of the deposition temperature on the glassy thin-film structure. - Abstract: In the present work, we report the elaboration of aluminosilicate glass thin films by Pulsed Laser Deposition at various temperatures deposition. The amorphous nature of glass thin films was highlighted by Grazing Incidence X-Ray Diffraction and no nanocristallites were observed in the glassy matrix. Chemical analysis, obtained with X-ray Photoelectron Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy, showed a good transfer and homogeneous elementary distribution with of chemical species from the target to the film a. Structural studies performed by Infrared Spectroscopy showed that the substrate temperature plays an important role on the bonding configuration of the layers. A slight shift of Si-O modes to larger wavenumber was observed with the synthesis temperature, assigned to a more strained sub-oxide network. Finally, optical properties of thins film measured by Spectroscopic Ellipsometry are similar to those of the bulk aluminosilicate glass, which indicate a good deposition of aluminosilicate bulk glass.
Influence of iron doping on tetravalent nickel content in catalytic oxygen evolving films
Energy Technology Data Exchange (ETDEWEB)
Li, Nancy; Bediako, D. Kwabena; Hadt, Ryan G.; Hayes, Dugan; Kempa, Thomas J.; von Cube, Felix; Bell, David C.; Chen, Lin X.; Nocera, Daniel G.
2017-01-30
Iron doping of nickel oxide films results in enhanced activity for promoting the oxygen evolution reaction (OER). Whereas this enhanced activity has been ascribed to a unique iron site within the nickel oxide matrix, we show here that Fe doping influences the Ni valency. The percent of Fe3+ doping promotes the formation of formal Ni4+, which in turn directly correlates with an enhanced activity of the catalyst in promoting OER. The role of Fe3+ is consistent with its behavior as a superior Lewis acid.
The Characterization of Thin Film Nickel Titanium Shape Memory Alloys
Harris Odum, Nicole Latrice
Shape memory alloys (SMA) are able to recover their original shape through the appropriate heat or stress exposure after enduring mechanical deformation at a low temperature. Numerous alloy systems have been discovered which produce this unique feature like TiNb, AgCd, NiAl, NiTi, and CuZnAl. Since their discovery, bulk scale SMAs have undergone extensive material property investigations and are employed in real world applications. However, its thin film counterparts have been modestly investigated and applied. Researchers have introduced numerous theoretical microelectromechanical system (MEMS) devices; yet, the research community's overall unfamiliarity with the thin film properties has delayed growth in this area. In addition, it has been difficult to outline efficient thin film processing techniques. In this dissertation, NiTi thin film processing and characterization techniques will be outlined and discussed. NiTi thin films---1 mum thick---were produced using sputter deposition techniques. Substrate bound thin films were deposited to analysis the surface using Scanning Electron Microscopy; the film composition was obtained using Energy Dispersive Spectroscopy; the phases were identified using X-ray diffraction; and the transformation temperatures acquired using resistivity testing. Microfabrication processing and sputter deposition were employed to develop tensile membranes for membrane deflection experimentation to gain insight on the mechanical properties of the thin films. The incorporation of these findings will aid in the movement of SMA microactuation devices from theory to fruition and greatly benefit industries such as medicinal and aeronautical.
Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition
Energy Technology Data Exchange (ETDEWEB)
Hernandez-Como, N. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Martinez-Landeros, V. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Mejia, I. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Aguirre-Tostado, F.S. [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Nascimento, C.D.; Azevedo, G. de M; Krug, C. [Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre, 91509-900 (Brazil); Quevedo-Lopez, M.A., E-mail: mquevedo@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States)
2014-01-01
The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10{sup −1} to 10{sup 4} Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10{sup 19} to 10{sup 13} cm{sup −3} and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm{sup 2}/V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10{sup 19} to 10{sup 13} cm{sup −3}. • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied.
A high-efficiency solution-deposited thin-film photovoltaic device
Energy Technology Data Exchange (ETDEWEB)
Mitzi, David B; Yuan, Min; Liu, Wei; Chey, S Jay; Schrott, Alex G [IBM T. J. Watson Research Center, Yorktown Heights, NY (United States); Kellock, Andrew J; Deline, Vaughn [IBM Almaden Research Center, San Jose, CA (United States)
2008-10-02
High-quality Cu(In,Ga)Se{sub 2} (CIGS) films are deposited from hydrazine-based solutions and are employed as absorber layers in thin-film photovoltaic devices. The CIGS films exhibit tunable stoichiometry and well-formed grain structure without requiring post-deposition high-temperature selenium treatment. Devices based on these films offer power conversion efficiencies of 10% (AM1.5 illumination). (Abstract Copyright [2008], Wiley Periodicals, Inc.)
Chemical bath ZnSe thin films: deposition and characterisation
Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.
1998-01-01
The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).
Sputter deposition of BSCCO films from a hollow cathode
International Nuclear Information System (INIS)
Lanagan, M.T.; Kampwirth, R.T.; Doyle, K.; Kowalski, S.; Miller, D.; Gray, K.E.
1991-01-01
High-T c superconducting thin films were deposited onto MgO single crystal substrates from a hollow cathode onto ceramic targets with the nominal composition of Bi 2 Sr 2 CaCu 2 O x . Films similar in composition to those used for the targets were deposited on MgO substrates by rf sputtering. The effects of sputtering time, rf power, and post-annealing on film microstructure and properties were studied in detail. Substrate temperature was found to have a significant influence on the film characteristics. Initial results show that deposition rates from a hollow cathode are an order of magnitude higher than those of a planar magnetron source at equivalent power levels. Large deposition rates allow for the coating of long lengths of wire
Porous nanostructured ZnO films deposited by picosecond laser ablation
International Nuclear Information System (INIS)
Sima, Cornelia; Grigoriu, Constantin; Besleaga, Cristina; Mitran, Tudor; Ion, Lucian; Antohe, Stefan
2012-01-01
Highlights: ► We deposite porous nanostructured ZnO films by picoseconds laser ablation (PLA). ► We examine changes of the films structure on the experimental parameter deposition. ► We demonstrate PLA capability to produce ZnO nanostructured films free of particulates. - Abstract: Porous nanostructured polycrystalline ZnO films, free of large particulates, were deposited by picosecond laser ablation. Using a Zn target, zinc oxide films were deposited on indium tin oxide (ITO) substrates using a picosecond Nd:YVO 4 laser (8 ps, 50 kHz, 532 nm, 0.17 J/cm 2 ) in an oxygen atmosphere at room temperature (RT). The morpho-structural characteristics of ZnO films deposited at different oxygen pressures (150–900 mTorr) and gas flow rates (0.25 and 10 sccm) were studied. The post-deposition influence of annealing (250–550 °C) in oxygen on the film characteristics was also investigated. At RT, a mixture of Zn and ZnO formed. At substrate temperatures above 350 °C, the films were completely oxidized, containing a ZnO wurtzite phase with crystallite sizes of 12.2–40.1 nm. At pressures of up to 450 mTorr, the porous films consisted of well-distinguished primary nanoparticles with average sizes of 45–58 nm, while at higher pressures, larger clusters (3.1–14.7 μm) were dominant, leading to thicker films; higher flow rates favored clustering.
Electrical Conductivity of CUXS Thin Film Deposited by Chemical ...
African Journals Online (AJOL)
Thin films of CuxS have successfully been deposited on glass substrates using the Chemical Bath Deposition (CBD) technique. The films were then investigated for their electrical properties. The results showed that the electrical conductivities of the CuxS films with different molarities (n) of thiourea (Tu), determined using ...
Electroless deposition, post annealing and characterization of nickel ...
Indian Academy of Sciences (India)
Wintec
Currently at: Department of Physics, Columbia University, New York, USA. MS received 9 ... The electroless deposition is a promising method to prepare durable metal films on both .... graphic data available in literature. The XRD patterns were ...
Properties of electrophoretically deposited single wall carbon nanotube films
International Nuclear Information System (INIS)
Lim, Junyoung; Jalali, Maryam; Campbell, Stephen A.
2015-01-01
This paper describes techniques for rapidly producing a carbon nanotube thin film by electrophoretic deposition at room temperature and determines the film mass density and electrical/mechanical properties of such films. The mechanism of electrophoretic deposition of thin layers is explained with experimental data. Also, film thickness is measured as a function of time, electrical field and suspension concentration. We use Rutherford backscattering spectroscopy to determine the film mass density. Films created in this manner have a resistivity of 2.14 × 10 −3 Ω·cm, a mass density that varies with thickness from 0.12 to 0.54 g/cm 3 , and a Young's modulus between 4.72 and 5.67 GPa. The latter was found to be independent of thickness from 77 to 134 nm. We also report on fabricating free-standing films by removing the metal seed layer under the CNT film, and selectively etching a sacrificial layer. This method could be extended to flexible photovoltaic devices or high frequency RF MEMS devices. - Highlights: • We explain the electrophoretic deposition process and mechanism of thin SWCNT film deposition. • Characterization of the SWCNT film properties including density, resistivity, transmittance, and Young's modulus. • The film density and resistivity are found to be a function of the film thickness. • Techniques developed to create free standing layers of SW-CNTs for flexible electronics and mechanical actuators
Defect studies of thin ZnO films prepared by pulsed laser deposition
International Nuclear Information System (INIS)
Vlček, M; Čížek, J; Procházka, I; Novotný, M; Bulíř, J; Lančok, J; Anwand, W; Brauer, G; Mosnier, J-P
2014-01-01
Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.
Hall, David S; Lockwood, David J; Poirier, Shawn; Bock, Christina; MacDougall, Barry R
2012-06-28
The present work utilizes Raman and infrared (IR) spectroscopy, supported by X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS) to re-examine the fine structural details of Ni(OH)(2), which is a key material in many energy-related applications. This work also unifies the large body of literature on the topic. Samples were prepared by the galvanostatic basification of nickel salts and by aging the deposits in hot KOH solutions. A simplified model is presented consisting of two fundamental phases (α and β) of Ni(OH)(2) and a range of possible structural disorder arising from factors such as impurities, hydration, and crystal defects. For the first time, all of the lattice modes of β-Ni(OH)(2) have been identified and assigned using factor group analysis. Ni(OH)(2) films can be rapidly identified in pure and mixed samples using Raman or IR spectroscopy by measuring their strong O-H stretching modes, which act as fingerprints. Thus, this work establishes methods to measure the phase, or phases, and disorder at a Ni(OH)(2) sample surface and to correlate desired chemical properties to their structural origins.
UV laser deposition of metal films by photogenerated free radicals
Montgomery, R. K.; Mantei, T. D.
1986-01-01
A novel photochemical method for liquid-phase deposition of metal films is described. In the liquid phase deposition scheme, a metal containing compound and a metal-metal bonded carbonyl complex are dissolved together in a polar solvent and the mixture is irradiated using a UV laser. The optical arrangement consists of a HeCd laser which provides 7 mW of power at a wavelength of 325 nm in the TEM(OO) mode. The beam is attenuated and may be expanded to a diameter of 5-20 mm. Experiments with photochemical deposition of silver films onto glass and quartz substrates are described in detail. Mass spectrometric analysis of deposited silver films indicated a deposition rate of about 1 A/s at incident power levels of 0.01 W/sq cm. UV laser-induced copper and palladium films have also been obtained. A black and white photograph showing the silver Van Der Pauw pattern of a solution-deposited film is provided.
International Nuclear Information System (INIS)
Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin
2012-01-01
To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V
Ion beam and dual ion beam sputter deposition of tantalum oxide films
Cevro, Mirza; Carter, George
1994-11-01
Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All
Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films
Cevro, Mirza; Carter, George
1995-02-01
Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals
Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung
2008-05-01
Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.
SEM and Raman studies of CNT films on porous Si
Belka, R.; Keczkowska, J.; Suchańska, M.; Firek, P.; Wronka, H.; Kozłowski, M.; Radomska, J.; Czerwosz, E.; Craciunoiu, F.
2017-08-01
Carbon nanotube (CNT) films deposited on different porous silica substrates were studied by Scanning Electron Microscopy (SEM) and Raman Spectroscopy (RS). The films samples were prepared by a two-step method consisting of PVD and CVD processes. In the first step the nanocomposite Ni-C film was obtained by evaporation in dynamic vacuum from two separated sources of fullerenes and nickel acetate. Those films were deposited on porous silica and DLC/porous silica substrates. Analysis of SEM imaging showed that the obtained film are composed of carbon nanotubes, the distribution, size and quality of which depend on the type of substrate. The CNT films were studied by RS method to determine the influence of the substrate type on disordering of carbonaceous structure and quality of CNT in deposited films.
Amorphous Terfenol-D films using nanosecond pulsed laser deposition
International Nuclear Information System (INIS)
Ma, James; O'Brien, Daniel T.; Kovar, Desiderio
2009-01-01
Thin films of Terfenol-D were produced by nanosecond pulsed laser deposition (PLD) at two fluences. Electron dispersive spectroscopy conducted using scanning electron and transmission electron microscopes showed that the film compositions were similar to that of the PLD target. Contrary to previous assertions that suggested that nanosecond PLD results in crystalline films, X-ray diffraction and transmission electron microscopy analysis showed that the films produced at both fluences were amorphous. Splatters present on the film had similar compositions to the overall film and were also amorphous. Magnetic measurements showed that the films had high saturation magnetization and magnetostriction, similar to high quality films produced using other physical vapor deposition methods.
Ellipsometric study of nanostructured carbon films deposited by pulsed laser deposition
International Nuclear Information System (INIS)
Bereznai, M.; Budai, J.; Hanyecz, I.; Kopniczky, J.; Veres, M.; Koos, M.; Toth, Z.
2011-01-01
When depositing carbon films by plasma processes the resulting structure and bonding nature strongly depends on the plasma energy and background gas pressure. To produce different energy plasma, glassy carbon targets were ablated by laser pulses of different excimer lasers: KrF (248 nm) and ArF (193 nm). To modify plume characteristics argon atmosphere was applied. The laser plume was directed onto Si substrates, where the films were grown. To evaluate ellipsometric measurements first a combination of the Tauc-Lorentz oscillator and the Sellmeier formula (TL/S) was applied. Effective Medium Approximation models were also used to investigate film properties. Applying argon pressures above 10 Pa the deposits became nanostructured as indicated by high resolution scanning electron microscopy. Above ∼ 100 and ∼ 20 Pa films could not be deposited by KrF and ArF laser, respectively. Our ellipsometric investigations showed, that with increasing pressure the maximal refractive index of both series decreased, while the optical band gap starts with a decrease, but shows a non monotonous course. Correlation between the size of the nanostructures, bonding structure, which was followed by Raman spectroscopy and optical properties were also investigated.
International Nuclear Information System (INIS)
Molina, J.M.; Saravanan, R.A.; Narciso, J.; Louis, E.
2004-01-01
A method to modify the surface of aluminium matrix composites (AMC) by electrochemical nickel deposition has been developed. Deposition was carried out in a stirred standard Watt's bath, whereas potential and time were varied to optimize coating characteristics. The method, that allowed to overcome the serious difficulties associated to electrochemical deposition of an inherently inhomogeneous material, was used to nickel coat composites of 2014 aluminium alloy-15 vol.% Al 2 O 3 particles. Coats with a good adherence and up to 60 μm thick were easily obtained. In order to improve surface properties, the coated composite was subjected to rather long (from 10 to 47.5 h) heat treatments at a temperature of 520 deg,C. The heat treatments improved the uniformity of the deposited layer and promoted the formation of Al-Ni intermetallics (mainly Al 3 Ni 2 , as revealed by X-ray diffraction and energy-dispersive X-ray analysis (EDX)). Experimental results indicate that growth of the intermetallic layer is diffusion limited
Chemical vapor deposition of Si/SiC nano-multilayer thin films
International Nuclear Information System (INIS)
Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.
2015-01-01
Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.
International Nuclear Information System (INIS)
Smith, D.; Peck, G.
1996-01-01
Full text: The natural 233 U decay series includes the trio 210 Pb, 210 Bi and 210 Po. These are useful in estimating rates of environmental processes and 210 Po is a major contributor to the radiation dose of marine organisms. To develop an understanding of the distribution of these closely related radionuclides in the environment it is necessary to be able to measure all three. Accurate measurements depend on preliminary separation of the nuclides. Isolation and measurement of 210 Bi has been a continuing problem and this has restricted the study of the role of this nuclide in environmental processes. We have developed a sample preparation that includes plating polonium from solution onto a silver disc then plating bismuth onto a nickel disc and leaving the lead in solution. The 210 Bi is measured by Cerenkov counting. Any 210 Pb plating onto nickel with the bismuth would interfere in subsequent counting as it decays rapidly to 210 Bi. We have used SIMS (Secondary Ion Mass Spectrometry) to measure bismuth and lead deposited on the nickel and silver discs. This is possible because the stable isotopes of the four elements do not overlap. SIMS is especially appropriate for this study as the Bi and Pb deposited as thin films on the metal surface. Careful selection of experimental conditions allowed quantitative measurements of lead and bismuth without mutual interference. The results have been used in developing plating conditions that optimise separation of lead and bismuth
Molecular dynamics simulation about porous thin-film growth in secondary deposition
International Nuclear Information System (INIS)
Chen Huawei; Tieu, A. Kiet; Liu Qiang; Hagiwara, Ichiro; Lu Cheng
2007-01-01
The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters
Molecular dynamics simulation about porous thin-film growth in secondary deposition
Energy Technology Data Exchange (ETDEWEB)
Chen Huawei [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China) and Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)]. E-mail: chen_hua_wei@yahoo.com; Tieu, A. Kiet [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia); Liu Qiang [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China); Hagiwara, Ichiro [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo (Japan); Lu Cheng [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)
2007-07-15
The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters.
Formation and microstructure of nickel oxide films
Energy Technology Data Exchange (ETDEWEB)
Marcius, Marijan [Ruder Boskovic Institute, P.O. Box 180, HR-10002 Zagreb (Croatia); Ristic, Mira, E-mail: ristic@irb.hr [Ruder Boskovic Institute, P.O. Box 180, HR-10002 Zagreb (Croatia); Ivanda, Mile; Music, Svetozar [Ruder Boskovic Institute, P.O. Box 180, HR-10002 Zagreb (Croatia)
2012-11-15
Highlights: Black-Right-Pointing-Pointer Difference in NiO films formed on Ni plate or glass substrate were found. Black-Right-Pointing-Pointer NiO particle sizes on Ni plate changed from nano to micron dimensions. Black-Right-Pointing-Pointer NiO particle sizes on glass substrate changed from {approx}16 to {approx}27 nm. Black-Right-Pointing-Pointer Raman and UV/Vis/NIR spectra are related to the microstructure of NiO films. - Abstract: The formation and microstructure of NiO films on different substrates were monitored using XRD, Raman, UV/Vis/NIR and FE-SEM/EDS techniques. The formation of NiO films on Ni plates in air atmosphere between 400 and 800 Degree-Sign C was confirmed by XRD and Raman spectroscopy. The origin of Raman bands and corresponding Raman shifts in the samples are discussed. An increase in the size of NiO particles in the films from nano to micro dimensions was demonstrated. A change in the atomic ratio Ni:O with an increase in heating temperature was observed. Polished Ni plates coated with a thin Ni-acetate layer upon heating at high temperatures gave similar NiO microstructures on the surface like in the case of non-treated Ni plates. Glass substrates coated with thin Ni-acetate films upon heating between 400 and 800 Degree-Sign C yielded pseudospherical NiO nanoparticles. The dominant Raman band as an indicator of NiO formation on a glass substrate was shown. The formation of NiO nanoparticles on glass substrates with maximum size distribution from 16 to 27 nm in a broad temperature range from 400 to 800 Degree-Sign C can be explained by the absence of a constant source of metallic nickel which was present in the case of Ni plates.
Energy Technology Data Exchange (ETDEWEB)
Shilpa Harish, T.; Viswanath, P., E-mail: viswanath@cnsms.res.in
2016-01-01
We report our studies on thin films of metallo-phthalocyanine (MPc), Nickel(II)1,4,8,11,15,18,22,25-octabutoxy-29H,31H-phthalocyanine (NiPc(OBu){sub 8}) transferred in a well defined thermodynamic state over a self assembled monolayer (octadecyl trichlorosilane)/SiO{sub 2}/Si substrate using the Langmuir–Blodgett (LB) method. The films are characterized using differential scanning calorimetry (DSC), grazing incidence X-ray diffraction (GIXD) and atomic force microscopy (AFM) techniques. DSC studies on powdered samples in the bulk indicate enantiotropic solid–solid phase transition. GIXD studies on the as-deposited LB film show a Bragg peak indicating crystallinity of the thin film. Annealing (373 K) results in reduction of lattice spacing (1.21 Å) signifying changes in molecular packing within the unit cell. At this stage, an additional Bragg peak is observed which grows at the expense of the former one and they coexist between 373 K and 423 K. A discontinuity in lattice spacing from 20.73 to 15.12 Å with annealing indicates clearly a structural change of the underlying crystalline lattice. Correspondingly, the surface morphology images obtained using AFM show, with annealing, a transformation from spherical granular morphology to elongated, flat crystallites suggesting asymmetric growth process. Statistical parameters of the grain extracted from the AFM images show that the size, fractal dimension and circularity are affected by annealing. Based on these studies, we infer the structural and surface morphological changes of the meta-stable phase (Form I) to the stable phase (Form II) in annealed LB films of phthalocyanine. - Highlights: • Langmuir–Blodgett (LB) films of phthalocyanine subjected to thermal annealing. • Structural transformation and coexistence of polymorphs in LB films • Surface morphology changes from nanoscale grains to elongated crystallites. • Reduction of fractal dimension and circularity index reveals asymmetric growth.
Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique
International Nuclear Information System (INIS)
Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.
2015-01-01
Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap
Morales, M.; Droppa, R., Jr.; de Mello, S. R. S.; Figueroa, C. A.; Zanatta, A. R.; Alvarez, F.
2018-01-01
In this work we report an experimental approach by combining in situ sequential top-down and bottom-up processes to induce the organization of nanosized nickel particles. The top-down process consists in xenon ion bombardment of a crystalline silicon substrate to generate a pattern, followed by depositing a ˜15 nm titanium oxynitride thin film to act as a metallic diffusion barrier. Then, metallic nanoparticles are deposited by argon ion sputtering a pure nickel target, and the sample is annealed to promote the organization of the nickel nanoparticles (a bottom-up process). According to the experimental results, the surface pattern and the substrate biaxial surface strain are the driving forces behind the alignment and organization of the nickel nanoparticles. Moreover, the ratio between the F of metallic atoms arriving at the substrate relative to its surface diffusion mobility determines the nucleation regime of the nickel nanoparticles. These features are presented and discussed considering the existing technical literature on the subject.
International Nuclear Information System (INIS)
Wen, Rui-Tao; Niklasson, Gunnar A.; Granqvist, Claes G.
2014-01-01
Porous nickel oxide films were deposited onto unheated indium tin oxide coated glass substrates by reactive dc magnetron sputtering. These films had a cubic NiO structure. Electrochromic properties were evaluated in 1 M potassium hydroxide (KOH) and in 1 M lithium perchlorate in propylene carbonate (Li–PC). Large optical modulation was obtained for ∼ 500-nm-thick films both in KOH and in Li–PC (∼ 70% and ∼ 50% at 550 nm, respectively). In KOH, tensile and compressive stresses, due to the expansion and contraction of the lattice, were found for films in their bleached and colored state, respectively. In Li–PC, compressive stress was seen both in colored and bleached films. Durability tests with voltage sweeps between − 0.5 and 0.65 V vs Ag/AgCl in KOH showed good durability for 10,000 cycles, whereas voltage sweeps between 2.0 and 4.7 V vs Li/Li + in Li–PC yielded significant degradation after 1000 cycles. - Highlights: • Ni oxide films were studied in KOH and in LiClO 4 + propylene carbonate (Li–PC). • Good electrochromism was found in both electrolytes. • In KOH, tensile/compressive stresses were seen in bleached/colored films. • In Li–PC, compressive stress was seen both in colored and bleached films
The electrolytic deposition of carbon from molten Li2CO3
International Nuclear Information System (INIS)
Dimitrov, A.T.
2003-01-01
Electrodeposition of carbon on an nickel electrode in molten salt has been investigated with the aid of scanning electron microscopy (SEM) and cyclic voltammetry, using molten LiCl, as a base electrolyte with adding of 1 and 5 % of Li 2 CO 3 . Commercial nickel wire was used as a cathode and graphite crucible as the anode electrode. A cyclic voltammograms for an nickel electrode indicates that the deposition or discharge of carbon at the cathode occurs at potential range of - 0.8 to -1.7 V. Further, SEM observations showed that morphology of the carbon at the cathode is in the form of a fairly hard black deposit. It was found that the quality of the deposit depends by the cathode surface, applied overpotential, content of lithium carbonate and the thickness of the carbon film. (Original)
Energy Technology Data Exchange (ETDEWEB)
Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)
2016-02-28
Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.
Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition
Energy Technology Data Exchange (ETDEWEB)
Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw
2008-04-30
Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.
Lanthanoid titanate film structure deposited at different temperatures in vacuum
International Nuclear Information System (INIS)
Kushkov, V.D.; Zaslavskij, A.M.; Mel'nikov, A.V.; Zverlin, A.V.; Slivinskaya, A.Eh.
1991-01-01
Influence of deposition temperature on the structure of lanthanoid titanate films, prepared by the method of high-rate vacuum condensation. It is shown that formation of crystal structure, close to equilibrium samples, proceeds at 1100-1300 deg C deposition temperatures. Increase of temperature in this range promotes formation of films with higher degree of structural perfection. Amorphous films of lanthanoid titanates form at 200-1000 deg C. Deposition temperature shouldn't exceed 1400 deg C to prevent the formation of perovskite like phases in films
P-type thin films transistors with solution-deposited lead sulfide films as semiconductor
Energy Technology Data Exchange (ETDEWEB)
Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)
2012-01-31
In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.
International Nuclear Information System (INIS)
Bora, Pritom J; Ramamurthy, Praveen C; Madras, Giridhar; Vinoy, K J; Kishore
2015-01-01
A solid waste material fly ash cenosphere (FAC) was nickel coated and polyaniline in situ polymerized at −30 ± 2 °C in nitrogen atmosphere. A thin film of this composite material was prepared by solution processing and surface morphology/topography was studied. High electromagnetic shielding effectiveness (SE) was obtained for this film; 59 ± 4 μm and 133 ± 4 μm films show an average of 38 and 60 dB SE, respectively, in the frequency range 8.2–12.4 GHz (X-band). Unlike PANI film, the SE of these composite films is high at high frequency. The presence of magneto dielectric microsphere (Ni-FAC) increases the heterogeneity of the composite film in an efficient way for EMI shielding by changing film topography and increasing ac conductivity and permeability. (paper)
Perovskite Thin Films via Atomic Layer Deposition
Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.
2014-01-01
© 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.
Perovskite Thin Films via Atomic Layer Deposition
Sutherland, Brandon R.
2014-10-30
© 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.
International Nuclear Information System (INIS)
Purohit, Viswas; Mielczarski, Ela; Mielczarski, Jerzy A.; Akesso, Laurent
2013-01-01
A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O
Energy Technology Data Exchange (ETDEWEB)
Purohit, Viswas, E-mail: vishwas.purohit@gmail.com [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Mielczarski, Ela; Mielczarski, Jerzy A. [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Akesso, Laurent [Teer Coatings Ltd., Droitwich, Worcestershire WR9 9AS (United Kingdom)
2013-09-16
A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O.
Structural surprises in friction-deposited films of poly(tetrafluoroethylene)
DEFF Research Database (Denmark)
Breiby, Dag Werner; Sølling, Theis Ivan; Bunk, Oliver
2005-01-01
Thin films of poly(tetrafluoroethylene) (PTFE) produced by friction deposition were studied using grazing incidence X-ray diffraction as the principal tool. The structure of the deposited thin films was compared with that of the surface of the PTFE bar used for depositing the films. Both exhibited...... the 15/7 helix conformation characteristic of crystal PTFE phase IV. A high degree of biaxial orientation was found for the highly crystalline thin films. Whereas the unit cell of the bar surface material appeared to be single-stem hexagonal, the film displayed diffraction characteristics consistent...... the possibility of a continuous transition between the low-order single-stem hexagonal and the multistem high-order unit cell. The degree of chain orientation was much lower at the surface of the bar than in the thin film. A modification of the commonly accepted mechanism for the transfer of material from the bar...
Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.
Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu
2017-09-27
This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.
Morphology evolution in spinel manganite films deposited from an aqueous solution
International Nuclear Information System (INIS)
Ko, Song Won; Li, Jing; Trolier-McKinstry, Susan
2012-01-01
Spinel manganite films were deposited by the spin spray technique at low deposition temperatures ( 1000, agglomeration of small particles was dominant, which suggests that homogeneous nucleation is dominant during deposition. Heterogeneous nucleation was critical to obtain dense films. - Highlights: ► Film microstructure depends on supersaturation. ► Heterogeneous nucleation induces dense and continuous films. ► The spin spray technique enables use of a variety of substrates.
Ultrashort pulse laser deposition of thin films
Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.
2002-01-01
Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.
International Nuclear Information System (INIS)
Wang, Ming-Qiu; Yan, Jun; Du, Shi-Guo; Li, Hong-Guang
2013-01-01
A novel palladium-free surface activation process for electroless nickel plating was developed. This method applied a semi-Interpenetrating Polymer Network (semi-IPN) hydrogel film to modify the poly(vinyl chloride) (PVC) surface by chemical bonds. The activation process involved the formation of semi-IPN hydrogel film on the PVC surface and the immobilization of catalyst for electroless plating linking to the pretreated substrate via N-Ni chemical bond. The hydrogel layer was used as the chemisorption sites for nickel ions, and the catalyst could initiate the subsequent electroless nickel plating onto the PVC surface. Finally, a Ni–P layer was deposited on the nickel-activated PVC substrate by electroless plating technique. The composition and morphology of nickel-plated PVC foils were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDS) and X-ray diffraction (XRD). The results of SEM and XRD show that a compact and continuous Ni–P layer with amorphous nickel phase is formed on the PVC surface. EDS shows that the content of the nickel and the phosphorus in the deposits is 89.4 wt.% and 10.6 wt.%, respectively.
Energy Technology Data Exchange (ETDEWEB)
Wang, Ming-Qiu, E-mail: mqwang1514@163.com; Yan, Jun; Du, Shi-Guo; Li, Hong-Guang
2013-07-15
A novel palladium-free surface activation process for electroless nickel plating was developed. This method applied a semi-Interpenetrating Polymer Network (semi-IPN) hydrogel film to modify the poly(vinyl chloride) (PVC) surface by chemical bonds. The activation process involved the formation of semi-IPN hydrogel film on the PVC surface and the immobilization of catalyst for electroless plating linking to the pretreated substrate via N-Ni chemical bond. The hydrogel layer was used as the chemisorption sites for nickel ions, and the catalyst could initiate the subsequent electroless nickel plating onto the PVC surface. Finally, a Ni–P layer was deposited on the nickel-activated PVC substrate by electroless plating technique. The composition and morphology of nickel-plated PVC foils were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDS) and X-ray diffraction (XRD). The results of SEM and XRD show that a compact and continuous Ni–P layer with amorphous nickel phase is formed on the PVC surface. EDS shows that the content of the nickel and the phosphorus in the deposits is 89.4 wt.% and 10.6 wt.%, respectively.
Wang, Ming-Qiu; Yan, Jun; Du, Shi-Guo; Li, Hong-Guang
2013-07-01
A novel palladium-free surface activation process for electroless nickel plating was developed. This method applied a semi-Interpenetrating Polymer Network (semi-IPN) hydrogel film to modify the poly(vinyl chloride) (PVC) surface by chemical bonds. The activation process involved the formation of semi-IPN hydrogel film on the PVC surface and the immobilization of catalyst for electroless plating linking to the pretreated substrate via Nsbnd Ni chemical bond. The hydrogel layer was used as the chemisorption sites for nickel ions, and the catalyst could initiate the subsequent electroless nickel plating onto the PVC surface. Finally, a Ni-P layer was deposited on the nickel-activated PVC substrate by electroless plating technique. The composition and morphology of nickel-plated PVC foils were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDS) and X-ray diffraction (XRD). The results of SEM and XRD show that a compact and continuous Ni-P layer with amorphous nickel phase is formed on the PVC surface. EDS shows that the content of the nickel and the phosphorus in the deposits is 89.4 wt.% and 10.6 wt.%, respectively.
CdS films deposited by chemical bath under rotation
International Nuclear Information System (INIS)
Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.
2010-01-01
Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.
CdS films deposited by chemical bath under rotation
Energy Technology Data Exchange (ETDEWEB)
Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)
2010-08-01
Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.
Picosecond and subpicosecond pulsed laser deposition of Pb thin films
Directory of Open Access Journals (Sweden)
F. Gontad
2013-09-01
Full Text Available Pb thin films were deposited on Nb substrates by means of pulsed laser deposition (PLD with UV radiation (248 nm, in two different ablation regimes: picosecond (5 ps and subpicosecond (0.5 ps. Granular films with grain size on the micron scale have been obtained, with no evidence of large droplet formation. All films presented a polycrystalline character with preferential orientation along the (111 crystalline planes. A maximum quantum efficiency (QE of 7.3×10^{-5} (at 266 nm and 7 ns pulse duration was measured, after laser cleaning, demonstrating good photoemission performance for Pb thin films deposited by ultrashort PLD. Moreover, Pb thin film photocathodes have maintained their QE for days, providing excellent chemical stability and durability. These results suggest that Pb thin films deposited on Nb by ultrashort PLD are a noteworthy alternative for the fabrication of photocathodes for superconductive radio-frequency electron guns. Finally, a comparison with the characteristics of Pb films prepared by ns PLD is illustrated and discussed.
Recent progress of obliquely deposited thin films for industrial applications
Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori
1999-06-01
More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.
Morphological Characteristics of Au Films Deposited on Ti: A Combined SEM-AFM Study
Directory of Open Access Journals (Sweden)
Francesco Ruffino
2018-03-01
Full Text Available Deposited Au films and coatings are, nowadays, routinely used as active or passive elements in several innovative electronic, optoelectronic, sensing, and energy devices. In these devices, the physical properties of the Au films are strongly determined by the films nanoscale structure. In addition, in these devices, often, a layer of Ti is employed to promote adhesion and, so, influencing the nanoscale structure of the deposited Au film. In this work, we present experimental analysis on the nanoscale cross-section and surface morphology of Au films deposited on Ti. In particular, we sputter-deposited thick (>100 nm thickness Au films on Ti foils and we used Scanning Electron Microscopy to analyze the films cross-sectional and surface morphology as a function of the Au film thickness and deposition angle. In addition, we analyzed the Au films surface morphology by Atomic Force Microscopy which allowed quantifying the films surface roughness versus the film thickness and deposition angle. The results establish a relation between the Au films cross-sectional and surface morphologies and surface roughness to the film thickness and deposition angle. These results allow setting a general working framework to obtain Au films on Ti with specific morphological and topographic properties for desired applications in which the Ti adhesion layer is needed for Au.
International Nuclear Information System (INIS)
Laitinen, T.; Bojinov, M.; Betova, I.; Maekelae, K.; Saario, T.
1999-01-01
The construction materials used in coolant systems in nuclear power plants become covered with oxide films as a result of exposure to the aqueous environment. The susceptibility of the materials to different forms of corrosion, as well as the extent of the incorporation of radioactive species on the surfaces of the primary circuit, are greatly influenced by the physical and chemical properties of these oxide films. The composition and characteristics of the oxide films in turn depend on the applied water chemistry. This work was undertaken in order to collect and evaluate the present views on the structure and behaviour of oxide films formed on iron- and nickel-based materials in aqueous environments. This survey should serve to recognise the areas in which more understanding and research effort is needed. The review begins with a discussion on the bulk oxides of iron, nickel and chromium, as well as their mixed oxides. In addition to bulk oxides, the structure and properties of oxide films forming on pure iron, nickel and chromium and on iron- and nickel-based engineering alloys are considered. General approaches to model the structure and growth of oxide films on metals are discussed in detail. The specific features of the oxide structures, properties and growth at high temperatures are presented with special focus on the relevance of existing models. Finally, the role of oxide films in localised corrosion, oxide breakdown pitting. Stress corrosion cracking and related phenomena is considered. The films formed on the surfaces of iron- and nickel-based alloys in high-temperature aqueous environments generally comprise two layers, i.e. the so-called duplex structure. The inner part is normally enriched in chromium and has a more compact structure, while the outer part is enriched in iron and has a cracked or porous structure. The information collected clearly indicates the effect of the chemical environment on the properties of oxide films growing on metal surfaces
Energy Technology Data Exchange (ETDEWEB)
Laitinen, T.; Bojinov, M.; Betova, I.; Maekelae, K.; Saario, T. [VTT Manufacturing Technology, Espoo (Finland)
1999-01-01
The construction materials used in coolant systems in nuclear power plants become covered with oxide films as a result of exposure to the aqueous environment. The susceptibility of the materials to different forms of corrosion, as well as the extent of the incorporation of radioactive species on the surfaces of the primary circuit, are greatly influenced by the physical and chemical properties of these oxide films. The composition and characteristics of the oxide films in turn depend on the applied water chemistry. This work was undertaken in order to collect and evaluate the present views on the structure and behaviour of oxide films formed on iron- and nickel-based materials in aqueous environments. This survey should serve to recognise the areas in which more understanding and research effort is needed. The review begins with a discussion on the bulk oxides of iron, nickel and chromium, as well as their mixed oxides. In addition to bulk oxides, the structure and properties of oxide films forming on pure iron, nickel and chromium and on iron- and nickel-based engineering alloys are considered. General approaches to model the structure and growth of oxide films on metals are discussed in detail. The specific features of the oxide structures, properties and growth at high temperatures are presented with special focus on the relevance of existing models. Finally, the role of oxide films in localised corrosion, oxide breakdown pitting. Stress corrosion cracking and related phenomena is considered. The films formed on the surfaces of iron- and nickel-based alloys in high-temperature aqueous environments generally comprise two layers, i.e. the so-called duplex structure. The inner part is normally enriched in chromium and has a more compact structure, while the outer part is enriched in iron and has a cracked or porous structure. The information collected clearly indicates the effect of the chemical environment on the properties of oxide films growing on metal surfaces
Fabrication and characterization of vacuum deposited fluorescein thin films
Energy Technology Data Exchange (ETDEWEB)
Jalkanen, Pasi, E-mail: pasi.jalkanen@gmail.co [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kulju, Sampo, E-mail: sampo.j.kulju@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Arutyunov, Konstantin, E-mail: konstantin.arutyunov@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Antila, Liisa, E-mail: liisa.j.antila@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Myllyperkioe, Pasi, E-mail: pasi.myllyperkio@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Ihalainen, Teemu, E-mail: teemu.o.ihalainen@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kaeaeriaeinen, Tommi, E-mail: tommi.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Kaeaeriaeinen, Marja-Leena, E-mail: marja-leena.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Korppi-Tommola, Jouko, E-mail: jouko.korppi-tommola@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland)
2011-03-31
Simple vacuum evaporation technique for deposition of dyes on various solid surfaces has been developed. The method is compatible with conventional solvent-free nanofabrication processing enabling fabrication of nanoscale optoelectronic devices. Thin films of fluorescein were deposited on glass, fluorine-tin-oxide (FTO) coated glass with and without atomically layer deposited (ALD) nanocrystalline 20 nm thick anatase TiO{sub 2} coating. Surface topology, absorption and emission spectra of the films depend on their thickness and the material of supporting substrate. On a smooth glass surface the dye initially forms islands before merging into a uniform layer after 5 to 10 monolayers. On FTO covered glass the absorption spectra are similar to fluorescein solution in ethanol. Absorption spectra on ALD-TiO{sub 2} is red shifted compared to the film deposited on bare FTO. The corresponding emission spectra at {lambda} = 458 nm excitation show various thickness and substrate dependent features, while the emission of films deposited on TiO{sub 2} is quenched due to the effective electron transfer to the semiconductor conduction band.
Energy Technology Data Exchange (ETDEWEB)
Adekunle, Abolanle S.; Ozoemena, Kenneth I. [Department of Chemistry, University of Pretoria, Pretoria 0002 (South Africa)
2008-08-01
The electron transfer behaviour of nickel film-decorated single-walled carbon nanotubes (SWCNTs-Ni) at edge plane pyrolytic graphite electrodes (EPPGEs) was investigated. The impact of SWCNTs on the redox properties of the nickel film was investigated with cyclic voltammetry and electrochemical impedance spectroscopy (EIS). From EIS data, obtained using ferrocyanide/ferricyanide as a redox probe, we show that the electrodes based on nickel and nickel oxide films follow electrical equivalent circuit models typical of partial charge transfer or adsorption-controlled kinetics, resembling the 'electrolyte-insulator-semiconductor sensors (EIS)'. From the models, we prove that EPPGE-SWCNT-Ni exhibits the least resistance to charge transport compared to other electrodes (approximately 30 times faster than the EPPGE-SWCNT-NiO, 25 times faster than EPPGE-SWCNT, and over 300 times faster than the bare EPPGE) suggesting the ability of the SWCNTs to act as efficient conducting species that facilitate electron transport of the integrated nickel and nickel oxide particles. (author)
Physical properties of chemical vapour deposited nanostructured carbon thin films
International Nuclear Information System (INIS)
Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.
2011-01-01
Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.
Pulsed laser deposition and characterization of multilayer metal-carbon thin films
Energy Technology Data Exchange (ETDEWEB)
Siraj, K., E-mail: khurram.uet@gmail.com [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z. [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Naseem, S.; Riaz, S. [Center for Solid State Physics, University of Punjab, Lahore (Pakistan)
2011-05-15
Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.
Pulsed laser deposition and characterization of multilayer metal-carbon thin films
International Nuclear Information System (INIS)
Siraj, K.; Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z.; Naseem, S.; Riaz, S.
2011-01-01
Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.
Energy Technology Data Exchange (ETDEWEB)
Pachauri, Neha; Khodadadi, Behrouz [Department of Physics and Astronomy, The University of Alabama, Tuscaloosa, Alabama 35487 (United States); Center for Materials for Information Technology (MINT), The University of Alabama, Tuscaloosa, Alabama 35487 (United States); Singh, Amit V. [Center for Materials for Information Technology (MINT), The University of Alabama, Tuscaloosa, Alabama 35487 (United States); Mohammadi, Jamileh Beik [Department of Physics and Astronomy, The University of Alabama, Tuscaloosa, Alabama 35487 (United States); Center for Materials for Information Technology (MINT), The University of Alabama, Tuscaloosa, Alabama 35487 (United States); Martens, Richard L. [Central Analytical Facility (CAF), The University of Alabama, Tuscaloosa, Alabama 35487 (United States); LeClair, Patrick R.; Mewes, Claudia; Mewes, Tim [Department of Physics and Astronomy, The University of Alabama, Tuscaloosa, Alabama 35487 (United States); Center for Materials for Information Technology (MINT), The University of Alabama, Tuscaloosa, Alabama 35487 (United States); Gupta, Arunava [Center for Materials for Information Technology (MINT), The University of Alabama, Tuscaloosa, Alabama 35487 (United States)
2016-11-01
We report a detailed study of the structural and ferromagnetic resonance properties of spinel nickel ferrite (NFO) films, grown on (100)-oriented cubic MgAl{sub 2}O{sub 4} substrates by direct liquid injection chemical vapor deposition (DLI-CVD) technique. Three different compositions of NFO films (Ni{sub x}Fe{sub 3−x}O{sub 4} where x=1, 0.8, 0.6) deposited at optimized growth temperature of 600 °C are characterized using X-Ray Diffraction (XRD), Scanning Electron Microscopy (SEM), Vibrating Sample Magnetometry (VSM), and broadband ferromagnetic resonance (FMR) techniques. XRD confirms the growth of epitaxial, single crystalline Ni{sub x}Fe{sub 3−x}O{sub 4} films. The out-of-plane lattice constant (c) obtained for Ni{sub 0.8}Fe{sub 2.2}O{sub 4} film is slightly higher than the bulk value (0.833 nm), indicating only partial strain relaxation whereas for the other two compositions (x=1 and x=0.6) films exhibit complete relaxation. The in-plane and out-of-plane FMR linewidths measurements at 10 GHz give the lowest values of 458 Oe and 98 Oe, respectively, for Ni{sub 0.8}Fe{sub 2.2}O{sub 4} film as compared to the other two compositions. A comprehensive frequency (5–40 GHz) and temperature (10–300 K) dependent FMR study of the Ni{sub 0.8}Fe{sub 2.2}O{sub 4} sample for both in-lane and out-of-plane configurations reveals two magnon scattering (TMS) as the dominant in-plane relaxation mechanism. It is observed that the TMS contribution to the FMR linewidth scales with the saturation magnetization M{sub s}. In-plane angle-dependent FMR measurements performed on the same sample show that the ferromagnetic resonance field (H{sub res}) and the FMR linewidth (ΔH) have a four-fold symmetry that is consistent with the crystal symmetry of the spinel. SEM measurements show formation of pyramid-like microstructures at the surface of the Ni{sub 0.8}Fe{sub 2.2}O{sub 4} sample, which can explain the observed four-fold symmetry of the FMR linewidth.
Plasma deposition of polymer composite films incorporating nanocellulose whiskers
Samyn, P.; Airoudj, A.; Laborie, M.-P.; Mathew, A. P.; Roucoules, V.
2011-11-01
In a trend for sustainable engineering and functionalization of surfaces, we explore the possibilities of gas phase processes to deposit nanocomposite films. From an analysis of pulsed plasma polymerization of maleic anhydride in the presence of nanocellulose whiskers, it seems that thin nanocomposite films can be deposited with various patterns. By specifically modifying plasma parameters such as total power, duty cycle, and monomer gas pressure, the nanocellulose whiskers are either incorporated into a buckled polymer film or single nanocellulose whiskers are deposited on top of a polymeric film. The density of the latter can be controlled by modifying the exact positioning of the substrate in the reactor. The resulting morphologies are evaluated by optical microscopy, AFM, contact angle measurements and ellipsometry.
Deposition of Au/TiO2 film by pulsed laser
International Nuclear Information System (INIS)
Zhao Chongjun; Zhao Quanzhong; Zhao Qitao; Qiu Jianrong; Zhu Congshan
2006-01-01
Au nanoparticles, which were photoreduced by a Nd:YAG laser in HAuCl 4 solution containing TiO 2 colloid and accompanied by the TiO 2 particles, were deposited on the substrate surface. The film consisting of Au/TiO 2 particles was characterized by the absorption spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis. The adhesion between the film and substrate was evaluated by using adhesive tape test. It was found that the presence of TiO 2 dramatically enhanced the adhesion strength between the film and the substrate, as well as the deposition rate of film. The mechanism for the deposition of Au/TiO 2 film was also discussed
Induced Recrystallization of CdTe Thin Films Deposited by Close-Spaced Sublimation
International Nuclear Information System (INIS)
Mayo, B.
1998-01-01
We have deposited CdTe thin films by close-spaced sublimation at two different temperature ranges. The films deposited at the lower temperature partially recrystallized after CdCl2 treatment at 350C and completely recrystallized after the same treatment at 400C. The films deposited at higher temperature did not recrystallize at these two temperatures. These results confirmed that the mechanisms responsible for changes in physical properties of CdTe films treated with CdCl2 are recrystallization and grain growth, and provided an alternative method to deposit CSS films using lower temperatures
Characterization of thin CeO{sub 2} films electrochemically deposited on HOPG
Energy Technology Data Exchange (ETDEWEB)
Faisal, Firas [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Toghan, Arafat, E-mail: arafat.toghan@yahoo.com [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Chemistry Department, Faculty of Science, South Valley University, 83523 Qena (Egypt); Khalakhan, Ivan; Vorokhta, Mykhailo; Matolin, Vladimír [Department of Surface and Plasma Science, Charles University in Prague, V Holešovičkách 747/2, 180 00 Prague 8 (Czech Republic); Libuda, Jörg [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Erlangen Catalysis Resource Center, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany)
2015-09-30
Graphical abstract: - Highlights: • Preparation of proton exchange membrane fuel cells catalyst using electrochemical thin film deposition. • Electrodeposition thin films of CeO{sub 2} on HOPG substrates. • The samples were characterized by in-situ AFM and ex-situ XPS. • XPS results reveal that the electrochemically deposited cerium oxide films are stoichiometric. • Exposing the films to ambient air, cracking structures are formed. - Abstract: Electrodeposition is widely used for industrial applications to deposit thin films, coatings, and adhesion layers. Herein, CeO{sub 2} thin films were deposited on a highly oriented pyrolytic graphite (HOPG) substrate by cathodic electrodeposition. The influence of the deposition parameters on the yield and on the film morphology is studied and discussed. Morphology and composition of the electrodeposited films were characterized by in-situ atomic force microscopy (AFM), scanning electron microscopy (SEM), Energy Dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). By AFM we show that the thickness of CeO{sub 2} films can be controlled via the Ce{sup 3+} concentration in solution and the deposition time. After exposing the films to ambient air, cracking structures are formed, which were analyzed by AFM in detail. The chemical composition of the deposits was analyzed by XPS indicating the formation of nearly stoichiometric CeO{sub 2}.
Energy Technology Data Exchange (ETDEWEB)
Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)
2013-10-01
Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor deposition ► Deposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.
Iron films deposited on porous alumina substrates
Energy Technology Data Exchange (ETDEWEB)
Yamada, Yasuhiro, E-mail: yyasu@rs.kagu.tus.ac.jp; Tanabe, Kenichi; Nishida, Naoki [Tokyo University of Science (Japan); Kobayashi, Yoshio [The University of Electro-Communications (Japan)
2016-12-15
Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 – 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.
Aerosol deposition of (Cu,Ti) substituted bismuth vanadate films
Energy Technology Data Exchange (ETDEWEB)
Exner, Jörg, E-mail: Functional.Materials@Uni-Bayreuth.de [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany); Fuierer, Paul [Materials and Metallurgical Engineering Department, New Mexico Institute of Mining and Technology, Socorro, NM 87801 (United States); Moos, Ralf [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany)
2014-12-31
Bismuth vanadate, Bi{sub 4}V{sub 2}O{sub 11}, and related compounds with various metal (Me) substitutions, Bi{sub 4}(Me{sub x}V{sub 1−x}){sub 2}O{sub 11−δ}, show some of the highest ionic conductivities among the known solid oxide electrolytes. Films of Cu and Ti substituted bismuth vanadate were prepared by an aerosol deposition method, a spray coating process also described as room temperature impact consolidation. Resultant films, several microns in thickness, were dense with good adhesion to the substrate. Scanning electron microscopy and high temperature X-ray diffraction were used to monitor the effects of temperature on the structure and microstructure of the film. The particle size remained nano-scale while microstrain decreased rapidly up to 500 °C, above which coarsening and texturing increased rapidly. Impedance measurements of films deposited on inter-digital electrodes revealed an annealing effect on the ionic conductivity, with the conductivity exceeding that of a screen printed film, and approaching that of bulk ceramic. - Highlights: • Cu and Ti doped bismuth vanadate films were prepared by aerosol deposition (AD). • Dense 3–5 μm thick films were deposited on alumina, silicon and gold electrodes. • Annealing of the AD-layer increases the conductivity by 1.5 orders of magnitude. • Effect of temperature on structure and microstructure was investigated.
Thermionic vacuum arc (TVA) technique for magnesium thin film deposition
Energy Technology Data Exchange (ETDEWEB)
Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)
2010-08-15
In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.
Onozuka, Tomoya; Chikamatsu, Akira; Katayama, Tsukasa; Hirose, Yasushi; Harayama, Isao; Sekiba, Daiichiro; Ikenaga, Eiji; Minohara, Makoto; Kumigashira, Hiroshi; Hasegawa, Tetsuya
2017-03-29
Perovskite nickel oxides are of fundamental as well as technological interest because they show large resistance modulation associated with phase transition as a function of the temperature and chemical composition. Here, the effects of fluorine doping in perovskite nickelate NdNiO 3 epitaxial thin films are investigated through a low-temperature reaction with polyvinylidene fluoride as the fluorine source. The fluorine content in the fluorinated NdNiO 3-x F x films is controlled with precision by varying the reaction time. The fully fluorinated film (x ≈ 1) is highly insulating and has a bandgap of 2.1 eV, in contrast to NdNiO 3 , which exhibits metallic transport properties. Hard X-ray photoelectron and soft X-ray absorption spectroscopies reveal the suppression of the density of states at the Fermi level as well as the reduction of nickel ions (valence state changes from +3 to +2) after fluorination, suggesting that the strong Coulombic repulsion in the Ni 3d orbitals associated with the fluorine substitution drives the metal-to-insulator transition. In addition, the resistivity of the fluorinated films recovers to the original value for NdNiO 3 after annealing in an oxygen atmosphere. By application of the reversible fluorination process to transition-metal oxides, the search for resistance-switching materials could be accelerated.
Metal-doped diamond-like carbon films synthesized by filter-arc deposition
International Nuclear Information System (INIS)
Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.
2006-01-01
Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films
Cracking and delamination of vapor-deposited tantalum films
International Nuclear Information System (INIS)
Fisher, R.M.; Duan, J.Z.; Liu, J.B.
1990-01-01
This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films
Effect of argon addition into oxygen atmosphere on YBCO thin films deposition
International Nuclear Information System (INIS)
Mozhaev, P. B.; Borisenko, I. V.; Ovsyannikov, G. A.; Kuehle, A.; Bindslev-Hansen, J.; Johannes, L.; Skov, J. L.
2002-01-01
Multicomponent nature of the YBa 2 Cu 3 O x (YBCO) high-temperature superconductor makes difficult fabrication of smooth thin films: every local deviation from stoichiometry can result in seeding of a non-superconducting oxide particle. High density of such particles on typical YBCO thin film surface, however, presumes overall non-stoichiometry of the film. Such an effect can result from (i) non-uniform material transport from target to substrate, and (ii) re-evaporation or re-sputtering from the growing film surface. The first reason is more usual for laser ablation deposition technique, the second is typical for long sputtering deposition processes. Substitution of oxygen with argon in the deposition atmosphere improves surface quality of YBCO thin films deposited both by laser ablation and DC-sputtering at high pressure techniques. In the first case, the ablated species are scattered different ways in the oxygen atmosphere. Addition of argon decreases the inelastic scattering of barium; the proper part of Ar in the deposition atmosphere makes scattering and, hence, transport of all atoms uniform. The YBCO films deposited by DC-sputtering at high pressure technique are Ba-deficient also, but the reason is re-sputtering of Ba from the growing film as a result of negative oxygen ions bombardment. Such bombardment can lead also to chemical interaction of the deposited material with the substrate, as in the case of deposition of YBCO thin film on the CeO 2 buffer layer on sapphire. Substitution of oxygen with argon not only suppresses ion bombardment of the film, but also increases discharge stability due to presence of positive Ar + ions. The limiting factor of argon substitution is sufficient oxygenation of the growing oxide film. When oxygen partial pressure is too small, the superconducting quality of the YBCO thin film decreases and such a decrease cannot be overcome by prolonged oxygenation after deposition. (Authors)
Ga–Ge–Te amorphous thin films fabricated by pulsed laser deposition
International Nuclear Information System (INIS)
Němec, P.; Nazabal, V.; Dussauze, M.; Ma, H.-L.; Bouyrie, Y.; Zhang, X.-H.
2013-01-01
UV pulsed laser deposition was employed for the fabrication of amorphous Ga–Ge–Te thin films. The local structure of the bulk glasses as well as corresponding thin films was studied using Raman scattering spectroscopy; the main structural motifs were found to be [GeTe 4 ], eventually [GaTe 4 ] corner-sharing tetrahedra and disordered Te chains. Optical functions of the films (refractive index, extinction coefficient) were characterized by variable angle spectroscopic ellipsometry. Photostability experiments showed all Ga–Ge–Te laser deposited films to be stable against 1550 nm laser irradiation in an as-deposited state. In an annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75 . This particular composition was further studied from the point of view of thermal stability and stability against ageing in as-deposited state. - Highlights: ► Pulsed laser deposition was used for fabrication of amorphous Ga–Ge–Te thin films. ► GeTe 4 , eventually GaTe 4 tetrahedra and disordered Te chains form the film structure. ► Optical functions of Ge–Ga–Te films were characterized by spectroscopic ellipsometry. ► All as-deposited Ga–Ge–Te thin films are stable against 1550 nm irradiation. ► In annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75
Electron-beam deposition of vanadium dioxide thin films
Energy Technology Data Exchange (ETDEWEB)
Marvel, R.E.; Appavoo, K. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Choi, B.K. [Vanderbilt University, Department of Electrical Engineering and Computer Science, Nashville, TN (United States); Nag, J. [Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States); Haglund, R.F. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Vanderbilt University, Institute for Nanoscale Science and Engineering, Nashville, TN (United States); Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States)
2013-06-15
Developing a reliable and efficient fabrication method for phase-transition thin-film technology is critical for electronic and photonic applications. We demonstrate a novel method for fabricating polycrystalline, switchable vanadium dioxide thin films on glass and silicon substrates and show that the optical switching contrast is not strongly affected by post-processing annealing times. The method relies on electron-beam evaporation of a nominally stoichiometric powder, followed by fast annealing. As a result of the short annealing procedure we demonstrate that films deposited on silicon substrates appear to be smoother, in comparison to pulsed laser deposition and sputtering. However, optical performance of e-beam evaporated film on silicon is affected by annealing time, in contrast to glass. (orig.)
Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3
International Nuclear Information System (INIS)
Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok
2002-01-01
TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods
International Nuclear Information System (INIS)
Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.
1991-01-01
The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)
Study on the electrical properties of ITO films deposited by facing target sputter deposition
International Nuclear Information System (INIS)
Kim, Youn J; Jin, Su B; Kim, Sung I; Choi, Yoon S; Choi, In S; Han, Jeon G
2009-01-01
This study examined the mechanism for the change in the electrical properties (carrier concentration (n) and mobility (μ)) of tin-doped indium oxide (ITO) films deposited by magnetron sputtering in a confined facing magnetic field. The relationship between the carrier concentration and the mobility was significantly different from the results reported for ITO films deposited by other magnetron sputtering processes. The lowest resistivity obtained for ITO films deposited in a confined facing magnetic field at low substrate temperatures (approximately 120 0 C) was 4.26 x 10 -4 Ω cm at a power density of 3 W cm -2 . Crystalline ITO films were obtained at a low power density range from 3 to 5 W cm -2 due to the increase in the substrate temperature from 120 to 162 0 C. This contributed to the increased carrier concentration and decreased electrical resistivity. X-ray photoelectron spectroscopy revealed an increase in the concentration of the Sn 4+ states. This was attributed to the formation of a crystalline ITO film, which effectively enhanced the carrier concentration and reduced the carrier mobility.
SEM and XPS study of layer-by-layer deposited polypyrrole thin films
Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.
1996-01-01
Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.
Short review on chemical bath deposition of thin film and characterization
Energy Technology Data Exchange (ETDEWEB)
Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com [Depertment of Physics, Shri Chhatrapati Shivaji College, Omerga-413606 (India)
2016-05-06
This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.
Processing and structural characterization of porous reforming catalytic films
International Nuclear Information System (INIS)
Hou Xianghui; Williams, Jey; Choy, Kwang-Leong
2006-01-01
Nickel-based catalysts are often used to reform methanol into hydrogen. The preparation and installation of these catalysts are costly and laborious. As an alternative, directly applying catalytic films onto the separator components can improve the manufacturing efficiency. This paper reports the successful deposition of adherent porous NiO-Al 2 O 3 -based catalytic films with well-controlled stoichiometry, using a single-step Aerosol Assisted Chemical Vapour Deposition (AACVD) method. The microstructure, composition and crystalline phase of the as-deposited catalytic films are characterized using a combination of X-ray diffraction (XRD), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier Transform Infrared (FTIR) Spectrometer. The results have demonstrated the capability of AACVD to produce porous NiO-Al 2 O 3 -based catalytic films
Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum
The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.
Energy Technology Data Exchange (ETDEWEB)
Wen, Rui-Tao, E-mail: Ruitao.Wen@angstrom.uu.se; Niklasson, Gunnar A.; Granqvist, Claes G.
2014-08-28
Porous nickel oxide films were deposited onto unheated indium tin oxide coated glass substrates by reactive dc magnetron sputtering. These films had a cubic NiO structure. Electrochromic properties were evaluated in 1 M potassium hydroxide (KOH) and in 1 M lithium perchlorate in propylene carbonate (Li–PC). Large optical modulation was obtained for ∼ 500-nm-thick films both in KOH and in Li–PC (∼ 70% and ∼ 50% at 550 nm, respectively). In KOH, tensile and compressive stresses, due to the expansion and contraction of the lattice, were found for films in their bleached and colored state, respectively. In Li–PC, compressive stress was seen both in colored and bleached films. Durability tests with voltage sweeps between − 0.5 and 0.65 V vs Ag/AgCl in KOH showed good durability for 10,000 cycles, whereas voltage sweeps between 2.0 and 4.7 V vs Li/Li{sup +} in Li–PC yielded significant degradation after 1000 cycles. - Highlights: • Ni oxide films were studied in KOH and in LiClO{sub 4} + propylene carbonate (Li–PC). • Good electrochromism was found in both electrolytes. • In KOH, tensile/compressive stresses were seen in bleached/colored films. • In Li–PC, compressive stress was seen both in colored and bleached films.
Papadimitropoulos, G; Davazoglou, D
2011-09-01
In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.
Energy Technology Data Exchange (ETDEWEB)
Brossard, L. (Inst. de Recherche d' Hydro-Quebec (IREQ), Varennes, Quebec (Canada))
1992-02-01
The deposition of cobalt on a nickel substrate in 30 wt% KOH aqueous solution containing dissolved cobalt was investigated. The effect of the applied cathodic current density (i{sub a}) and the dissolved-cobalt concentration in the electrolyte on the deposition rate suggests that the rate-determining step is the diffusion of the dissolved cobalt in the solution. The faradic efficiency of the cobalt deposition reaction and the coating morphology are linked to i{sub a}, while the evolution rate of both oxygen and hydrogen in 30 wt% KOH at 70degC is dependent on the coating morphology. (orig.).
Laser-assisted deposition of thin C60 films
DEFF Research Database (Denmark)
Schou, Jørgen; Canulescu, Stela; Fæster, Søren
Metal and metal oxide films with controlled thickness from a fraction of a monolayer up more than 1000 nm and known stoichiometry can be produced by pulsed laser deposition (PLD) relatively easily, and (PLD) is now a standard technique in all major research laboratories within materials science...... of the matrix material, anisole, with a concentration of 0.67 wt% C60. At laser fluences below 1.5 J/cm2, a dominant fraction of the film molecules are C60 transferred to the substrate without any fragmentation. High-resolution SEM images of MAPLE deposited films reveal large circular features on the surface...
Energy Technology Data Exchange (ETDEWEB)
Senff-Wollenberg, Ralf [Baumgarte Boiler Systems GmbH, Bielefeld (Germany). Technik; Ansey, Johann-Wilhelm [Baumgarte Boiler Systems GmbH, Bielefeld (Germany). Forschung und Entwicklung; Reinmoeller, Frank [Baumgarte Boiler Systems GmbH, Bielefeld (Germany)
2013-03-01
The ecologic and energetic demands on modern plants fort he thermal utilization of waste materials increase continuously. Beside low costs of investment, enhanced efficiencies, an enhanced availability, long journey times as well as low costs of operation and maintenance are important factors for the investment decision. The primary and secondary measures for the shrinkage of corrosion are decisive for achieving the factors for the decision of investment and maintenance. The authors of the contribution under consideration report on long-term experiences on the thick film nickel plating. Especially, the process of galvanic nickel plating, the fields of application as well as the operational experiences are described.
International Nuclear Information System (INIS)
Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.
2005-01-01
Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications
SEM and XPS study of layer-by-layer deposited polypyrrole thin films
International Nuclear Information System (INIS)
Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.
1996-01-01
Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics
International Nuclear Information System (INIS)
Saario, T.; Laitinen, T.; Maekelae, K.; Bojinov, M.; Betova, I.
1998-07-01
The construction materials used in coolant systems in nuclear power plants become covered with oxide films as a result of exposure to the aqueous environment. The susceptibility of the materials to different forms of corrosion, as well as the extent of the incorporation of radioactive species on the surfaces of the primary circuit, are greatly influenced by the physical and chemical properties of these oxide films. The composition and characteristics of the oxide films in turn depend on the applied water chemistry. This work was undertaken in order to collect and evaluate the present views on the structure and behaviour of oxide films formed on iron- and nickel-based materials in aqueous environments. This survey should serve to recognise the areas in which more understanding and research effort is needed. The review begins with a discussion on the bulk oxides of iron, nickel and chromium, as well as their mixed oxides. In addition to bulk oxides, the structure and properties of oxide films forming on pure iron, nickel and chromium and on iron- and nickel-based engineering alloys are considered. General approaches to model the structure and growth of oxide films on metals are discussed in detail. The specific features of the oxide structures, properties and growth at high temperatures are presented with special focus on the relevance of existing models. Finally, the role of oxide films in localised corrosion, oxide breakdown, pitting, stress corrosion cracking and related phenomena is considered. The films formed on the surfaces of iron- and nickel-based alloys in high-temperature aqueous environments generally comprise two layers, i.e. the so-called duplex structure. The inner part is normally enriched in chromium and has a more dense structure, while the outer part is enriched in iron and has a cracked or porous structure. The information collected clearly indicates the effect of the chemical environment on the properties of oxide films growing on metal surfaces
International Nuclear Information System (INIS)
Guo Yanbao; Wang Deguo; Liu Shuhai
2010-01-01
Multilayer polyelectrolyte films containing silver ions were obtained by molecular deposition method on a glass plate or a quartz substrate. The in situ Ag nanoparticles were synthesized in the multilayer polyelectrolyte films which were put into fresh NaBH 4 aqueous solution. The structure and surface morphology of composite molecular deposition films were observed by UV-vis spectrophotometer, X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). Tribological characteristic was investigated by AFM and micro-tribometer. It was found that the in situ Ag nanoparticles/polyelectrolyte composite molecular deposition films have lower coefficient of friction and higher anti-wear life than pure polyelectrolyte molecular deposition films.
PVD processes of thin films deposition using Hall-current discharge
International Nuclear Information System (INIS)
Svadkovskij, I.V.
2007-01-01
Results of research and developments in the field of PVD processes of thin films deposition using Hall-current discharge have been summarized. Effects of interaction of ions with surface during deposition have been considered. Also features of application and prospects of devices based on ion beam and magnetron sputtering systems in thin films technologies have been analyzed. The aspects in the field plasma physics, technology and equipment plasma PVD processes of thin films deposition have been systematized, on the base of investigations made by author and other scientists. (authors)
Energy Technology Data Exchange (ETDEWEB)
Sentis, M; Delaporte, P [I.M.F.M., 13 - Marseille (FR); Gerri, M; Marine, W [Aix-Marseille-2 Univ., 13-Marseille (FR). Centre Universitaire de Luminy
1991-05-01
Thin films of YBa{sub 2}Cu{sub 3}O{sub 7} are deposited by laser ablation on MgO and YSZ substrates. Deposits by infrared (I.R.) Nd: YAG are non stoechiometric. The films having the best superconductor qualities are deposited by ablation with an excimer U.V. laser ({lambda} = 308 nm). These films are epitaxiated with the c axis perpendicular to the substrate. The film quality depends on the substrate temperature, oxygen pressure and cooling speed.
Aerosol deposition of Ba0.8Sr0.2TiO3 thin films
Directory of Open Access Journals (Sweden)
Branković Zorica
2009-01-01
Full Text Available In this work we optimized conditions for aerosol deposition of homogeneous, nanograined, smooth Ba0.8Sr0.2TiO3 thin films. Investigation involved optimization of deposition parameters, namely deposition time and temperature for different substrates. Solutions were prepared from titanium isopropoxide, strontium acetate and barium acetate. Films were deposited on Si (1 0 0 or Si covered by platinum (Pt (1 1 1 /Ti/SiO2/Si. Investigation showed that the best films were obtained at substrate temperature of 85ºC. After deposition films were slowly heated up to 650ºC, annealed for 30 min, and slowly cooled. Grain size of BST films deposited on Si substrate were in the range 40-70 nm, depending on deposition conditions, while the same films deposited on Pt substrates showed mean grain size in the range 35-50 nm. Films deposited under optimal conditions were very homogeneous, crackfree, and smooth with rms roughness lower than 4 nm for both substrates.
Czech Academy of Sciences Publication Activity Database
Hora, Z. D.; Langrová, Anna; Pivec, E.
2011-01-01
Roč. 2010, 2011-1 (2011), s. 31-35 ISSN 0381-243X Institutional research plan: CEZ:AV0Z30130516 Keywords : Cassiar deposit * MINFILE 104P 005 * chrysolite asbestos * Cr-magnetite * nickel * Ni * heazlewoodite * serpentinization products * nickel minerals * awaruite Subject RIV: DB - Geology ; Mineralogy http://www.em.gov.bc.ca/Mining/Geoscience/PublicationsCatalogue/Fieldwork/Documents/2010/03_Hora_2010.pdf
International Nuclear Information System (INIS)
Jun, Seung-Ik; Rack, Philip D.; McKnight, Timothy E.; Melechko, Anatoli V.; Simpson, Michael L.
2005-01-01
The effect that direct current (dc) substrate bias has on radio frequency-sputter-deposited amorphous silicon (a-Si) films has been investigated. The substrate bias produces a denser a-Si film with fewer defects compared to unbiased films. The reduced number of defects results in a higher resistivity because defect-mediated conduction paths are reduced. Thin film transistors (TFTs) that were completely sputter deposited were fabricated and characterized. The TFT with the biased a-Si film showed lower leakage (off-state) current, higher on/off current ratio, and higher transconductance (field effect mobility) than the TFT with the unbiased a-Si film
Sputter deposition of tantalum-nitride films on copper using an rf-plasma
International Nuclear Information System (INIS)
Walter, K.C.; Fetherston, R.P.; Sridharan, K.; Chen, A.; Shamim, M.M.; Conrad, J.R.
1994-01-01
A tantalum-nitride film was successfully deposited at ambient temperature on copper with a modified ion-assisted-deposition (IAD) technique. The process uses an argon and nitrogen plasma to sputter deposit from a tantalum rf-cathode and ion implant the deposited film simultaneously. Both argon and nitrogen ions are used for sputtering and ion implantation. Auger spectroscopy and x-ray diffraction were used to characterize the resulting film
Aydin, Isil; Fidan, Celal; Kavak, Orhan; Erek, Figen; Aydin, Firat
2017-12-01
Asphaltite is one of the naturally occurring black, solid bitumen’s, which are soluble at heating in carbon disulphide band fuse. Asphaltite is also a solidified hydro carbon compound derived from petroleum [1]. According to the World Energy Council, Turkish National Committee (1998), the total reserve of the asphaltic substances that are found in south eastern Turkey is about 82 million tons, with Silopi and Sirnak reserves to get her comprising the major part of the Asphaltite deposits. Selenium and Nickel are very important elements both environmental and health. Selenium plays an important role in the formation of the enzyme antioxidant effect in the cell. The need for Selenium increases in situations such as pregnancy, menopause, grow than development, air pollution. Nickel is used for preventing iron-poor blood, increasing iron absorption, and treating weak bones. In this study, asphaltites were taken from Milli vein from Sirnak deposit in SE Anatolia of Turkey. A total of 6.500.000 tons of Asphaltite reserves have been identified as asphaltites in Milli (Sirnak). The sample preparation method was developed in Asphaltite by spectroanalytical techniques, wet acid digestion. MW-AD followed by ICP-OES were used for the determination of Selenium and Nickel in Asphaltite. Proximate analysis of Asphaltite fly ash samples was made. It also, Selenium and Nickel element analysis in Asphaltite were made.
Properties of pulsed laser deposited NiO/MWCNT thin films
CSIR Research Space (South Africa)
Yalisi, B
2011-05-01
Full Text Available Pulsed laser deposition (PLD) is a thin-film deposition technique, which uses short and intensive laser pulses to evaporate target material. The technique has been used in this work to produce selective solar absorber (SSA) thin film composites...
International Nuclear Information System (INIS)
Zhao, Z.B.; Yalisove, S.M.; Bilello, J.C.
2006-01-01
Mo films were deposited via magnetron sputtering with two different deposition geometries: dynamic deposition (moving substrate) and static deposition (fixed substrate). The residual stress and structural morphologies of these films were investigated, with particular focus on in-plane anisotropy of the biaxial stress and stress gradient across the film thickness. The results revealed that the Mo films developed distinct states of residual stress, which depended on both deposition geometry and film thickness. With the dynamic geometry, the Mo films generally exhibited anisotropic stress. Both the degree of anisotropy and the magnitude of stress varied as functions of film thickness. The variation of stress was linked to the evolution of anisotropic microstructures in the films. The Mo films from the static geometry developed isotropic residual stress, which was more compressive and noticeably larger in magnitude than that of the Mo films from the dynamic geometry. Aside from these disparities, the two types of Mo films (i.e., anisotropic and isotropic) exhibited notably similar trends of stress variation with film thickness. Depth profiling indicated the presence of large stress gradients for the Mo films, irrespective of the deposition geometries. This observation seems to be consistent with the premise that Mo films develop a zone T structure, which is inherently inhomogeneous along the film thickness. Moreover, the largest stress gradient for both types of deposition geometries arises at roughly the same film depth (∼240 nm from substrate), where the stresses sharply transits from highly compressive to less compressive or even tensile. This appears to correspond to the boundary region that separates two distinct stages of microstructural evolution, a feature unique to zone T-type structure
Structure and properties of TiC, VC, and TiC/VC thin films deposited by pulsed laser deposition
International Nuclear Information System (INIS)
Krzanowski, J.E.; Leuchtner, R.E.
1996-01-01
A study has been conducted on the mechanical, tribological and chemical properties of pulsed laser deposited (PLD) TiC, VC and TiC/VC thin films. The TiC films were deposited at 375 C and 5 mTorr Ar, while the TiC/VC films were deposited from a composite target at 475 C at pressures of base vacuum and 50 mTorr Ar. XRD analysis revealed the films had the expected B1 structure, although XPS analysis showed a significant oxygen content. Tribological studies were conducted using a ball-on-disk test, and the wear behavior depended on the surface condition and film composition. One TiC/VC film exhibited little wear but caused significant ball wear, indicating mixed carbide films are promising candidates for wear-resistant coatings
Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane
International Nuclear Information System (INIS)
Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.
2006-01-01
Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS
International Nuclear Information System (INIS)
Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.
2005-01-01
We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1
Energy Technology Data Exchange (ETDEWEB)
Hoshyarmanesh, Hamidreza; Nehzat, Naser; Salehi, Mehdi [Isfahan University of Technology, Isfahan (Iran, Islamic Republic of); Ghodsi, Mojtaba [Sultan Qaboos University, Muscat (Oman)
2015-02-15
Residual compressive stress of Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} thick films was investigated using residual strains derived from X-ray diffraction patterns. Sin{sup 2}ψ method was applied for the 5, 10 and 15 μm sol-gel derived thick films annealed at 700 .deg. C for 1 hr as high frequency structural health monitoring square-shape transducers of 10 x10 mm, deposited onto the curved nickel-based super alloy substrates. A triaxial model was proposed based on piezoelectric constitutive equations, and Bragg's law at a large diffraction angle (∼89°) was utilized considering the electromechanical coupling factor as well as elastic, dielectric and piezoelectric constants. Thickness variations led to a significant change in residual stress magnitudes delineated from more-accurate triaxial model compared to small angle plane-stress results not considering the piezoelectric coupling effects.
Characterization of chemically deposited Ag/sub 2/S thin films
International Nuclear Information System (INIS)
Choudhury, M.G.M.; Rahman, M.M; Shahjahan, M.; Hossain, M.S.; Muhibbullah, M.; Uddin, M.A.; Banu, D.A.
2001-01-01
Silver Sulphide (Ag/sub 2/S) thin films were prepared by the chemical deposition method on glass substrates. Films of different thickness were deposited at room temperature. The films obtained were found to the uniform, pin-hole free and strongly adherent to the substrates. Films were characterized by X-$D, Hall effect, dc conductivity, thermoelectric power and optical measurements. X-RD revealed that as deposited films are amorphous with some microcrystalline structure. Hall effect measurement shows that the material deposited is n-type semiconductor with carrier concentration of the order of 10/sup 14/ cm/sup -3/. The dc dark conductivity shows two distinct conduction regions. The conductivity increases quite sharply above a transition temperature. Tt and below Tt the conductivity is weakly activated process with hopping via localized states. Above Tt the activation energy is quite high and the conduction may be due to impurity states to extended states. From the nature of variation of thermoelectric power with temperature it was found that in this material the position of Fermi level lie above the conduction band for thicker films and below the conduction band for relatively thinner films. The optical band gap of the films has been calculated from the transmittance spectra. The evaluated optical band gap E/sup opt/ was found to be about 1.1 eV and the value do not change much with film thickness. The refractive index, extinction coefficient and dielectric constants have also been evaluated from the transmission measurements. (author)
Effects of surface deposition and droplet injection on film cooling
International Nuclear Information System (INIS)
Wang, Jin; Cui, Pei; Vujanović, Milan; Baleta, Jakov; Duić, Neven; Guzović, Zvonimir
2016-01-01
Highlights: • Cooling effectiveness is significantly affected by the deposition size. • Coverage area for model without mist is reduced by increasing the deposition height. • Wall temperature is decreased by 15% with 2% mist injection. • Cooling coverage is increased by more than three times with 2% mist injection. • Cooling effectiveness for mist models is improved by increasing deposition height. - Abstract: In the present research, the influence of the particle dispersion onto the continuous phase in film cooling application was analysed by means of numerical simulations. The interaction between the water droplets and the main stream plays an important role in the results. The prediction of two-phase flow is investigated by employing the discrete phase model (DPM). The results present heat transfer characteristics in the near-wall region under the influence of mist cooling. The local wall temperature distribution and film cooling effectiveness are obtained, and results show that the film cooling characteristics on the downstream wall are affected by different height of surface deposits. It is also found that smaller deposits without mist injection provide a lower wall temperature and a better cooling performance. With 2% mist injection, evaporation of water droplets improves film cooling effectiveness, and higher deposits cause lateral and downstream spread of water droplets. The results indicate that mist injection can significantly enhance film cooling performance.
Energy Technology Data Exchange (ETDEWEB)
Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)
2014-08-30
Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.
Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes
Ma, B; Koritala, R E; Fisher, B L; Markowitz, A R; Erck, R A; Baurceanu, R; Dorris, S E; Miller, D J; Balachandran, U
2003-01-01
Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx 9deg was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55deg . In-plane texture in the ISD MgO films developed in the first approx 0.5 mu m from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the...
Deposition and characterization of aluminum magnesium boride thin film coatings
Tian, Yun
Boron-rich borides are a special group of materials possessing complex structures typically comprised of B12 icosahedra. All of the boron-rich borides sharing this common structural unit exhibit a variety of exceptional physical and electrical properties. In this work, a new ternary boride compound AlMgB14, which has been extensively studied in bulk form due to its novel mechanical properties, was fabricated into thin film coatings by pulsed laser deposition (PLD) technology. The effect of processing conditions (laser operating modes, vacuum level, substrate temperature, and postannealing, etc.) on the composition, microstructure evolution, chemical bonding, and surface morphology of AlMgB14 thin film coatings has been investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectrometry; the mechanical, electrical, and optical properties of AlMgB14 thin films have been characterized by nanoindentation, four-point probe, van der Pauw Hall measurement, activation energy measurement, and UV-VIS-NIR spectrophotometer. Experimental results show that AlMgB14 films deposited in the temperature range of 300 K - 873 K are amorphous. Depositions under a low vacuum level (5 x 10-5 Torr) can introduce a significant amount of C and O impurities into AlMgB14 films and lead to a complex oxide glass structure. Orthorhombic AlMgB14 phase cannot be obtained by subsequent high temperature annealing. By contrast, the orthorhombic AlMgB 14 crystal structure can be attained via high temperature-annealing of AlMgB14 films deposited under a high vacuum level (boride films, high vacuum level-as deposited AlMgB14 films also possess a low n-type electrical resistivity, which is a consequence of high carrier concentration and moderate carrier mobility. The operative electrical transport mechanism and doping behavior for high vacuum level-as deposited AlMgB14
Quality improvement of organic thin films deposited on vibrating substrates
Energy Technology Data Exchange (ETDEWEB)
Paredes, Y.A.; Caldas, P.G.; Prioli, R.; Cremona, M., E-mail: cremona@fis.puc-rio.br
2011-12-30
Most of the Organic Light-Emitting Diodes (OLEDs) have a multilayered structure composed of functional organic layers sandwiched between two electrodes. Thin films of small molecules are generally deposited by thermal evaporation onto glass or other rigid or flexible substrates. The interface state between two organic layers in OLED device depends on the surface morphology of the layers and affects deeply the OLED performance. The morphology of organic thin films depends mostly on substrate temperature and deposition rate. Generally, the control of the substrate temperature allows improving the quality of the deposited films. For organic compounds substrate temperature cannot be increased too much due to their poor thermal stability. However, studies in inorganic thin films indicate that it is possible to modify the morphology of a film by using substrate vibration without increasing the substrate temperature. In this work, the effect of the resonance vibration of glass and silicon substrates during thermal deposition in high vacuum environment of tris(8-quinolinolate)aluminum(III) (Alq{sub 3}) and N,N Prime -Bis(naphthalene-2-yl)-N,N Prime -bis(phenyl)-benzidine ({beta}-NPB) organic thin films with different deposition rates was investigated. The vibration used was in the range of hundreds of Hz and the substrates were kept at room temperature during the process. The nucleation and subsequent growth of the organic films on the substrates have been studied by atomic force microscopy technique. For Alq{sub 3} and {beta}-NPB films grown with 0.1 nm/s as deposition rate and using a frequency of 100 Hz with oscillation amplitude of some micrometers, the results indicate a reduction of cluster density and a roughness decreasing. Moreover, OLEDs fabricated with organic films deposited under these conditions improved their power efficiency, driven at 4 mA/cm{sup 2}, passing from 0.11 lm/W to 0.24 lm/W with an increase in their luminance of about 352 cd/m{sup 2
Deposition of SiC thin films by PECVD
Cho, N I; Kim, C K
1999-01-01
The SiC films were deposited on Si substrate by the decomposition of CH sub 3 SiCl sub 3 (methylthrichlorosilane) molecules in a high frequency discharge field. From the Raman spectra, it is conjectured that the deposited film are formed into the polycrystalline structure. The photon absorption measurement reveal that the band gap of the electron energy state are to be 2.4 eV for SiC, and 2.6 eV for Si sub 0 sub . sub 4 C sub 0 sub . sub 6 , respectively. In the high power density regime, methyl-radicals decompose easily and increases the carbon concentration in plasma and result in the growing films.
Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films
Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.
2008-01-01
Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures
International Nuclear Information System (INIS)
Hyuk Son, In; Park, Kwangjin; Hwan Park, Jong
2017-01-01
Nickel-rich layered-oxide materials are considered promising candidates for application as cathode material in high-energy lithium ion batteries. However, their cycling performance at high voltages and rate conditions require further improvement for the purpose of commercialization. Here, we report on the facile surface modification of nickel-rich layered oxide by chemical vapor deposition with methane which yields a conductive and protective artificial solid electrolyte interphase layer consisting of amorphous carbon, alkyl lithium carbonate, and lithium carbonate. We examine the mechanism of the protective layer formation and structural deformation of the nickel-rich layered oxide during chemical vapor deposition with methane. Via optimizing the reaction conditions, we improve the electrical conductivity as well as the interfacial stability of the nickel-rich layered oxide without inducing structural deformation. The surface-modified nickel-rich layered oxide exhibits an improved performance due to the resulting enhanced rate capability, high initial efficiency, and long cycle life at high voltage (>4.5 V).
Effect of annealing temperature on physical properties of solution processed nickel oxide thin films
Sahoo, Pooja; Thangavel, R.
2018-05-01
In this report, NiO thin films were prepared at different annealing temperatures from nickel acetate precursor by sol-gel spin coating method. These films were characterized by different analytical techniques to obtain their structural, optical morphological and electrical properties using X-ray diffractometer (XRD), Field emission scanning electron microscopy (FESEM), UV-Vis NIR double beam spectrophotometer and Keithley 2450 source meter respectively. FESEM images clearly indicates the formation of a homogenous and porous films. Due to their porosity, they can be used in sensing applications. The optical absorption spectra elucidated that the films are highly transparent and have a suitable band gap which are in similar agreement with earlier reports. The current enhancement under illumination shows the suitability of nanostructured NiO thin films in its application in photovoltaics.
Chemical vapor deposition of nanocrystalline diamond films
International Nuclear Information System (INIS)
Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.
2008-01-01
The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.
Transport Properties of LCMO Granular Films Deposited by the Pulsed Electron Deposition Technique
Institute of Scientific and Technical Information of China (English)
CHEN Leiming; XU Bin; ZHANG Yan; CHEN Zhenping
2011-01-01
By finely controlling the deposition parameters in the pulsed electron deposition process,granular La2/3Ca1/3MnO3 (LCMO) film was grown on silicon substrates.The substrate temperature,ambient pressure in the deposition chamber and acceleration potential for the electron beam were all found to affect the grain size of the film,resulting in different morphologies of the samples.Transport properties of the obtained granular films,especially the magnetoresistance (MR),were studied.Prominent low-field MR was observed in all samples,indicating the forming of grain boundaries in the sample.The low-field MR show great sensitive to the morphology evolution,which reaches the highest value of about 40% for the sample with the grain size of about 250 nm.More interestingly,positive-MR (p-MR) was also detected above 300 K when low magnetic field applying,whereas it disappeared with higher magnetic field applied up to 1.5 and 2 Tesla.Instead of the spinpolarized tunneling process being commonly regarded as a responsible reason,lattice mismatch between LCMO film and silicon substrate appears to be the origin of the p-MR
Plume-induced stress in pulsed-laser deposited CeO2 films
International Nuclear Information System (INIS)
Norton, D.P.; Park, C.; Budai, J.D.; Pennycook, S.J.; Prouteau, C.
1999-01-01
Residual compressive stress due to plume-induced energetic particle bombardment in CeO 2 films deposited by pulsed-laser deposition is reported. For laser ablation film growth in low pressures, stresses as high as 2 GPa were observed as determined by substrate curvature and four-circle x-ray diffraction. The amount of stress in the films could be manipulated by controlling the kinetic energies of the ablated species in the plume through gas-phase collisions with an inert background gas. The film stress decreased to near zero for argon background pressures greater than 50 mTorr. At these higher background pressures, the formation of nanoparticles in the deposited film was observed. copyright 1999 American Institute of Physics
Growth, structure and stability of sputter-deposited MoS2 thin films
Directory of Open Access Journals (Sweden)
Reinhard Kaindl
2017-05-01
Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.
Synthesis of LSM films deposited by dip-coating on YSZ substrate
International Nuclear Information System (INIS)
Conceicao, Leandro da; Souza, Mariana M.V.M.; Ribeiro, Nielson F.P.
2010-01-01
The dip-coating process was used to deposit films of La 0.7 Sr 0. 3MnO 3 (LSM) used as cathode in solid oxide fuel cells (SOFC). In this study we evaluated the relationship between the deposition parameters such as speed of withdrawal and number of deposited layers of LSM film on a substrate of 8% YSZ commercial, and structural properties, such as thickness and formation of cracks. The structure and morphology of the films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). With parameters set the film had good adhesion to the substrate with a thickness around 10 μm, showing possible adherence problems when more than one layer is deposited on the substrate. (author)
Biomaterial thin film deposition and characterization by means of MAPLE technique
International Nuclear Information System (INIS)
Bloisi, F.; Vicari, L.; Papa, R.; Califano, V.; Pedrazzani, R.; Bontempi, E.; Depero, L.E.
2007-01-01
Polyethylene glycol (PEG) is a polymer with technologically important applications, especially as a biomaterial. Several biomedical applications (such as tissue engineering, spatial patterning of cells, anti-biofouling and biocompatible coatings) require the application of high quality PEG thin films. In order to have a good adhesion to substrate chemically modified polymer molecules have been used, but for some 'in vivo' applications it is essential to deposit a film with the same chemical and structural properties of bulk PEG. Pulsed laser deposition (PLD) technique is generally able to produce high quality thin films but it is inadequate for polymer/organic molecules. MAPLE (Matrix Assisted Pulsed Laser Evaporation) is a recently developed PLD based thin film deposition technique, particularly well suited for organic/polymer thin film deposition. Up to now MAPLE depositions have been carried out mainly by means of modified PLD systems, using excimer lasers operating in UV, but the use of less energetic radiations can minimize the photochemical decomposition of the polymer molecules. We have used a deposition system explicitly designed for MAPLE technique connected to a Q-switched Ng:YAG pulsed laser which can be operated at different wavelength ranging from IR to UV in order to optimise the deposition parameters. The capability of MAPLE technique to deposit PEG has been confirmed and preliminary results show that visible (532 nm wavelength) radiation gives better results with respect to UV (355 nm) radiation. Despite usually UV wavelengths have been used and even if more systematic tests must be performed, it is important to underline that the choice of laser wavelength plays an important role in the application of MAPLE thin film deposition technique
CdS thin films prepared by laser assisted chemical bath deposition
International Nuclear Information System (INIS)
Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.
2015-01-01
Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties
CdS thin films prepared by laser assisted chemical bath deposition
Energy Technology Data Exchange (ETDEWEB)
Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)
2015-05-01
Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.
International Nuclear Information System (INIS)
Jian, Sheng-Rui; Juang, Jenh-Yih; Luo, Chih-Wei; Ku, Shin-An; Wu, Kaung-Hsiung
2012-01-01
Highlights: ► GaSe thin films are grown by PLD. ► Structural properties of GaSe thin films are measured by XRD. ► Hardness and Young’s modulus of GaSe thin films are measured by nanoindentation. - Abstract: The correlations between the crystalline structure and mechanical properties of GaSe thin films were investigated by means of X-ray diffraction (XRD) and nanoindentation techniques. The GaSe thin films were deposited on Si(1 1 1) substrates deposited at various deposition temperatures using pulsed laser deposition (PLD). The XRD results indicate that all the GaSe thin films are pure hexagonal phase with highly (0 0 0 l)-oriented characteristics. Nanoindentation results revealed apparent discontinuities (so-called multiple “pop-in” events) in the load-displacement curve, while no discontinuity was observed in the unloading segment of the load-displacement curve. The hardness and Young’s modulus of GaSe thin films determined by the continuous stiffness measurements (CSM) method indicated that both mechanical parameters increased with the increasing deposition temperature with the hardness and the Young’s modulus being increased from 1.2 ± 0.1 to 1.8 ± 0.1 GPa and from 39.6 ± 1.2 to 68.9 ± 2.7 GPa, respectively, as the deposition temperature was raised from 400 to 475 °C. These results suggest that the increased grain size might have played a prominent role in determining the mechanical properties of the PLD-derived GaSe thin films.
High-quality AlN films grown on chemical vapor-deposited graphene films
Directory of Open Access Journals (Sweden)
Chen Bin-Hao
2016-01-01
Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.
Microstructure and phase composition of sputter-deposited zirconia-yttria films
International Nuclear Information System (INIS)
Knoll, R.W.; Bradley, E.R.
1983-11-01
Thin ZrO 2 -Y 2 O 3 coatings ranging in composition from 3 to 15 mole % Y 2 O 3 were produced by rf sputter deposition. This composition range spanned the region on the equilibrium ZrO 2 -Y 2 O 3 phase diagram corresponding to partially stabilized zirconia (a mixture of tetragonal ZrO 2 and cubic solid solution). Microstructural characteristics and crystalline phase composition of as-deposited and heat treated films (1100 0 C and 1500 0 C) were determined by transmission electron microscopy (TEM) and by x-ray diffraction (XRD). Effects of substrate bias (0 approx. 250 volts), which induced ion bombardment of the film during growth, were also studied. The as-deposited ZrO 2 -Y 2 O 3 films were single phase over the composition range studied, and XRD data indicated considerable local atomic disorder in the lattice. Films produced at low bias contained intergranular voids, pronounced columnar growth, and porosity between columns. At high bias, the microstructure was denser, and films contained high compressive stress. After heat treatment, all deposits remained single phase, therefore a microstructure and precipitate distribution characteristic of toughened, partially stabilized zirconia appear to be difficult to achieve in vapor deposited zirconia coatings
Sun, Ke; Saadi, Fadl H.; Lichterman, Michael F.; Hale, William G.; Wang, Hsinping; Zhou, Xinghao; Plymale, Noah T.; Omelchenko, Stefan T.; He, Jr-Hau; Papadantonakis, Kimberly M.; Brunschwig, Bruce S.; Lewis, Nathan S.
2015-01-01
Reactively sputtered nickel oxide (NiOx) films provide transparent, antireflective, electrically conductive, chemically stable coatings that also are highly active electrocatalysts for the oxidation of water to O2(g). These NiOx coatings provide
Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.
2018-05-01
Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.
Bioactive glass and hydroxyapatite thin films obtained by pulsed laser deposition
Energy Technology Data Exchange (ETDEWEB)
Gyorgy, E. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania) and Consejo Superior de Investigaciones Cientificas, Instituto de Ciencia de Materiales de Barcelona, Campus UAB, 08193 Bellaterra (Spain)]. E-mail: egyorgy@icmab.es; Grigorescu, S. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Socol, G. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Janackovic, D. [Faculty of Technology and Metallurgy, University of Belgrade, Karnegijeva 4, 11000 Belgrade (Serbia); Dindune, A. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Kanepe, Z. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Palcevskis, E. [Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Zdrentu, E.L. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania); Petrescu, S.M. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania)
2007-07-31
Bioactive glass (BG), calcium hydroxyapatite (HA), and ZrO{sub 2} doped HA thin films were grown by pulsed laser deposition on Ti substrates. An UV KrF{sup *} ({lambda} = 248 nm, {tau} {>=} 7 ns) excimer laser was used for the multi-pulse irradiation of the targets. The substrates were kept at room temperature or heated during the film deposition at values within the (400-550 deg. C) range. The depositions were performed in oxygen and water vapor atmospheres, at pressure values in the range (5-40 Pa). The HA coatings were heat post-treated for 6 h in a flux of hot water vapors at the same temperature as applied during deposition. The surface morphology, chemical composition, and crystalline quality of the obtained thin films were studied by scanning electron microscopy, atomic force microscopy, and X-ray diffractometry. The films were seeded for in vitro tests with Hek293 (human embryonic kidney) cells that revealed a good adherence on the deposited layers. Biocompatibility tests showed that cell growth was better on HA than on BG thin films.
Deposition and characterization of Pt nanocluster films by means of gas aggregation cluster source
Energy Technology Data Exchange (ETDEWEB)
Kylián, Ondřej, E-mail: ondrej.kylian@gmail.com; Prokeš, Jan; Polonskyi, Oleksandr; Čechvala, Juraj; Kousal, Jaroslav; Pešička, Josef; Hanuš, Jan; Biederman, Hynek
2014-11-28
In this study we report on the deposition of Pt nanocluster films prepared by gas aggregation source that was operated with argon as working gas. The aim of this study was optimization of deposition process as well as determination of properties of deposited nanocluster films and their temporal stability. It was found that the production of Pt nanoclusters reached maximum value for pressure of 100 Pa and increases monotonously with magnetron current. The deposition rate at optimized deposition conditions was 0.7 nm of the Pt nanocluster film per second. Deposited films were porous and composed of 4 nm Pt nanoclusters. The nanoclusters were metallic and no sights of their oxidation were observed after 1 year on open air as witnessed by X-ray photoelectron spectroscopy. Regarding the electrical properties, a dramatic decrease of the resistivity was observed with increasing amount of deposited nanoclusters. This decrease saturated for the films approximately 50 nm thick. Such behavior indicates transition between different mechanisms of electrical conductivity: charge hopping for thin discontinuous films and current conduction through conducting path formed when higher amount of nanoclusters is deposited. Different mechanisms of electrical conduction for thin and thick layers of Pt were confirmed by subsequent investigation of temperature dependence of resistivity. In addition, no changes in resistivity were observed after one year on open air that confirms stability of produced Pt nanocluster films. - Highlights: • Pt nanocluster films were deposited by gas aggregation nanocluster source. • Conditions leading to effective deposition of Pt nanocluster films were found. • Deposited nanocluster films have good temporal stability. • Electrical properties of Pt films were found to depend on their thickness.
Fabrication of cuprous chloride films on copper substrate by chemical bath deposition
Energy Technology Data Exchange (ETDEWEB)
Lin, Yu-Ting; Ci, Ji-Wei; Tu, Wei-Chen [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Shen, Chin-Chang; Wu, Chih-Hung [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China)
2015-09-30
Polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at a low solution temperature of 90 °C. Continuous CuCl films were prepared using the copper (II) chloride (CuCl{sub 2}) compound as the precursor for both the Cu{sup 2+} and Cl{sup −} sources, together with repeated HCl dip treatments. An HCl dip pretreatment of the substrate favored the nucleation of CuCl crystallites. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. A dual beam (FIB/SEM) system with energy dispersive spectrometry facilities attached revealed a homogeneous CuCl layer with a flat-top surface and an average thickness of about 1 μm. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD. - Highlights: • Cuprous chloride (CuCl) was prepared on Cu substrate by chemical bath deposition. • HCl dip treatments facilitated the deposition of a full-coverage CuCl film. • A homogeneous elemental distribution was recognized for the deposited CuCl layer. • Excitonic and biexcitonic photoluminescence lines of CuCl films were well-resolved. • The free exciton emission line of CuCl films was observable at room temperature.
Capillary assisted deposition of carbon nanotube film for strain sensing
Li, Zida; Xue, Xufeng; Lin, Feng; Wang, Yize; Ward, Kevin; Fu, Jianping
2017-10-01
Advances in stretchable electronics offer the possibility of developing skin-like motion sensors. Carbon nanotubes (CNTs), owing to their superior electrical properties, have great potential for applications in such sensors. In this paper, we report a method for deposition and patterning of CNTs on soft, elastic polydimethylsiloxane (PDMS) substrates using capillary action. Micropillar arrays were generated on PDMS surfaces before treatment with plasma to render them hydrophilic. Capillary force enabled by the micropillar array spreads CNT solution evenly on PDMS surfaces. Solvent evaporation leaves a uniform deposition and patterning of CNTs on PDMS surfaces. We studied the effect of the CNT concentration and micropillar gap size on CNT coating uniformity, film conductivity, and piezoresistivity. Leveraging the piezoresistivity of deposited CNT films, we further designed and characterized a device for the contraction force measurement. Our capillary assisted deposition method of CNT films showed great application potential in fabrication of flexible CNT thin films for strain sensing.
Electrodeposition of zinc--nickel alloys coatings
Energy Technology Data Exchange (ETDEWEB)
Dini, J W; Johnson, H R
1977-10-01
One possible substitute for cadmium in some applications is a zinc--nickel alloy deposit. Previous work by others showed that electrodeposited zinc--nickel coatings containing about 85 percent zinc and 15 percent nickel provided noticeably better corrosion resistance than pure zinc. Present work which supports this finding also shows that the corrosion resistance of the alloy deposit compares favorably with cadmium.
Effect of performance of Zr-Y alloy target on thin film deposition technology
International Nuclear Information System (INIS)
Pan Qianfu; Liu Chaohong; Jiang Mingzhong; Yin Changgeng
2011-01-01
Yttria-stabilized zirconia (YSZ) films are synthesized on corrosion resistant plates by pulsed bias arc ion plating. The arc starting performance and the stability of thin film deposition is explored by improving the uniformity and compactibility of Zr-Y alloy target. The property of Zr-Y alloy target and depositional thin films were measured with the optical microscope, scanning electron microscope, X-ray diffractometer. The result shows that the target with hot rolling and annealing has a good arc starting performance and stability of thin film deposition, and the depositional thin films made of Yttria and amorphous zirconia are homogeneous and compact. (authors)
Fracture Analysis of MWCNT/Epoxy Nanocomposite Film Deposited on Aluminum Substrate.
Her, Shiuh-Chuan; Chien, Pao-Chu
2017-04-13
Multi-walled carbon nanotube (MWCNT) reinforced epoxy films were deposited on an aluminum substrate by a hot-pressing process. Three-point bending tests were performed to determine the Young's modulus of MWCNT reinforced nanocomposite films. Compared to the neat epoxy film, nanocomposite film with 1 wt % of MWCNT exhibits an increase of 21% in the Young's modulus. Four-point-bending tests were conducted to investigate the fracture toughness of the MWCNT/epoxy nanocomposite film deposited on an aluminum substrate with interfacial cracks. Based on the Euler-Bernoulli beam theory, the strain energy in a film/substrate composite beam is derived. The difference of strain energy before and after the propagation of the interfacial crack are calculated, leading to the determination of the strain energy release rate. Experimental test results show that the fracture toughness of the nanocomposite film deposited on the aluminum substrate increases with the increase in the MWCNT content.
Ruffino, F.; Torrisi, V.
2017-11-01
Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.
Deposition of fluorocarbon films by Pulsed Plasma Thruster on the anode side
International Nuclear Information System (INIS)
Zhang, Rui; Zhang, Daixian; Zhang, Fan; He, Zhen; Wu, Jianjun
2013-01-01
Fluorocarbon thin films were deposited by Pulsed Plasma Thruster at different angles on the anode side of the thruster. Density and velocity of the plasma in the plume of the Pulsed Plasma Thruster were determined using double and triple Langmuir probe apparatus respectively. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), scanning probe microscope (SPM) and UV–vis spectrometer. Low F/C ratio (0.64–0.86) fluorocarbon films are deposited. The F/C ratio decreases with angle increasing from 0 degree to 30 degree; however it turns to increase with angle increasing from 45 degree to 90 degree. The films deposited at center angles appear rougher compared with that prepared at angles beyond 45 degree. These films basically show having strong absorption properties for wavelength below 600 nm and having enhanced reflective characteristics. Due to the influence of the chemical composition and the surface morphology of the films, the optical properties of these films also show significant angular dependence.
International Nuclear Information System (INIS)
Min, Shudi; Zhao, Chongjun; Chen, Guorong; Qian, Xiuzhen
2014-01-01
Reduced graphene oxide (RGO) on nickel hydroxide (Ni(OH) 2 ) film was synthesized via a green and facile hydrothermal approach. In this process, graphene oxide (GO) was reduced by nickel foam (NF) while the nickel metal was oxidized to Ni(OH) 2 film simultaneously, which resulted in RGO on Ni(OH) 2 structure. The RGO/Ni(OH) 2 composite film was characterized using by X-ray diffraction (XRD), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), and field-emission scanning electron microscope (FESEM). The electrochemical performances of the supercapacitor with the as-synthesized RGO/Ni(OH) 2 composite films as electrodes were evaluated using cyclic voltammetry (CV), galvanostatic charge–discharge (GCD), electrochemical impedance spectrometry (EIS) in 1 M KOH aqueous solution. Results indicated that the RGO/Ni(OH) 2 /NF composite electrodes exhibited superior capacitive performance with high capability (2500 mF cm −2 at a current density of 5 mA cm −2 , or 1667 F g −1 at 3.3 A g −1 ), compared with pure Ni(OH) 2 /NF (450 mF cm −2 at 5 mA cm −2 , 409 F g −1 at 3.3 A g −1 ) prepared under the identical conditions. Our study highlights the importance of anchoring RGO films on Ni(OH) 2 surface for maximizing the optimized utilization of electrochemically active Ni(OH) 2 and graphene for energy storage application in supercapacitors
Topography evolution of germanium thin films synthesized by pulsed laser deposition
Directory of Open Access Journals (Sweden)
P. Schumacher
2017-04-01
Full Text Available Germanium thin films were deposited by Pulsed Laser Deposition (PLD onto single crystal Ge (100 and Si (100 substrates with a native oxide film on the surface. The topography of the surface was investigated by Atomic Force Microscopy (AFM to evaluate the scaling behavior of the surface roughness of amorphous and polycrystalline Ge films grown on substrates with different roughnesses. Roughness evolution was interpreted within the framework of stochastic rate equations for thin film growth. Here the Kardar-Parisi-Zhang equation was used to describe the smoothening process. Additionally, a roughening regime was observed in which 3-dimensional growth occurred. Diffusion of the deposited Ge adatoms controlled the growth of the amorphous Ge thin films. The growth of polycrystalline thin Ge films was dominated by diffusion processes only in the initial stage of the growth.
A comparative study of CdS thin films deposited by different techniques
Energy Technology Data Exchange (ETDEWEB)
Pérez-Hernández, G., E-mail: german.perez@ujat.mx [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Pantoja-Enríquez, J. [Centro de Investigación y Desarrollo Tecnológico en Energías Renovables, UNICACH, Libramiento Norte No 1150, Tuxtla Gutiérrez, Chiapas 29039 (Mexico); Escobar-Morales, B. [Instituto Tecnológico de Cancún, Avenida Kábah Km 3, Cancún, Quintana Roo 77500 (Mexico); Martinez-Hernández, D.; Díaz-Flores, L.L.; Ricardez-Jiménez, C. [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Mathews, N.R.; Mathew, X. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico)
2013-05-01
Cadmium sulfide thin-films were deposited on glass slides and SnO{sub 2}:F coated glass substrates by chemical bath deposition, sputtering and close-spaced sublimation techniques. The films were studied for the structural and opto-electronic properties after annealing in an ambient identical to that employed in the fabrication of CdTe/CdS devices. Quantum efficiency of the CdTe/CdS solar cells fabricated with CdS buffer films prepared by the three methods were investigated to understand the role of CdS film preparation method on the blue response of the devices. The higher blue response observed for the devices fabricated with chemical bath deposited CdS film is discussed. - Highlights: ► CdS films were prepared by different techniques. ► Role of CdS on the blue response of device was studied. ► Structural and optical properties of CdS were analyzed. ► Chemically deposited CdS has high blue transmittance. ► CdS deposition method influences diffusion of S and Te.
Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films
Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.
2017-07-01
We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.
Modification of thin film properties by ion bombardment during deposition
International Nuclear Information System (INIS)
Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.
1984-01-01
Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. Examples of thin film property modification by ion bombardment during deposition, including effects which are primarily compositional as well as those which are primarily structural are presented. The examples demonstrate the usefulness of ion beam techniques in identifying and controlling the fundamental deposition parameters. 68 refs.; 15 figs.; 1 table
International Nuclear Information System (INIS)
Besland, M.P.; Djani-ait Aissa, H.; Barroy, P.R.J.; Lafane, S.; Tessier, P.Y.; Angleraud, B.; Richard-Plouet, M.; Brohan, L.; Djouadi, M.A.
2006-01-01
Bi 4-x La x Ti 3 O 12 (BLT x ) (x = 0 to 1) thin films were grown on silicon (100) and platinized substrates Pt/TiO 2 /SiO 2 /Si using RF diode sputtering, magnetron sputtering and pulsed laser deposition (PLD). Stoichiometric home-synthesized targets were used. Reactive sputtering was investigated in argon/oxygen gas mixture, with a pressure ranging from 0.33 to 10 Pa without heating the substrate. PLD was investigated in pure oxygen, at a chamber pressure of 20 Pa for a substrate temperature of 400-440 deg. C. Comparative structural, chemical, optical and morphological characterizations of BLT thin films have been performed by X-ray diffraction (XRD), Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), X-Ray Photoelectron Spectroscopy (XPS), Spectro-ellipsometric measurements (SE) and Atomic Force Microscopy (AFM). Both sputtering techniques allow to obtain uniform films with thickness ranging from 200 to 1000 nm and chemical composition varying from (Bi,La) 2 Ti 3 O 12 to (Bi,La) 4.5 Ti 3 O 12 , depending on deposition pressure and RF power. In addition, BLT films deposited by magnetron sputtering, at a pressure deposition ranging from 1.1 to 5 Pa, were well-crystallized after a post-deposition annealing at 650 deg. C in oxygen. They exhibit a refractive index and optical band gap of 2.7 and 3.15 eV, respectively. Regarding PLD, single phase and well-crystallized, 100-200 nm thick BLT films with a stoichiometric (Bi,La) 4 Ti 3 O 12 chemical composition were obtained, exhibiting in addition a preferential orientation along (200). It is worth noting that BLT films deposited by magnetron sputtering are as well-crystallized than PLD ones
Nano-Impact (Fatigue Characterization of As-Deposited Amorphous Nitinol Thin Film
Directory of Open Access Journals (Sweden)
Rehan Ahmed
2012-08-01
Full Text Available This paper presents nano-impact (low cycle fatigue behavior of as-deposited amorphous nitinol (TiNi thin film deposited on Si wafer. The nitinol film was 3.5 µm thick and was deposited by the sputtering process. Nano-impact tests were conducted to comprehend the localized fatigue performance and failure modes of thin film using a calibrated nano-indenter NanoTest™, equipped with standard diamond Berkovich and conical indenter in the load range of 0.5 mN to 100 mN. Each nano-impact test was conducted for a total of 1000 fatigue cycles. Depth sensing approach was adapted to understand the mechanisms of film failure. Based on the depth-time data and surface observations of films using atomic force microscope, it is concluded that the shape of the indenter test probe is critical in inducing the localized indentation stress and film failure. The measurement technique proposed in this paper can be used to optimize the design of nitinol thin films.
Indium zinc oxide films deposited on PET by LF magnetron sputtering
International Nuclear Information System (INIS)
Kim, Eun Lyoung; Jung, Sang Kooun; Sohn, Sang Ho; Park, Duck Kyu
2007-01-01
Indium zinc oxide (IZO) has attracted much attention recently for use in transparent oxide films compared with the ITO film. We carried out the deposition of IZO on a polyethylene terapthalate (PET) substrate at room temperature by a low-frequency (LF) magnetron sputtering system. These films have amorphous structures with excellent electrical stability, surface uniformity and high optical transmittance. The effects of LF applied voltage and O 2 flow rate were investigated. The electrical and optical properties were studied. At optimal deposition conditions, thin films of IZO with a sheet resistance of 32 Ω/sq and an optical transmittance of over 80% in the visible spectrum range were achieved. The IZO thin films fabricated by this method do not require substrate heating during the film preparation of any additional post-deposition annealing treatment. The experimental results show that films with good qualities of surface morphology, transmittance and electrical conduction can be grown by the LF magnetron sputtering method on PET which is recommendable
Nanocomposite oxide thin films grown by pulsed energy beam deposition
International Nuclear Information System (INIS)
Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.
2011-01-01
Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.
Nanostructured CdS thin films deposited by spray pyrolysis method
Energy Technology Data Exchange (ETDEWEB)
Kerimova, A.; Bagiyev, E.; Aliyeva, E.; Bayramov, A. [Institute of Physics, Azerbaijan National Academy of Sciences, Baku (Azerbaijan)
2017-06-15
Influence of solution pH on the structural and optical properties of CdS films deposited by conventional spray pyrolysis technique was studied. X-Ray Diffraction (XRD), Atomic Force Microscopy (AFM), Photoluminescence spectroscopy (PLS), and Spectroscopic Ellipsometry (SE) methods were used for the characterization of the deposited films. PL spectrum of the film deposited from the solution with pH = 10.2 shows broad-band PL emission located at 460 nm (2.7 eV), which can be attributed to the quantum size effect at grain sizes of <10 nm. No shifts of ε{sub 1} and ε{sub 2} due to the quantum size effect are observed in dielectric function spectra, what can be caused by low concentration of nano-sized (<10 nm) CdS grains. The change in the film properties with the pH of the solution was analyzed in terms of variation of grain sizes of the polycrystalline films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Thickness dependent growth of low temperature atomic layer deposited zinc oxide films
International Nuclear Information System (INIS)
Montiel-González, Z.; Castelo-González, O.A.; Aguilar-Gama, M.T.; Ramírez-Morales, E.; Hu, H.
2017-01-01
Highlights: • Polycrystalline columnar ZnO thin films deposited by ALD at low temperatures. • Higher deposition temperature leads to a greater surface roughness in the ALD ZnO films. • Higher temperature originates larger refractive index values of the ALD ZnO films. • ZnO thin films were denser as the numbers of ALD deposition cycles were larger. • XPS analysis revels mayor extent of the DEZ reaction during the ALD process. - Abstract: Zinc oxide films are promising to improve the performance of electronic devices, including those based on organic materials. However, the dependence of the ZnO properties on the preparation conditions represents a challenge to obtain homogeneous thin films that satisfy specific applications. Here, we prepared ZnO films of a wide range of thicknesses by atomic layer deposition (ALD) at relatively low temperatures, 150 and 175 °C. From the results of X-ray photoelectron spectroscopy, X-ray diffraction and Spectroscopic Ellipsometry it is concluded that the polycrystalline structure of the wurtzite is the main phase of the ALD samples, with OH groups on their surface. Ellipsometry revealed that the temperature and the deposition cycles have a strong effect on the films roughness. Scanning electron micrographs evidenced such effect, through the large pyramids developed at the surface of the films. It is concluded that crystalline ZnO thin films within a broad range of thickness and roughness can be obtained for optic or optoelectronic applications.
Opto-electrical properties of amorphous carbon thin film deposited from natural precursor camphor
Energy Technology Data Exchange (ETDEWEB)
Pradhan, Debabrata [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)]. E-mail: dpradhan@sciborg.uwaterloo.ca; Sharon, Maheshwar [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)
2007-06-30
A simple thermal chemical vapor deposition technique is employed for the pyrolysis of a natural precursor 'camphor' and deposition of carbon films on alumina substrate at higher temperatures (600-900 deg. C). X-ray diffraction measurement reveals the amorphous structure of these films. The carbon films properties are found to significantly vary with the deposition temperatures. At higher deposition temperature, films have shown predominately sp{sup 2}-bonded carbon and therefore, higher conductivity and lower optical band gap (Tauc gap). These amorphous carbon (a-C) films are also characterized with Raman and X-ray photoelectron spectroscopy. In addition, electrical and optical properties are measured. The thermoelectric measurement shows these as-grown a-C films are p-type in nature.
Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition
International Nuclear Information System (INIS)
Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G
2012-01-01
ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)
Self-limiting atomic layer deposition of conformal nanostructured silver films
International Nuclear Information System (INIS)
Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.
2016-01-01
Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.
Morphology and structural studies of WO_3 films deposited on SrTiO_3 by pulsed laser deposition
International Nuclear Information System (INIS)
Kalhori, Hossein; Porter, Stephen B.; Esmaeily, Amir Sajjad; Coey, Michael; Ranjbar, Mehdi; Salamati, Hadi
2016-01-01
Highlights: • Highly oriented WO_3 stoichiometric films were determined using pulsed laser deposition method. • Effective parameters on thin films including temperature, oxygen partial pressure and laser energy fluency was studied. • A phase transition was observed in WO_3 films at 700 °C from monoclinic to tetragonal. - Abstract: WO_3 films have been grown by pulsed laser deposition on SrTiO_3 (001) substrates. The effects of substrate temperature, oxygen partial pressure and energy fluence of the laser beam on the physical properties of the films were studied. Reflection high-energy electron diffraction (RHEED) patterns during and after growth were used to determine the surface structure and morphology. The chemical composition and crystalline phases were obtained by XPS and XRD respectively. AFM results showed that the roughness and skewness of the films depend on the substrate temperature during deposition. Optimal conditions were determined for the growth of the highly oriented films.
Microstructural and magnetic properties of thin obliquely deposited films: A simulation approach
Energy Technology Data Exchange (ETDEWEB)
Solovev, P.N., E-mail: platon.solovev@gmail.com [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Izotov, A.V. [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Belyaev, B.A. [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Reshetnev Siberian State Aerospace University, 31, pr. Imeni Gazety “Krasnoyarskii Rabochii”, Krasnoyarsk 660014 (Russian Federation)
2017-05-01
The relation between microstructural and magnetic properties of thin obliquely deposited films has been studied by means of numerical techniques. Using our developed simulation code based on ballistic deposition model and Fourier space approach, we have investigated dependences of magnetometric tensor components and magnetic anisotropy parameters on the deposition angle of the films. A modified Netzelmann approach has been employed to study structural and magnetic parameters of an isolated column in the samples with tilted columnar microstructure. Reliability and validity of used numerical methods is confirmed by a good agreement of the calculation results with each other, as well as with our experimental data obtained by the ferromagnetic resonance measurements of obliquely deposited thin Ni{sub 80}Fe{sub 20} films. The combination of these numerical methods can be used to design a magnetic film with a desirable value of uniaxial magnetic anisotropy and to extract the obliquely deposited film structure from only magnetic measurements. - Highlights: • We present a simulation approach to study a relation between structural and magnetic properties of oblique films. • The calculated dependence of magnetic anisotropy on a deposition angle accords well with the experiment. • A modified Netzelmann approach is proposed. • It allows for the computation of magnetic and structural parameters of an isolated column. • Proposed approach can be used for theoretical studies and for characterization of oblique films.
Structural and Magnetic Properties of Mn doped ZnO Thin Film Deposited by Pulsed Laser Deposition
Baras, Abdulaziz
2011-07-01
Diluted magnetic oxide (DMO) research is a growing field of interdisciplinary study like spintronic devices and medical imaging. A definite agreement among researchers concerning the origin of ferromagnetism in DMO has yet to be reached. This thesis presents a study on the structural and magnetic properties of DMO thin films. It attempts to contribute to the understanding of ferromagnetism (FM) origin in DMO. Pure ZnO and Mn doped ZnO thin films have been deposited by pulsed laser deposition (PLD) using different deposition conditions. This was conducted in order to correlate the change between structural and magnetic properties. Structural properties of the films were characterized using x-ray diffraction (XRD) and scanning electron microscopy (SEM). The superconducting quantum interference device (SQUID) was used to investigate the magnetic properties of these films. The structural characterizations showed that the quality of pure ZnO and Mn doped ZnO films increased as oxygen pressure (PO) increased during deposition. All samples were insulators. In Mn doped films, Mn concentration decreased as PO increased. The Mn doped ZnO samples were deposited at 600˚C and oxygen pressure from 50-500mTorr. All Mn doped films displayed room temperature ferromagnetism (RTFM). However, at 5 K a superparamagnetic (SPM) behavior was observed in these samples. This result was accounted for by the supposition that there were secondary phase(s) causing the superparamagnetic behavior. Our findings hope to strengthen existing research on DMO origins and suggest that secondary phases are the core components that suppress the ferromagnetism. Although RTFM and SPM at low temperature has been observed in other systems (e.g., Co doped ZnO), we are the first to report this behavior in Mn doped ZnO. Future research might extend the characterization and exploration of ferromagnetism in this system.
Optoelectronic Characterization of Ta-Doped ZnO Thin Films by Pulsed Laser Deposition.
Koo, Horng-Show; Peng, Jo-Chi; Chen, Mi; Chin, Hung-I; Chen, Jaw-Yeh; Wu, Maw-Kuen
2015-11-01
Transparent conductive oxide of Ta-doped ZnO (TZO) film with doping amount of 3.0 wt% have been deposited on glass substrates (Corning Eagle XG) at substrate temperatures of 100 to 500 degrees C by the pulsed laser deposition (PLD) technique. The effect of substrate temperature on the structural, optical and electronic characteristics of Ta-doped ZnO (TZO) films with 3.0 wt% dopant of tantalum oxide (Ta2O5) was measured and demonstrated in terms of X-ray diffraction (XRD), ultraviolet-visible spectrometer (UV-Vis), four-probe and Hall-effect measurements. X-ray diffraction pattern shows that TZO films grow in hexagonal crystal structure of wurtzite phase with a preferred orientation of the crystallites along (002) direction and exhibits better physical characteristics of optical transmittance, electrical conductivity, carrier concentration and mobility for the application of window layer in the optoelectronic devices of solar cells, OLEDs and LEDs. The lowest electrical resistivity (ρ) and the highest carrier concentration of the as-deposited film deposited at 300 degrees C are measured as 2.6 x 10(-3) Ω-cm and 3.87 x 10(-20) cm(-3), respectively. The highest optical transmittance of the as-deposited film deposited at 500 degrees C is shown to be 93%, compared with another films deposited below 300 degrees C. It is found that electrical and optical properties of the as-deposited TZO film are greatly dependent on substrate temperature during laser ablation deposition.
Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization
Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko
2003-06-01
The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.
International Nuclear Information System (INIS)
Vähä-Nissi, Mika; Pitkänen, Marja; Salo, Erkki; Kenttä, Eija; Tanskanen, Anne; Sajavaara, Timo; Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana; Karppinen, Maarit; Harlin, Ali
2014-01-01
Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al 2 O 3 of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al 2 O 3 thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al 2 O 3 • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli
Energy Technology Data Exchange (ETDEWEB)
Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Pitkänen, Marja; Salo, Erkki; Kenttä, Eija [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Tanskanen, Anne, E-mail: Anne.Tanskanen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Sajavaara, Timo, E-mail: timo.sajavaara@jyu.fi [University of Jyväskylä, Department of Physics, P.O. Box 35, FI-40014 Jyväskylä (Finland); Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Karppinen, Maarit, E-mail: Maarit.Karppinen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Harlin, Ali [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland)
2014-07-01
Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al{sub 2}O{sub 3} of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al{sub 2}O{sub 3} thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al{sub 2}O{sub 3} • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli.
Synthesis and characterization of titanium dioxide thin films deposited by laser ablation
International Nuclear Information System (INIS)
Escobar A, L.; Camps C, E.; Falcon B, T.; Carapia M, L.; Haro P, E.; Camacho L, M.A.
2000-01-01
In this work are presented the results obtained when TiO 2 thin films were deposited using the laser ablation technique. Thin films were deposited at different substrate temperatures, and different oxygen pressures, with the purpose of studying the influence of this deposit parameters in the structural characteristics of the films obtained. The structural characterization was realized through Raman Spectroscopy and X-ray Diffraction (XRD), the surface morphology of the layers deposited was verified by Scanning Electron Microscopy (Sem). The results show that the films obtained are of TiO 2 in rutile phase, getting this at low substrate temperatures, its morphology shows a soft surface with some spattered particles and good adherence. (Author)
International Nuclear Information System (INIS)
Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.
2014-01-01
CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties
Study on helium-charged titanium films deposited by DC-magnetron sputtering
International Nuclear Information System (INIS)
Shi Liqun; Jin Qinhua; Liu Chaozhuo; Xu Shilin; Zhou Zhuying
2005-01-01
Helium trapping in the Ti films deposited by DC magnetron sputtering with a He/Ar mixture was studied. He atoms with a surprisingly high concentration (He/Ti atomic ratio is as high as 56%) incorporate evenly in deposited film. The trapped amount of He can be controlled by the helium partial amount. The introduction of the helium with no extra damage (or very low damage) can be realized by choosing suitable deposition conditions. It was also found that because of the formation of nanophase Ti film a relative high He flux for bubble formation is needed and the amount of the retaining He in sputtering Ti films is much higher than that in the coarse-grain Ti films. The nanophase Ti film can accommodate larger concentration of trapped sites to He, which results in a high density and small size of the He bubbles. With increasing He irradiation flux, the grain size of Ti film decreases and the lattice spacing and width of the X-ray diffraction peak increase due to the He introduction, and the film tends to amorphous phase. (authors)
Energy Technology Data Exchange (ETDEWEB)
Bendavid, A.; Martin, P.J.; Takikawa, H
2002-12-02
Thin films of aluminium silicon oxynitride have been deposited on conducting (100) silicon wafers by filtered arc deposition (FAD) under nitrogen and/or oxygen gas flow. The influence of the N{sub 2}/O{sub 2} flow ratio on the crystal structure, optical and mechanical properties has been investigated. The results of X-ray diffraction showed that the film structure comprised of an AlN crystallite with amorphous Si{sub 3}N{sub 4} and SiO{sub x}. The optical properties over the range of 350-800 nm were measured using spectroscopic ellipsometry and found to be strongly dependent on N{sub 2}/O{sub 2} flow ratio. The refractive index values of the films were measured to be in the range of 2.2-1.64 at a wavelength of 670 nm for oxygen flow range of 0-100%. The hardness of the films was found to be strongly dependent on the oxygen content in the film. The hardness range of the films was between 10 and 22 GPa and for the stress between 0.3 and 1.2 GPa.
Energy Technology Data Exchange (ETDEWEB)
Hannachi, Amira, E-mail: amira.hannachi88@gmail.com; Maghraoui-Meherzi, Hager
2017-03-15
Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like. - Graphical Abstract: We report the preparation of different phases of manganese sulfide thin films (γ, β and α-MnS) by chemical bath deposition method. The effects of deposition parameters such as deposition time and temperature, concentrations of precursors and multi-layer deposition on MnS thin films structure and morphology were investigated. The influence of thermal annealing under nitrogen atmosphere at different temperature on MnS properties was also studied. Different manganese precursors as well as different complexing agent were also used. - Highlights: • γ and β-MnS films were deposited on substrate using the chemical bath deposition. • The effect of deposition parameters on MnS film properties has been investigated. • Multi-layer deposition was also studied to increase film thickness. • The effect of annealing under N{sub 2} at different temperature was investigated.
Effect of protic solvents on CdS thin films prepared by chemical bath deposition
Energy Technology Data Exchange (ETDEWEB)
Yao, Pin-Chuan, E-mail: pcyao@mail.dyu.edu.tw; Chen, Chun-Yu
2015-03-31
In this study, cadmium sulfide (CdS) thin films are grown on glass substrates by chemical bath deposition (CBD) in an aqueous bath containing 10–20 vol.% alcohol. The roles of ethanol as a protic solvent that substantially improves the quality of films are explored extensively. The deposited films in an alcohol bath are found to be more compact and smoother with smaller CdS grains. The X-ray diffractograms of the samples confirm that all films were polycrystalline with mixed wurtzite (hexagonal) and zinkblende (cubic) phases. Raman spectra indicate that, for a film deposited in an alcohol bath, the position of 1LO is closer to the value for single crystal CdS, indicating that these films have a high degree of crystallinity. The as-deposited CdS thin films in a 10 vol.% alcohol bath were found to have the highest visible transmittance of 81.9%. XPS analysis reveals a stronger signal of C1s for samples deposited in the alcohol baths, indicating that there are more carbonaceous residues on the films with protic solvent than on the films with water. A higher XPS S/Cd atomic ratio for films deposited in an alcohol bath indicates that undesirable surface reactions (leading to sulfur containing compounds other than CdS) occur less frequently over the substrates. - Highlights: • Study of CBD-CdS films grown in an alcohol-containing aqueous bath is reported. • The deposited films in an alcohol bath are more compact with smaller CdS grains. • Raman spectra show that in an alcohol bath, the CdS film has a better crystallinity. • XPS reveals more carbon residues remain on the films deposited using alcohol bath. • In an alcohol bath, the undesirable surface reactions with Cd ions were hindered.
International Nuclear Information System (INIS)
Dom, Rekha; Sivakumar, G.; Hebalkar, Neha Y.; Joshi, Shrikant V.; Borse, Pramod H.
2012-01-01
Highlights: ► Highly economic solution precursor route capable of producing films/coating even for mass scale production. ► Pure spinel phase ZnFe 2 O 4 porous, immobilized films deposited in single step. ► Parameter optimization yields access to nanostructuring in SPPS method. ► The ecofriendly immobilized ferrite films were active under solar radiation. ► Such magnetic system display advantage w.r.t. recyclability after photocatalyst extraction. -- Abstract: Deposition of pure spinel phase, photocatalytic zinc ferrite films on SS-304 substrates by solution precursor plasma spraying (SPPS) has been demonstrated for the first time. Deposition parameters such as precursor solution pH, concentration, film thickness, plasma power and gun-substrate distance were found to control physico-chemical properties of the film, with respect to their crystallinity, phase purity, and morphology. Alkaline precursor conditions (7 2 O 4 film. Very high/low precursor concentrations yielded mixed phase, less adherent, and highly inhomogeneous thin films. Desired spinel phase was achieved in as-deposited condition under appropriately controlled spray conditions and exhibited a band gap of ∼1.9 eV. The highly porous nature of the films favored its photocatalytic performance as indicated by methylene blue de-coloration under solar radiation. These immobilized films display good potential for visible light photocatalytic applications.
Electroless nickel plating of arc discharge synthesized carbon nanotubes for metal matrix composites
International Nuclear Information System (INIS)
Jagannatham, M.; Sankaran, S.; Prathap, Haridoss
2015-01-01
Highlights: • Electroless Ni coatings have been performed on CNTs for various deposition times. • The deposition of nickel increased with increase in deposition time. • A deposition time of 60 min has been optimum for uniform coating of Ni on CNTs. • The CNTs with uniform coating of Ni are potential for reinforcements in composites. • Electroless nickel coatings are determined to be super paramagnetic behavior. - Abstract: Electroless nickel (EN) plating was performed on arc discharge synthesized multiwalled carbon nanotubes for various deposition times. X-ray diffraction (XRD), Transmission electron microscopy (TEM), and Raman spectroscopy characterization techniques are used to identify the presence of nickel deposition on the carbon nanotubes (CNTs) and the degree of graphitization. The results indicate that impurities are less in the purified CNTs as compared to raw carbon soot. Increasing deposition time up to 60 min increases uniform deposition of nickel throughout the length of the CNTs. However, for deposition time longer than 60 min, nickel particles are seen separated from the surface of the CNTs. Uniformly coated nickel CNTs throughout their length are potential candidates for reinforcements in composite materials. Magnetic properties of the nickel coated CNTs, with deposition time of 30 and 60 min were also evaluated. The magnetic saturation of nickel coated CNTs with deposition time of 30 min is less compared to nickel coated CNTs with deposition time of 60 min
Electroless nickel plating of arc discharge synthesized carbon nanotubes for metal matrix composites
Energy Technology Data Exchange (ETDEWEB)
Jagannatham, M.; Sankaran, S.; Prathap, Haridoss, E-mail: prathap@iitm.ac.in
2015-01-01
Highlights: • Electroless Ni coatings have been performed on CNTs for various deposition times. • The deposition of nickel increased with increase in deposition time. • A deposition time of 60 min has been optimum for uniform coating of Ni on CNTs. • The CNTs with uniform coating of Ni are potential for reinforcements in composites. • Electroless nickel coatings are determined to be super paramagnetic behavior. - Abstract: Electroless nickel (EN) plating was performed on arc discharge synthesized multiwalled carbon nanotubes for various deposition times. X-ray diffraction (XRD), Transmission electron microscopy (TEM), and Raman spectroscopy characterization techniques are used to identify the presence of nickel deposition on the carbon nanotubes (CNTs) and the degree of graphitization. The results indicate that impurities are less in the purified CNTs as compared to raw carbon soot. Increasing deposition time up to 60 min increases uniform deposition of nickel throughout the length of the CNTs. However, for deposition time longer than 60 min, nickel particles are seen separated from the surface of the CNTs. Uniformly coated nickel CNTs throughout their length are potential candidates for reinforcements in composite materials. Magnetic properties of the nickel coated CNTs, with deposition time of 30 and 60 min were also evaluated. The magnetic saturation of nickel coated CNTs with deposition time of 30 min is less compared to nickel coated CNTs with deposition time of 60 min.
Wang, Lanruo; Zhong, Yuan; Li, Jinjin; Cao, Wenhui; Zhong, Qing; Wang, Xueshen; Li, Xu
2018-04-01
Magnetron sputtering is an important method in the superconducting thin films deposition. The residual gas inside the vacuum chamber will directly affect the quality of the superconducting films. In this paper, niobium films are deposited by magnetron sputtering under different chamber residual gas conditions. The influence of baking and sputtering process on residual gas are studied as well. Surface morphology, electrical and mechanical properties of the films are analysed. The residual gas analysis result before the sputtering process could be regarded as a reference condition to achieve high quality superconducting thin films.
Structural characterization of chemically deposited PbS thin films
International Nuclear Information System (INIS)
Fernandez-Lima, F.A.; Gonzalez-Alfaro, Y.; Larramendi, E.M.; Fonseca Filho, H.D.; Maia da Costa, M.E.H.; Freire, F.L.; Prioli, R.; Avillez, R.R. de; Silveira, E.F. da; Calzadilla, O.; Melo, O. de; Pedrero, E.; Hernandez, E.
2007-01-01
Polycrystalline thin films of lead sulfide (PbS) grown using substrate colloidal coating chemical bath depositions were characterized by RBS, XPS, AFM and GIXRD techniques. The films were grown on glass substrates previously coated with PbS colloidal particles in a polyvinyl alcohol solution. The PbS films obtained with the inclusion of the polymer showed non-oxygen-containing organic contamination. All samples maintained the Pb:S 1:1 stoichiometry throughout the film. The amount of effective nucleation centers and the mean grain size have being controlled by the substrate colloidal coating. The analysis of the polycrystalline PbS films showed that a preferable (1 0 0) lattice plane orientation parallel to the substrate surface can be obtained using a substrate colloidal coating chemical bath deposition, and the orientation increases when a layer of colloid is initially dried on the substrate
Study of hard diamond-like carbon films deposited in an inductively coupled plasma source
International Nuclear Information System (INIS)
Yu Shiji; Ma Tengcai
2003-01-01
Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality
Heat treatment of cathodic arc deposited amorphous hard carbon films
Energy Technology Data Exchange (ETDEWEB)
Anders, S.; Ager, J.W. III; Brown, I.G. [and others
1997-02-01
Amorphous hard carbon films of varying sp{sup 2}/sp{sup 3} fractions have been deposited on Si using filtered cathodic are deposition with pulsed biasing. The films were heat treated in air up to 550 C. Raman investigation and nanoindentation were performed to study the modification of the films caused by the heat treatment. It was found that films containing a high sp{sup 3} fraction sustain their hardness for temperatures at least up to 400 C, their structure for temperatures up to 500 C, and show a low thickness loss during heat treatment. Films containing at low sp{sup 3} fraction graphitize during the heat treatment, show changes in structure and hardness, and a considerable thickness loss.
Deactivation of nickel hydroxide-gold modified electrodes
Caram, Bruno; Tucceri, Ricardo
2013-01-01
The aim of the present work was to study how the charge-transport process of a nickel hydroxide film electrochemically synthesized on a gold substrate is modified when the electrode is stored for a long time. It was found that nickel hydroxide films are deactivated under storage, that is, films became less conductive than films immediately prepared (nondeactivated). This study was carried out in the context of the rotating disc electrode voltammetry when the modified electrode contacts an ele...
Ammonia-free chemical bath method for deposition of microcrystalline cadmium selenide films
International Nuclear Information System (INIS)
Lokhande, C.D.; Lee, Eun-Ho; Jung, Kwang-Deog; Joo, Oh-Shim
2005-01-01
Chemical deposition of cadmium selenide (CdSe) films has been carried out from alkaline aqueous solution containing Cd 2+ and Se 2- ions. In general, the alkaline pH of the CdSe deposition bath has been adjusted by addition of liquid ammonia. However, the use of ammonia in large-scale chemical deposition method represents an environmental problem due to its volatility and toxicity. The volatility of ammonia changes the pH of deposition bath and results into irreproducible film properties. In the present paper, ammonia-free and weak alkaline (pH < 9.0) chemical method for cadmium selenide film has been developed. The cadmium selenide films are microcrystalline (grain size 0.5-0.7 μm) with hexagonal crystal structure. These films are photoactive and therefore, useful in photo conversion of light into electrical power
Optimization of deposition conditions of CdS thin films using response surface methodology
Energy Technology Data Exchange (ETDEWEB)
Yücel, Ersin, E-mail: dr.ersinyucel@gmail.com [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Güler, Nuray [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Yücel, Yasin [Department of Chemistry, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey)
2014-03-15
Highlights: • Statistical methods used for optimization of CdS deposition parameters. • The morphology of the films was smooth, homogeneous and continuous. • Optimal conditions found as pH 11, stirring speed:361 rpm and deposition time: 55 min. • CdS thin film band gap value was 2.72 eV under the optimum conditions. -- Abstract: Cadmium sulfide (CdS) thin films were prepared on glass substrates by chemical bath deposition (CBD) technique under different pH, stirring speed and deposition time. Response Surface Methodology (RSM) and Central Composite Design (CCD) were used to optimization of deposition parameters of the CdS thin films. RSM and CCD were also used to understand the significance and interaction of the factors affecting the film quality. Variables were determined as pH, stirring speed and deposition time. The band gap was chosen as response in the study. Influences of the variables on the band gap and the film quality were investigated. 5-level-3-factor central composite design was employed to evaluate the effects of the deposition conditions parameters such as pH (10.2–11.8), stirring speed (132–468 rpm) and deposition time (33–67 min) on the band gap of the films. The samples were characterized using X-ray diffraction (XRD), scanning electron microscope (SEM) and ultraviolet–visible spectroscopy (UV–vis) measurements. The optimal conditions for the deposition parameters of the CdS thin films have been found to be: pH 11, 361 of stirring speed and 55 min of deposition time. Under the optimal conditions theoretical (predicted) band gap of CdS (2.66 eV) was calculated using optimal coded values from the model and the theoretical value is good agreement with the value (2.72 eV) obtained by verification experiment.
Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films
Directory of Open Access Journals (Sweden)
Chia-Man Chou
2017-07-01
Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.
International Nuclear Information System (INIS)
Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime
2008-01-01
An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network
Jiang, Yinzhu; Yuan, Tianzhi; Sun, Wenping; Yan, Mi
2012-11-01
Porous SnO₂/graphene composite thin films are prepared as anodes for lithium ion batteries by the electrostatic spray deposition technique. Reticular-structured SnO₂ is formed on both the nickel foam substrate and the surface of graphene sheets according to the scanning electron microscopy (SEM) results. Such an assembly mode of graphene and SnO₂ is highly beneficial to the electrochemical performance improvement by increasing the electrical conductivity and releasing the volume change of the anode. The novel engineered anode possesses 2134.3 mA h g⁻¹ of initial discharge capacity and good capacity retention of 551.0 mA h g⁻¹ up to the 100th cycle at a current density of 200 mA g⁻¹. This anode also exhibits excellent rate capability, with a reversible capacity of 507.7 mA h g⁻¹ after 100 cycles at a current density of 800 mA g⁻¹. The results demonstrate that such a film-type hybrid anode shows great potential for application in high-energy lithium-ion batteries.
Growth and characterization of indium tin oxide thin films deposited on PET substrates
International Nuclear Information System (INIS)
Lee, Jaehyeong; Jung, Hakkee; Lee, Jongin; Lim, Donggun; Yang, Keajoon; Yi, Junsin; Song, Woo-Chang
2008-01-01
Transparent and conductive indium tin oxide (ITO) thin films were deposited onto polyethylene terephthalate (PET) by d.c. magnetron sputtering as the front and back electrical contact for applications in flexible displays and optoelectronic devices. In addition, ITO powder was used for sputter target in order to reduce the cost and time of the film formation processes. As the sputtering power and pressure increased, the electrical conductivity of ITO films decreased. The films were increasingly dark gray colored as the sputtering power increased, resulting in the loss of transmittance of the films. When the pressure during deposition was higher, however, the optical transmittance improved at visible region of light. ITO films deposited onto PET have shown similar optical transmittance and electrical resistivity, in comparison with films onto glass substrate. High quality films with resistivity as low as 2.5 x 10 -3 Ω cm and transmittance over 80% have been obtained on to PET substrate by suitably controlling the deposition parameters
Properties of spray-deposited liquid-phase exfoliated graphene films
Sales, Maria Gabriela C.; Dela Vega, Ma. Shanlene D. C.; Vasquez, Magdaleno R., Jr.
2018-01-01
In this study, we demonstrate the feasibility of spray-depositing exfoliated graphene on flexible polyimide (PI) and rigid (soda lime glass) substrates for optoelectronic applications. The water contact angles of the substrates increased by 13% (for PI) and 49% (for glass) when the surfaces are pretreated with hexamethyldisiloxane, which significantly improved the adhesion of the films. Raman spectral analyses confirmed a minimum of 15 and a maximum of 23 layers of exfoliated graphene deposited on the substrates. After deposition, the films were exposed to 13.56 MHz radio-frequency plasma containing an admixture of argon and nitrogen gases. Plasma treatment modified the electrical properties with a response analogous to that of a rectifier. A 39% increase in transmittance in the visible region was also observed especially for glass substrates after plasma treatment without a significant change in film electrical conductivity.
Deposition of thin films by retardation of an isotope separator beam
International Nuclear Information System (INIS)
Colligon, J.S.; Grant, W.A.; Williams, J.S.; Lawson, R.P.W.
1976-01-01
An ion optical lens system capable of retarding and focusing a mass-analysed ion beam, produced in the University of Salford isotope separator, from an energy of 20 keV to 50-60 eV is described. Using this system it is technically feasible to deposit spectroscopically pure ions of all species onto a substrate to produce thin film for devices and junctions. Preliminary investigations of the technique have been carried out using lead and copper ions which were deposited onto silicon single-crystal substrates. These ions were selected because their high mass relative to silicon allowed analyses of the deposited films by low-angle Rutherford backscattering of 2 MeV He ions; the single-crystal silicon substrate enabled the extent of damage due to unretarded neutral particles to be estimated from channelling data. Results for lead films showed that films less than 150 A in thickness were discontinuous and scanning electron microscopy confirmed their 'island' structure. For thicker deposits, of order 600 A, the films were continuous. Results are also presented for copper-lead sandwich layers produced by successive depositions. Channelling experiments indicated that the neutral component was less than 5% of the total ion-beam intensity. Investigations of the spatial distribution of the lead films indicated a non-uniformity which, it is suggested, arises from a fault in the retardation lens design. (author)
Pure and Sn-doped ZnO films produced by pulsed laser deposition
DEFF Research Database (Denmark)
Holmelund, E.; Schou, Jørgen; Tougaard, S.
2002-01-01
A new technique, metronome doping, has been used for doping of films during pulsed laser deposition (PLD). This technique makes it possible to dope continuously during film growth with different concentrations of a dopant in one deposition sequence. Films of pure and doped ZnO have been produced...
Excimer pulsed laser deposition and annealing of YSZ nanometric films on Si substrates
International Nuclear Information System (INIS)
Caricato, A.P.; Barucca, G.; Di Cristoforo, A.; Leggieri, G.; Luches, A.; Majni, G.; Martino, M.; Mengucci, P.
2005-01-01
We report experimental results obtained for electrical and structural characteristics of yttria-stabilised zirconia (YSZ) thin films deposited by pulsed laser deposition (PLD) on Si substrates at room temperature. Some samples were submitted to thermal treatments in different ambient atmospheres (vacuum, N 2 and O 2 ) at a moderate temperature. The effects of thermal treatments on the film electrical properties were studied by C-V and I-V measurements. Structural characteristics were obtained by X-ray diffraction (XRD), X-ray reflectivity (XRR) and transmission electron microscopy (TEM) analyses. The as-deposited film was amorphous with an in-depth non-uniform density. The annealed films became polycrystalline with a more uniform density. The sample annealed in O 2 was uniform over all the thickness. Electrical characterisation showed large hysteresis, high leakage current and positive charges trapped in the oxide in the as-deposited film. Post-deposition annealing, especially in O 2 atmosphere, improved considerably the electrical properties of the films
Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization
International Nuclear Information System (INIS)
Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko
2003-01-01
The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min
Fabrication of Antireflection Nanodiamond Particle Film by the Spin Coating Deposition Technique
Directory of Open Access Journals (Sweden)
Chii-Ruey Lin
2014-01-01
Full Text Available Diamond-based antireflective (AR coatings were fabricated using a spin coating of diamond suspension at room temperature as nucleation enhancement procedure and microwave plasma enhanced chemical vapour deposition. Various working pressures were used to investigate their effect on the optical characterization of the as-deposited diamond films. Scanning electron microscopy (SEM and atomic forced microscopy (AFM were employed to analyze the surface properties of the diamond films. Raman spectra and transmission electron microscopy (TEM also were used for analysis of the microstructure of the films. The results showed that working pressure had a significant effect on thickness, surface roughness, and wettability of the as-deposited diamond films. Deposited under 35 Torr or working pressure, the film possessed a low surface roughness of 13.8 nm and fine diamond grain sizes of 35 nm. Reflectance measurements of the films also were carried out using UV-Vis spectrometer and revealed a low reflectance value of the diamond films. The achievement demonstrated feasibility of the proposed spin-coating procedure for large scale production and thus opens up a prospect application of diamond film as an AR coating in industrial optoelectronic device.
Electroless nickel plating of arc discharge synthesized carbon nanotubes for metal matrix composites
Jagannatham, M.; Sankaran, S.; Prathap, Haridoss
2015-01-01
Electroless nickel (EN) plating was performed on arc discharge synthesized multiwalled carbon nanotubes for various deposition times. X-ray diffraction (XRD), Transmission electron microscopy (TEM), and Raman spectroscopy characterization techniques are used to identify the presence of nickel deposition on the carbon nanotubes (CNTs) and the degree of graphitization. The results indicate that impurities are less in the purified CNTs as compared to raw carbon soot. Increasing deposition time up to 60 min increases uniform deposition of nickel throughout the length of the CNTs. However, for deposition time longer than 60 min, nickel particles are seen separated from the surface of the CNTs. Uniformly coated nickel CNTs throughout their length are potential candidates for reinforcements in composite materials. Magnetic properties of the nickel coated CNTs, with deposition time of 30 and 60 min were also evaluated. The magnetic saturation of nickel coated CNTs with deposition time of 30 min is less compared to nickel coated CNTs with deposition time of 60 min.
Shcherbakov, V. S.; Dikova, Ts. D.; Stavrev, D. S.
2017-07-01
The study and application of the materials that are stable in the temperature range up to 1000°C are necessary to repair forming dies operating in this range. Nickel-based alloys can be used for this purpose. The structural state of a nickel alloy layer deposited onto a KhV4F tool steel and then heat treated is investigated. KhV4F tool steel (RF GOST) samples are subjected to laser deposition using a pulsed Nd:YAG laser. A nickel-based material (0.02C-73.8Ni-2.5Nb-19.5Cr-1.9Fe-2.8Mn) is employed for laser deposition. After laser deposition, the samples are subjected to heat treatment at 400°C for 5 h, 600°C for 1 h, 800°C for 1 h, and 1000°C for 1 h. The microstructure, the phase composition, and the microhardness of the deposited layer are studied. The structure of the initial deposited layer has relatively large grains (20-40 μm in size). The morphology is characterized by a cellular-dendritic structure in the transition zone. The following two structural constituents with a characteristic dendritic structure are revealed: a supersaturated nickel-based γ solid solution and a chromium-based bcc α solid solution. In the initial state and after heat treatment, the hardness of the deposited material (210-240 HV 0.1) is lower than the hardness of the base material (400-440 HV 0.1). Only after heat treatment at 600°C for 1 h, the hardness increases to 240-250 HV0.1. Structure heredity in the form of a dendritic morphology is observed at temperatures of 400, 600, and 800°C. The following sharp change in the structural state is detected upon heat treatment at 1000°C for 1 h: the dendritic morphology changes into a typical α + γ crystalline structure. The hardness of the base material decreases significantly to 160-180 HV 0.1. The low hardness of the deposited layer implies the use of the layer material in limited volume to repair the forming surfaces of dies and molds for die casting. However, the high ductility of the deposited layer of the nickel
Ridhi, R.; Singh, Sukhdeep; Saini, G. S. S.; Tripathi, S. K.
2018-04-01
The present study deals with comparing interaction mechanisms of copper phthalocyanine and nickel phthalocyanine with versatile chemical vapours: reducing, stable aromatic and oxidizing vapours namely; diethylamine, benzene and bromine. The variation in electrical current of phthalocyanines with exposure of chemical vapours is used as the detection parameter for studying interaction behaviour. Nickel phthalocyanine is found to exhibit anomalous behaviour after exposure of reducing vapour diethylamine due to alteration in its spectroscopic transitions and magnetic states. The observed sensitivities of copper phthalocyanine and nickel phthalcyanine films are different in spite of their similar bond numbers, indicating significant role of central metal atom in interaction mechanism. The variations in electronic transition levels after vapours exposure, studied using UV-Visible spectroscopy confirmed our electrical sensing results. Bromine exposure leads to significant changes in vibrational bands of metal phthalocyanines as compared to other vapours.
Fundamental Mechanisms of Roughening and Smoothing During Thin Film Deposition
Energy Technology Data Exchange (ETDEWEB)
Headrick, Randall [Univ. of Vermont, Burlington, VT (United States)
2016-03-18
In this research program, we have explored the fundamental limits for thin film deposition in both crystalline and amorphous (i.e. non-crystalline) materials systems. For vacuum-based physical deposition processes such as sputter deposition, the background gas pressure of the inert gas (usually argon) used as the process gas has been found to be a key variable. Both a roughness transition and stress transition as a function of pressure have been linked to a common mechanism involving collisions of energetic particles from the deposition source with the process inert gas. As energetic particles collide with gas molecules in the deposition process they lose their energy rapidly if the pressure (and background gas density) is above a critical value. Both roughness and stress limit important properties of thin films for applications. In the area of epitaxial growth we have also discovered a related effect; there is a critical pressure below which highly crystalline layers grow in a layer-by-layer mode. This effect is also though to be due to energetic particle thermalization and scattering. Several other important effects such as the observation of coalescence dominated growth has been observed. This mode can be likened to the behavior of two-dimensional water droplets on the hood of a car during a rain storm; as the droplets grow and touch each other they tend to coalesce rapidly into new larger circular puddles, and this process proceeds exponentially as larger puddles overtake smaller ones and also merge with other large puddles. This discovery will enable more accurate simulations and modeling of epitaxial growth processes. We have also observed that epitaxial films undergo a roughening transition as a function of thickness, which is attributed to strain induced by the crystalline lattice mismatch with the substrate crystal. In addition, we have studied another physical deposition process called pulsed laser deposition. It differs from sputter deposition due to the
Cobalt Xanthate Thin Film with Chemical Bath Deposition
Directory of Open Access Journals (Sweden)
İ. A. Kariper
2013-01-01
Full Text Available Cobalt xanthate thin films (CXTFs were successfully deposited by chemical bath deposition, onto amorphous glass substrates, as well as on p- and n-silicon, indium tin oxide, and poly(methyl methacrylate. The structure of the films was analyzed by far-infrared spectrum (FIR, mid-infrared (MIR spectrum, nuclear magnetic resonance (NMR, and scanning electron microscopy (SEM. These films were investigated from their structural, optical, and electrical properties point of view. Electrical properties were measured using four-point method, whereas optical properties were investigated via UV-VIS spectroscopic technique. Uniform distribution of grains was clearly observed from the photographs taken by scanning electron microscope (SEM. The transmittance was about 70–80% (4 hours, 50°C. The optical band gap of the CXTF was graphically estimated to be 3.99–4.02 eV. The resistivity of the films was calculated as 22.47–75.91 Ω·cm on commercial glass depending on film thickness and 44.90–73.10 Ω ·cm on the other substrates. It has been observed that the relative resistivity changed with film thickness. The MIR and FIR spectra of the films were in agreement with the literature analogues. The expected peaks of cobalt xanthate were observed in NMR analysis on glass. The films were dipped in chloroform as organic solvent and were analyzed by NMR.
Thermal conductivity of nitride films of Ti, Cr, and W deposited by reactive magnetron sputtering
International Nuclear Information System (INIS)
Jagannadham, Kasichainula
2015-01-01
Nitride films of Ti, Cr, and W were deposited using reactive magnetron sputtering from metal targets in argon and nitrogen plasma. TiN films with (200) orientation were achieved on silicon (100) at the substrate temperature of 500 and 600 °C. The films were polycrystalline at lower temperature. An amorphous interface layer was observed between the TiN film and Si wafer deposited at 600 °C. TiN film deposited at 600 °C showed the nitrogen to Ti ratio to be near unity, but films deposited at lower temperature were nitrogen deficient. CrN film with (200) orientation and good stoichiometry was achieved at 600 °C on Si(111) wafer but the film deposited at 500 °C showed cubic CrN and hexagonal Cr 2 N phases with smaller grain size and amorphous back ground in the x-ray diffraction pattern. An amorphous interface layer was not observed in the cubic CrN film on Si(111) deposited at 600 °C. Nitride film of tungsten deposited at 600 °C on Si(100) wafer was nitrogen deficient, contained both cubic W 2 N and hexagonal WN phases with smaller grain size. Nitride films of tungsten deposited at 500 °C were nonstoichiometric and contained cubic W 2 N and unreacted W phases. There was no amorphous phase formed along the interface for the tungsten nitride film deposited at 600 °C on the Si wafer. Thermal conductivity and interface thermal conductance of all the nitride films of Ti, Cr, and W were determined by transient thermoreflectance technique. The thermal conductivity of the films as function of deposition temperature, microstructure, nitrogen stoichiometry and amorphous interaction layer at the interface was determined. Tungsten nitride film containing both cubic and hexagonal phases was found to exhibit much higher thermal conductivity and interface thermal conductance. The amorphous interface layer was found to reduce effective thermal conductivity of TiN and CrN films
Directory of Open Access Journals (Sweden)
Loreta TAMAŠAUSKAITĖ-TAMAŠIŪNAITĖ
2011-03-01
Full Text Available The influence of anion nature on the reduction of bismuth sulfide film deposited on gold using the successive ionic layer adsorption and reaction method in solutions containing Ni2+ ions has been investigated by electrochemical quartz crystal microbalance combined with cyclic voltammetry and X-ray photoelectron spectroscopy. It has been determined that the reduction of bismuth sulfide film in the nickel plating solution depends on the anion nature: larger cathodic current and mass changes (Dƒ are observed in the solution containing acetate anion as compared to those in the solution containing sulfate anion. As the reduction of bismuth sulfide film in the background solutions depends on the nature of anion, it influences the cathodic reduction of Ni2+ ions prior to OPD of Ni. A greater current and mass change (Dƒ is conditioned by simultaneously occurring reduction of bismuth sulfide film when the film is reduced in the acetate nickel plating electrolyte in contrast to that in the sulfate one.http://dx.doi.org/10.5755/j01.ms.17.1.244
Antimony sulfide thin films prepared by laser assisted chemical bath deposition
International Nuclear Information System (INIS)
Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.
2017-01-01
Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.
Antimony sulfide thin films prepared by laser assisted chemical bath deposition
Energy Technology Data Exchange (ETDEWEB)
Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others
2017-01-30
Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.
Fabrication and magnetization measurement of Ni thin films on silicon substrate by electrodeposition
Energy Technology Data Exchange (ETDEWEB)
Tang Yang [Key Laboratory of Excited State Process, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 16 East Nan-Hu Road, Open Economic Zone, Changchun 130033 (China); Graduate School of the Chinese Academy of Sciences (China); Zhao Dongxu [Key Laboratory of Excited State Process, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 16 East Nan-Hu Road, Open Economic Zone, Changchun 130033 (China)], E-mail: dxzhao2000@yahoo.com.cn; Shen Dezhen; Zhang Jiying; Li Binghui; Lu Youming; Fan Xiwu [Key Laboratory of Excited State Process, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 16 East Nan-Hu Road, Open Economic Zone, Changchun 130033 (China)
2008-02-29
Ni thin films were electrodeposited on n-Si (100) substrate from the electrolytes containing Ni(CH{sub 3}COO){sub 2} and CH{sub 3}COONH{sub 4} at room temperature. The scanning electron microscope images of the films reveals the uniform distribution of the nickel all over the substrate surface, which illustrates that the fine Ni films on large scales could be obtained through the method of electrodeposition. Vibrating sample magnetometer measurement with the applied field parallel to the surface shows obvious hysteresis loops of the magnetic thin films. The morphology and magnetism of the Ni thin films evolves with the deposition time increasing. The effect of deposition conditions on the properties of the Ni thin films is investigated.
Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong
2017-07-01
Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.
Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition
Directory of Open Access Journals (Sweden)
Seong Shan Yap
2015-01-01
Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.
Chemical vapor deposition polymerization the growth and properties of parylene thin films
Fortin, Jeffrey B
2004-01-01
Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.
International Nuclear Information System (INIS)
Rebollo P, B.
2001-01-01
The objective of this work is the synthesis and characterization of thin films of amorphous carbon (a-C) and thin films of nitrided amorphous carbon (a-C-N) using the laser ablation technique for their deposit. For this purpose, the physical properties of the obtained films were studied as function of diverse parameters of deposit such as: nitrogen pressure, power density, substrate temperature and substrate-target distance. For the characterization of the properties of the deposited thin films the following techniques were used: a) Raman spectroscopy which has demonstrated being a sensitive technique to the sp 2 and sp 3 bonds content, b) Energy Dispersive Spectroscopy which allows to know semi-quantitatively way the presence of the elements which make up the deposited films, c) Spectrophotometry, for obtaining the absorption spectra and subsequently the optical energy gap of the deposited material, d) Ellipsometry for determining the refraction index, e) Scanning Electron Microscopy for studying the surface morphology of thin films and, f) Profilemetry, which allows the determination the thickness of the deposited thin films. (Author)
Microstructure and morphology of SiOx film deposited by APCVD
International Nuclear Information System (INIS)
Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua
2009-01-01
A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper
International Nuclear Information System (INIS)
Shams, Q.A.
1988-01-01
Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing
Pulsed laser deposition of AlMgB14 thin films
Energy Technology Data Exchange (ETDEWEB)
Britson, Jason Curtis [Iowa State Univ., Ames, IA (United States)
2008-11-18
Hard, wear-resistant coatings of thin film borides based on AlMgB14 have the potential to be applied industrially to improve the tool life of cutting tools and pump vanes and may account for several million dollars in savings as a result of reduced wear on these parts. Past work with this material has shown that it can have a hardness of up to 45GPa and be fabricated into thin films with a similar hardness using pulsed laser deposition. These films have already been shown to be promising for industrial applications. Cutting tools coated with AlMgB14 used to mill titanium alloys have been shown to substantially reduce the wear on the cutting tool and extend its cutting life. However, little research into the thin film fabrication process using pulsed laser deposition to make AlMgB14 has been conducted. In this work, research was conducted into methods to optimize the deposition parameters for the AlMgB14 films. Processing methods to eliminate large particles on the surface of the AlMgB14 films, produce films that were at least 1m thick, reduce the surface roughness of the films, and improve the adhesion of the thin films were investigated. Use of a femtosecond laser source rather than a nanosecond laser source was found to be effective in eliminating large particles considered detrimental to wear reduction properties from the films. Films produced with the femtosecond laser were also found to be deposited at a rate 100 times faster than those produced with the nanosecond laser. However, films produced with the femtosecond laser developed a relatively high RMS surface roughness around 55nm. Attempts to decrease the surface roughness were largely unsuccessful. Neither increasing the surface temperature of the substrate during deposition nor using a double pulse to ablate the material was found to be extremely successful to reduce the surface roughness. Finally, the adhesion of the thin films to M2 tool steel
High-temperature ductility of electro-deposited nickel
Dini, J. W.; Johnson, H. R.
1977-01-01
Work done during the past several months on high temperature ductility of electrodeposited nickel is summarized. Data are presented which show that earlier measurements made at NASA-Langley erred on the low side, that strain rate has a marked influence on high temperature ductility, and that codeposition of a small amount of manganese helps to improve high temperature ductility. Influences of a number of other factors on nickel properties were also investigated. They included plating solution temperature, current density, agitation, and elimination of the wetting agent from the plating solution. Repair of a large nozzle section by nickel plating is described.
International Nuclear Information System (INIS)
He, Y.Y.; Zhang, G.F.; Zhao, Y.; Liu, D.D.; Cong, Y.; Buck, V.
2015-01-01
Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect
Energy Technology Data Exchange (ETDEWEB)
He, Y.Y. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Zhang, G.F. [School of Materials Science and Engineering, Dalian University of Technology, 116024, Dalian China (China); Zhao, Y.; Liu, D.D. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Cong, Y., E-mail: congyan@ciomp.ac.cn [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Buck, V. [Thin Film Technology Group, Faculty of Physics, University Duisburg-Essen and CeNIDE, 47057 Duisburg (Germany)
2015-09-01
Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect.
Underpotential deposition-mediated layer-by-layer growth of thin films
Wang, Jia Xu; Adzic, Radoslav R.
2015-05-19
A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.
Pulsed laser deposition of Cu-Sn-S for thin film solar cells
DEFF Research Database (Denmark)
Ettlinger, Rebecca Bolt; Crovetto, Andrea; Bosco, Edoardo
Thin films of copper tin sulfide were deposited from a target of the stoichiometry Cu:Sn:S ~1:2:3 using pulsed laser deposition (PLD). Annealing with S powder resulted in films close to the desired Cu2SnS3 stoichiometry although the films remained Sn rich. Xray diffraction showed that the final...... films contained both cubic-phase Cu2SnS3 and orthorhombic-phase SnS...
MgB2 thin films by hybrid physical-chemical vapor deposition
International Nuclear Information System (INIS)
Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.
2007-01-01
Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions
Capacitance measurements and AC conductivity of Nickel Phthalocyanine films
International Nuclear Information System (INIS)
Darwish, S.
2005-01-01
A C dark Current measurements of nickel phthalocyanine thin films using ohmic gold electrodes are investigated in the frequency range 30-10 Hz and within the temperature range 295-385 K. The A C conductivity as D Ac is found to vary as within the index s < 1, indicating a dominant hopping process at low temperatures. From the temperature dependence of A C conductivity, free carrier conduction with mean activation energy of 0.31 eV is observed at higher temperatures. Capacitance and loss tangent are found to be decreased with increasing frequency and increase with increasing temperature. Such characteristics are found to be in good qualitative agreement with existing equivalent circuit model assuming ohmic contacts
Characterization of transparent silica films deposited on polymeric materials
International Nuclear Information System (INIS)
Teshima, K.; Sugimura, H.; Inoue, Y.; Takai, O.
2002-01-01
Silica films were synthesized by capacitively coupled RF PECVD using mixtures of organo-silane and oxygen as a source. The chemical bonding states and compositions of the films deposited were evaluated with FTIR and XPS. Film surfaces and cross-sections were observed by SEM. Oxygen transmission rates (OTR) of the films coated on polyethylene terephthalate (PET) substrates were measured by an isopiestic method. (Authors)
Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A
2018-03-01
Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.
Direct current magnetron sputtering deposition of InN thin films
International Nuclear Information System (INIS)
Cai Xingmin; Hao Yanqing; Zhang Dongping; Fan Ping
2009-01-01
In this paper, InN thin films were deposited on Si (1 0 0) and K9 glass by reactive direct current magnetron sputtering. The target was In metal with the purity of 99.999% and the gases were Ar (99.999%) and N 2 (99.999%). The properties of InN thin films were studied. Scanning electron microscopy (SEM) shows that the film surface is very rough and energy dispersive X-ray spectroscopy (EDX) shows that the film contains In, N and very little O. X-ray diffraction (XRD) and Raman scattering reveal that the film mainly contains hexagonal InN. The four-probe measurement shows that InN film is conductive. The transmission measurement demonstrates that the transmission of InN deposited on K9 glass is as low as 0.5% from 400 nm to 800 nm.
Physical vapor deposition of cubic boron nitride thin films
International Nuclear Information System (INIS)
Kester, D.J.
1991-01-01
Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited
Optoelectronic properties of cadmium sulfide thin films deposited by thermal evaporation technique
International Nuclear Information System (INIS)
Ali, N.; Iqbal, M.A.; Hussain, S.T.; Waris, M.; Munair, S.A.
2011-01-01
The substrate temperature in depositions of thin films plays a vital role in the characteristics of deposited films. We studied few characteristics of cadmium sulphide thin film deposited at different temperature (150 deg. C- 300 deg. C) on corning 7059 glass substrate. We measured transmittance, absorbance, band gap and reflectance via UV spectroscopy. It was found that the transmittance for 300 nm to 1100 nm was greater than 80%. The resistivity and mobility was calculated by Vander Pauw method which were 10-80 cm and 2-60 cm/sup 2/V/sup -1/S/sup -1/ respectively. The thermoelectric properties of the film were measured by hot and cold probe method which shows the N-type nature of the film. (author)
Photoemission Spectroscopy Characterization of Attempts to Deposit MoO2 Thin Film
Directory of Open Access Journals (Sweden)
Irfan
2011-01-01
Full Text Available Attempts to deposit molybdenum dioxide (MoO2 thin films have been described. Electronic structure of films, deposited by thermal evaporation of MoO2 powder, had been investigated with ultraviolet photoemission and X-ray photoemission spectroscopy (UPS and XPS. The thermally evaporated films were found to be similar to the thermally evaporated MoO3 films at the early deposition stage. XPS analysis of MoO2 powder reveals presence of +5 and +6 oxidation states in Mo 3d core level along with +4 state. The residue of MoO2 powder indicates substantial reduction in higher oxidation states while keeping +4 oxidation state almost intact. Interface formation between chloroaluminum phthalocyanine (AlPc-Cl and the thermally evaporated film was also investigated.
High quality antireflective ZnS thin films prepared by chemical bath deposition
International Nuclear Information System (INIS)
Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.
2012-01-01
Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.
Ion-assisted deposition of thin films
International Nuclear Information System (INIS)
Barnett, S.A.; Choi, C.H.; Kaspi, R.; Millunchick, J.M.
1993-01-01
Recent work on low-energy ion-assisted deposition of epitaxial films is reviewed. Much of the recent interest in this area has been centered on the use of very low ion energies (∼ 25 eV) and high fluxes (> 1 ion per deposited atom) obtained using novel ion-assisted deposition techniques. These methods have been applied in ultra-high vacuum, allowing the preparation of high-purity device-quality semiconductor materials. The following ion-surface interaction effects during epitaxy are discussed: improvements in crystalline perfection during low temperature epitaxy, ion damage, improved homogeneity and properties in III-V alloys grown within miscibility gaps, and changes in nucleation mechanism during heteroepitaxial growth
Energy Technology Data Exchange (ETDEWEB)
Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com
2008-05-01
The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.
Highly efficient electrochemical hydrogen evolution based on nickel diselenide nanowall film
Tang, Chun; Xie, Lisi; Sun, Xuping; Asiri, Abdullah M.; He, Yuquan
2016-05-01
In this letter, we report on hydrothermal growth of nickel diselenide nanowall film on carbon cloth (NiSe2 NW/CC) through topotactic transformation from a Ni(OH)2 precursor based on anion exchange reactions. When tested as an integrated 3D hydrogen-evolving cathode in strongly acidic media, NiSe2 NW/CC exhibits outstanding catalytic activity superior to its powder counterpart and strong long-term durability. It displays 10 and 100 mA cm-2 at overpotentials of 145 and 183 mV, respectively, with its catalytic activity being retained for 40 h.
An in-situ chemical reaction deposition of nanosized wurtzite CdS thin films
International Nuclear Information System (INIS)
Chu Juan; Jin Zhengguo; Cai Shu; Yang Jingxia; Hong Zhanglian
2012-01-01
Nanocrystalline CdS thin films were deposited on glass substrates by an ammonia-free in-situ chemical reaction synthesis technique using cadmium cationic precursor solid films as reaction source and sodium sulfide based solutions as anionic reaction medium. Effects of ethanolamine addition to the cadmium cationic precursor solid films, deposition cycle numbers and annealing treatments in Ar atmosphere on structure, morphology, chemical composition and optical properties of the resultant films were investigated by X-ray diffraction, field emission scanning electron microscope, energy dispersive X-ray analysis and UV–Vis spectra measurements. The results show that CdS thin films deposited by the in-situ chemical reaction synthesis have wurtzite structure with (002) plane preferential orientation and crystallite size is in the range of 16 nm–19 nm. The growth of film thickness is almost constant with deposition cycle numbers and about 96 nm per cycle.
Energy Technology Data Exchange (ETDEWEB)
Jun, Byung Hyuk; Kim, Chan Joong
2006-05-15
Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.
Grave, Daniel A.
Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while
Energy Technology Data Exchange (ETDEWEB)
Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu
2011-11-01
SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.
International Nuclear Information System (INIS)
Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu
2011-01-01
SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.
Elastic properties of amorphous thin films studied by Rayleigh waves
International Nuclear Information System (INIS)
Schwarz, R.B.; Rubin, J.B.
1993-01-01
Physical vapor deposition in ultra-high vacuum was used to co-deposit nickel and zirconium onto quartz single crystals and grow amorphous Ni 1-x Zr x (0.1 < x < 0.87) thin film. A high-resolution surface acoustic wave technique was developed for in situ measurement of film shear moduli. The modulus has narrow maxima at x = 0. 17, 0.22, 0.43, 0.5, 0.63, and 0.72, reflecting short-range ordering and formation of aggregates in amorphous phase. It is proposed that the aggregates correspond to polytetrahedral atom arrangements limited in size by geometrical frustration
Directory of Open Access Journals (Sweden)
Jeerapan Tientong
2013-01-01
Full Text Available Nickel-layered silicates were electrochemically deposited from acidic bath solutions. Citrate was used as a ligand to stabilize nickel (II ions in the plating solution. The silicate, montmorillonite, was exfoliated by stirring in aqueous solution over 24 hours. The plating solutions were analyzed for zeta-potential, particle size, viscosity, and conductivity to investigate the effects of the composition at various pHs. The solution particles at pH 2.5 (−22.2 mV and pH 3.0 (−21.9 mV were more stable than at pH 1.6 (−10.1 mV as shown by zeta-potential analysis of the nickel-citrate-montmorillonite plating solution. Ecorr for the films ranged from −0.32 to −0.39 V with varying pH from 1.6 to 3.0. The films were immersed in 3.5% NaCl and the open circuit potential monitored for one month. The coatings deposited at pH 3.0 were stable 13 days longer in the salt solution than the other coatings. X-ray diffraction showed a change in the (111/(200 ratio for the coatings at the various pHs. The scanning electron microscopy and hardness results also support that the electrodeposition of nickel-montmorillonite at pH 3.0 (234 GPa had improved hardness and morphology compared to pH 2.5 (174 GPa and pH 1.6 (147 GPa.
Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD
Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De
2011-01-01
The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film
Sputter-deposited Mg-Al-O thin films: linking molecular dynamics simulations to experiments
International Nuclear Information System (INIS)
Georgieva, V; Bogaerts, A; Saraiva, M; Depla, D; Jehanathan, N; Lebelev, O I
2009-01-01
Using a molecular dynamics model the crystallinity of Mg x Al y O z thin films with a variation in the stoichiometry of the thin film is studied at operating conditions similar to the experimental operating conditions of a dual magnetron sputter deposition system. The films are deposited on a crystalline or amorphous substrate. The Mg metal content in the film ranged from 100% (i.e. MgO film) to 0% (i.e. Al 2 O 3 film). The radial distribution function and density of the films are calculated. The results are compared with x-ray diffraction and transmission electron microscopy analyses of experimentally deposited thin films by the dual magnetron reactive sputtering process. Both simulation and experimental results show that the structure of the Mg-Al-O film varies from crystalline to amorphous when the Mg concentration decreases. It seems that the crystalline Mg-Al-O films have a MgO structure with Al atoms in between.
Defect studies of ZnO films prepared by pulsed laser deposition on various substrates
International Nuclear Information System (INIS)
Melikhova, O; Čížek, J; Procházka, I; Kužel, R; Novotný, M; Bulír, J; Lancok, J; Anwand, W; Brauer, G; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P
2013-01-01
ZnO thin films deposited on various substrates were characterized by slow positron implantation spectroscopy (SPIS) combined with X-ray diffraction (XRD). All films studied exhibit wurtzite structure and crystallite size 20–100 nm. The mosaic spread of crystallites is relatively small for the films grown on single crystalline substrates while it is substantial for the film grown on amorphous substrate. SPIS investigations revealed that ZnO films deposited on single crystalline substrates exhibit significantly higher density of defects than the film deposited on amorphous substrate. This is most probably due to a higher density of misfit dislocations, which compensate for the lattice mismatch between the film and the substrate.
Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition
Energy Technology Data Exchange (ETDEWEB)
Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)
2014-04-01
The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.
Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.
2016-11-01
A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.
International Nuclear Information System (INIS)
Wu, Haoran; Susanto, Amelia; Lian, Keryn
2017-01-01
Highlights: • A PET metallized by electroless nickel was developed as flexible current collector. • The Ni-PET current collector showed good conductivity and chemical stability. • The flexible nanocarbon electrodes with Ni-PET exhibited capacitive behavior. • The Ni-PET enabled electrodes performed nicely in liquid and solid supercapacitors. - Abstract: A PET film metalized by electroless nickel deposition was demonstrated as thin and flexible current collector for energy storage devices. The resultant nickel-on-PET film (Ni-PET) can be used both as current collector for electrochemical capacitors and as electrode for thin film batteries. The composition of Ni-PET was characterized by EDX and XPS. The electrochemical performance of the Ni-PET current collector was similar to Ni foil but with less hydrogen evolution at low potential. The Ni-PET film exhibited better flexibility than a metallic Ni foil. Carbon nanotubes were coated on a Ni-PET substrate to form an electrochemical capacitor electrode which exhibited high chemical stability in both liquid and solid electrolytes, showing strong promise for solid energy storage devices.
Energy Technology Data Exchange (ETDEWEB)
Wu, Haoran, E-mail: haoran.wu@mail.utoronto.ca; Susanto, Amelia; Lian, Keryn
2017-02-01
Highlights: • A PET metallized by electroless nickel was developed as flexible current collector. • The Ni-PET current collector showed good conductivity and chemical stability. • The flexible nanocarbon electrodes with Ni-PET exhibited capacitive behavior. • The Ni-PET enabled electrodes performed nicely in liquid and solid supercapacitors. - Abstract: A PET film metalized by electroless nickel deposition was demonstrated as thin and flexible current collector for energy storage devices. The resultant nickel-on-PET film (Ni-PET) can be used both as current collector for electrochemical capacitors and as electrode for thin film batteries. The composition of Ni-PET was characterized by EDX and XPS. The electrochemical performance of the Ni-PET current collector was similar to Ni foil but with less hydrogen evolution at low potential. The Ni-PET film exhibited better flexibility than a metallic Ni foil. Carbon nanotubes were coated on a Ni-PET substrate to form an electrochemical capacitor electrode which exhibited high chemical stability in both liquid and solid electrolytes, showing strong promise for solid energy storage devices.
Chi, Chih-Wen; Deng, Yu-Lun; Lee, Jyh-Wei; Lin, Chun-Pin
2017-05-01
Dental nickel-titanium (NiTi) rotary instruments are widely used in endodontic therapy because they are efficient with a higher success rate. However, an unpredictable fracture of instruments may happen due to the surface characteristics of imperfection (or irregularity). This study assessed whether a novel surface treatment could increase fatigue fracture resistance of dental NiTi rotary instruments. A 200- or 500-nm thick Ti-zirconium-boron (Ti-Zr-B) thin film metallic glass was deposited on ProTaper Universal F2 files using a physical vapor deposition process. The characteristics of coating were analyzed by scanning electron microscopy, transmission electron microscopy, and X-ray diffractometry. In cyclic fatigue tests, the files were performed in a simulated root canal (radius=5 mm, angulation=60°) under a rotating speed of 300rpm. The fatigue fractured cross sections of the files were analyzed with their fractographic performances through scanning electron microscopy images. The amorphous structure of the Ti-Zr-B coating was confirmed by transmission electron microscopy and X-ray diffractometry. The surface of treated files presented smooth morphologies without grinding irregularity. For the 200- and 500-nm surface treatment groups, the coated files exhibited higher resistance of cyclic fatigue than untreated files. In fractographic analysis, treated files showed significantly larger crack-initiation zone; however, no significant differences in the areas of fatigue propagation and catastrophic fracture were found compared to untreated files. The novel surface treatment of Ti-Zr-B thin film metallic glass on dental NiTi rotary files can effectively improve the fatigue fracture resistance by offering a smooth coated surface with amorphous microstructure. Copyright © 2016. Published by Elsevier B.V.
Deposition of Ge{sub 23}Sb{sub 7}S{sub 70} chalcogenide glass films by electrospray
Energy Technology Data Exchange (ETDEWEB)
Novak, Spencer, E-mail: spencen@g.clemson.edu [Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, SC (United States); College of Optics and Photonics, CREOL, University of Central FL (United States); Johnston, Danvers E.; Li, Cheng; Deng, Weiwei [Department of Mechanical and Aerospace Engineering, University of Central FL (United States); Richardson, Kathleen [Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, SC (United States); College of Optics and Photonics, CREOL, University of Central FL (United States)
2015-08-03
Solution-based chalcogenide glass films, traditionally deposited by spin-coating, are attractive for their potential use in chip-based devices operating in the mid-infrared and for ease of nanostructure incorporation. To overcome limitations of spin-coating such as excessive material waste and difficulty for scale-up, this paper introduces electrospray as a film deposition technique for solution-based chalcogenide glasses. Electrospray is shown to produce Ge{sub 23}Sb{sub 7}S{sub 70} films with similar surface quality and optical properties as films deposited by spin-coating. The advantages of electrospray deposition for nanoparticle dispersion, scalable and continuous manufacturing with little material waste, and comparable film quality to spin-coating make electrospray a promising deposition method for practical applications of chalcogenide glass films. - Highlights: • Electrospray film deposition processing of Ge{sub 23}Sb{sub 7}S{sub 70} films was developed. • Traditional spin-coated films were also fabricated in parallel. • Optical properties and surface quality found to be similar between two approaches.
Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application
International Nuclear Information System (INIS)
Lorusso, A.; Anni, M.; Caricato, A.P.; Gontad, F.; Perulli, A.; Taurino, A.; Perrone, A.; Chiadroni, E.
2016-01-01
In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.
Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application
Energy Technology Data Exchange (ETDEWEB)
Lorusso, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Anni, M. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Caricato, A.P. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Gontad, F., E-mail: francisco.gontad@le.infn.it [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Perulli, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Taurino, A. [National Research Council, Institute for Microelectronics & Microsystems, 73100 Lecce (Italy); Perrone, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Chiadroni, E. [Laboratori Nazionali di Frascati, Istituto Nazionale di Fisica Nucleare, 00044 Frascati (Italy)
2016-03-31
In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.
Energy Technology Data Exchange (ETDEWEB)
Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)
2013-07-31
Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.
Physical properties of very thin SnS films deposited by thermal evaporation
International Nuclear Information System (INIS)
Cheng Shuying; Conibeer, Gavin
2011-01-01
SnS films with thicknesses of 20–65 nm have been deposited on glass substrates by thermal evaporation. The physical properties of the films were investigated using X-ray diffraction (XRD), scanning electron microscopy, X-ray photoelectron spectroscopy (XPS), Raman spectroscopy, and ultraviolet–visible-near infrared spectroscopy at room temperature. The results from XRD, XPS and Raman spectroscopy analyses indicate that the deposited films mainly exhibit SnS phase, but they may contain a tiny amount of Sn 2 S 3 . The deposited SnS films are pinhole free, smooth and strongly adherent to the surfaces of the substrates. The color of the SnS films changes from pale yellow to brown with the increase of the film thickness from 20 nm to 65 nm. The very smooth surfaces of the thin films result in their high reflectance. The direct bandgap of the films is between 2.15 eV and 2.28 eV which is much larger than 1.3 eV of bulk SnS, this is deserving to be investigated further.
Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition
Energy Technology Data Exchange (ETDEWEB)
Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)
2015-10-15
Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.
Indium oxide-based transparent conductive films deposited by reactive sputtering using alloy targets
Miyazaki, Yusuke; Maruyama, Eri; Jia, Junjun; Machinaga, Hironobu; Shigesato, Yuzo
2017-04-01
High-quality transparent conductive oxide (TCO) films, Sn-doped In2O3 (ITO) and In2O3-ZnO (IZO), were successfully deposited on either synthetic silica or polyethylene terephthalate (PET) substrates in the “transition region” by reactive dc magnetron sputtering using In-Zn and In-Sn alloy targets, respectively, with a specially designed plasma emission feedback system. The composition, crystallinity, surface morphology, and electrical and optical properties of the films were analyzed. All of the IZO films were amorphous, whereas the ITO films were polycrystalline over a wide range of deposition conditions. The minimum resistivities of the IZO and ITO films deposited on the heated PET substrates at 150 °C were 3.3 × 10-4 and 5.4 × 10-4 Ω·cm, respectively. By applying rf bias to unheated PET substrates, ITO films with a resistivity of 4.4 × 10-4 Ω·cm were deposited at a dc self-bias voltage of -60 V.
Indium sulfide thin films as window layer in chemically deposited solar cells
Energy Technology Data Exchange (ETDEWEB)
Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)
2014-01-01
Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.
Vacuum deposition and pulsed modification of Ge thin films on Si. Structure and photoluminescence
International Nuclear Information System (INIS)
Batalov, R.I.; Bayazitov, R.M.; Novikov, G.A.; Shustov, V.A.; Bizyaev, D.A.; Gajduk, P.I.; Ivlev, G.D.; Prokop'ev, S.L.
2013-01-01
Vacuum deposition of Ge thin films onto Si substrates by magnetron sputtering was studied. During deposition sputtering time and substrate temperature were varied. Nanosecond pulsed annealing of deposited films by powerful laser or ion beams was performed. The dependence of the structure and optical properties of Ge/Si films on parameters of pulsed treatments was investigated. Optimum parameters of deposition and pulsed treatments resulting into light emitting monocrystalline Ge/Si layers are determined. (authors)
Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films
Energy Technology Data Exchange (ETDEWEB)
O' Keefe, M.J.; Stutz, C.E.
1997-07-01
Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was {approximately}1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in {ge}1 GPa tensile increase in film stress.
Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films
International Nuclear Information System (INIS)
O'Keefe, M.J.; Stutz, C.E.
1997-01-01
Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was approximately1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in ge1 GPa tensile increase in film stress
Deposition of titanium carbide films from mixed carbon and titanium plasma streams
International Nuclear Information System (INIS)
Delplancke-Ogletree, M.; Monteiro, O.R.
1997-01-01
Dual source metal plasma immersion ion implantation and deposition was used to deposit Ti x C y films over a wide range of Ti:C composition. This technique is well adapted for this purpose and allows one to tailor the microstructure and properties of the films. We investigated the variation of the composition, bonding states, and structure as functions of the deposition conditions. Excess carbon and contamination oxygen are incorporated in the TiC lattice interstitially and substitutionally, respectively. The wear mechanism of a stoichiometric TiC film was investigated and compared to that of a diamondlike carbon film. TiC fails by wear and microcrack propagation. copyright 1997 American Vacuum Society
Energy Technology Data Exchange (ETDEWEB)
Tokas, R. B., E-mail: tokasstar@gmail.com; Jena, Shuvendu; Thakur, S.; Sahoo, N. K. [Atomic & Molecular Physics Division, Bhabha Atomic Research Centre, Trombay, Mumbai-85 (India); Haque, S. Maidul; Rao, K. Divakar [Photonics & Nanotechnology Section, Atomic & Molecular Physics Division, Bhabha Atomic Research Centre facility, Visakhapatnam-530012 (India)
2016-05-23
In present work, HfO{sub 2} thin films have been deposited at various oblique incidences on Si substrates by electron beam evaporation. These refractory oxide films exhibited anisotropy in refractive index predictably due to special columnar microstructure. Spectroscopic ellipsometry being a powerful tool for optical characterization has been employed to investigate optical anisotropy. It was observed that the film deposited at glancing angle (80°) exhibits the highest optical anisotropy. Further, anisotropy was noticed to decrease with lower values of deposition angles while effective refractive index depicts opposite trend. Variation in refractive index and anisotropy has been explained in light of atomic shadowing during growth of thin films at oblique angles.
High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation
International Nuclear Information System (INIS)
Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro
2002-01-01
Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10 -4 and 2.3x10 -4 Ω·cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10 -4 Ω·cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates
High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation
Energy Technology Data Exchange (ETDEWEB)
Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro
2002-09-02
Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10{sup -4} and 2.3x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates.
In situ measurement of conductivity during nanocomposite film deposition
International Nuclear Information System (INIS)
Blattmann, Christoph O.; Pratsinis, Sotiris E.
2016-01-01
Highlights: • Flame-made nanosilver dynamics are elucidated in the gas-phase & on substrates. • The resistance of freshly depositing nanosilver layers is monitored. • Low T g polymers facilitate rapid synthesis of conductive films. • Conductive nanosilver films form on top of or within the polymer depending on MW. - Abstract: Flexible and electrically conductive nanocomposite films are essential for small, portable and even implantable electronic devices. Typically, such film synthesis and conductivity measurement are carried out sequentially. As a result, optimization of filler loading and size/morphology characteristics with respect to film conductivity is rather tedious and costly. Here, freshly-made Ag nanoparticles (nanosilver) are made by scalable flame aerosol technology and directly deposited onto polymeric (polystyrene and poly(methyl methacrylate)) films during which the resistance of the resulting nanocomposite is measured in situ. The formation and gas-phase growth of such flame-made nanosilver, just before incorporation onto the polymer film, is measured by thermophoretic sampling and microscopy. Monitoring the nanocomposite resistance in situ reveals the onset of conductive network formation by the deposited nanosilver growth and sinternecking. The in situ measurement is much faster and more accurate than conventional ex situ four-point resistance measurements since an electrically percolating network is detected upon its formation by the in situ technique. Nevertheless, general resistance trends with respect to filler loading and host polymer composition are consistent for both in situ and ex situ measurements. The time lag for the onset of a conductive network (i.e., percolation) depends linearly on the glass transition temperature (T g ) of the host polymer. This is attributed to the increased nanoparticle-polymer interaction with decreasing T g . Proper selection of the host polymer in combination with in situ resistance monitoring
Energy Technology Data Exchange (ETDEWEB)
Huang, Ying; Sarkar, D.K., E-mail: dsarkar@uqac.ca; Chen, X-Grant
2015-02-01
Graphical abstract: - Highlights: • Fabrication of superhydrophobic ZnO thin films surfaces by electrophoretic deposition process on aluminum substrates. • Effect of bath temperature on the physical and superhydrophobic properties of thin films. • The water contact angle of 155° ± 3 with roll off property has been observed on the film that was grown at bath temperatures of 50 °C. • The activation energy for electrophoretic deposition of SA-functionalized ZnO nanoparticle is calculated to be 0.50 eV. - Abstract: Superhydrophobic thin films have been fabricated on aluminum alloy substrates by electrophoretic deposition (EPD) process using stearic acid (SA) functionalized zinc oxide (ZnO) nanoparticles suspension in alcohols at varying bath temperatures. The deposited thin films have been characterized using both X-ray diffraction (XRD) and infrared (IR) spectroscopy and it is found that the films contain low surface energy zinc stearate and ZnO nanoparticles. It is also observed that the atomic percentage of Zn and O, roughness and water contact angle of the thin films increase with the increase of the deposited bath temperature. Furthermore, the thin film deposited at 50 °C, having a roughness of 4.54 ± 0.23 μm, shows superhydrophobic properties providing a water contact angle of 155 ± 3° with rolling off properties. Also, the activation energy of electrophoretic deposition of stearic-acid-functionalized ZnO nanoparticles is calculated to be 0.5 eV.
Pulsed-laser-deposited YBCO thin films using modified MTG processed targets
Kim, C H; Kim, I T; Hahn, T S
1999-01-01
YBCO thin films were deposited by pulsed laser deposition from targets fabricated using the modified melt-textured growth (MTG) method and the solid-state sintering (SSS) method. All of the films showed c-axis orientations, but the films from the MTG targets had better crystallinity than those from the SSS targets. As the substrate temperature was increased, T sub c and J sub c of the films increased. The films from the MTG targets showed better superconducting properties than those from the SSS targets. From the composition analysis of the targets, the Y-richer vapor species arriving at the substrate from the MTG targets are thought to form a thermodynamically more stable YBCO phase with less cation disorder.
Solution-processed copper-nickel nanowire anodes for organic solar cells
Stewart, Ian E.; Rathmell, Aaron R.; Yan, Liang; Ye, Shengrong; Flowers, Patrick F.; You, Wei; Wiley, Benjamin J.
2014-05-01
This work describes a process to make anodes for organic solar cells from copper-nickel nanowires with solution-phase processing. Copper nanowire films were coated from solution onto glass and made conductive by dipping them in acetic acid. Acetic acid removes the passivating oxide from the surface of copper nanowires, thereby reducing the contact resistance between nanowires to nearly the same extent as hydrogen annealing. Films of copper nanowires were made as oxidation resistant as silver nanowires under dry and humid conditions by dipping them in an electroless nickel plating solution. Organic solar cells utilizing these completely solution-processed copper-nickel nanowire films exhibited efficiencies of 4.9%.This work describes a process to make anodes for organic solar cells from copper-nickel nanowires with solution-phase processing. Copper nanowire films were coated from solution onto glass and made conductive by dipping them in acetic acid. Acetic acid removes the passivating oxide from the surface of copper nanowires, thereby reducing the contact resistance between nanowires to nearly the same extent as hydrogen annealing. Films of copper nanowires were made as oxidation resistant as silver nanowires under dry and humid conditions by dipping them in an electroless nickel plating solution. Organic solar cells utilizing these completely solution-processed copper-nickel nanowire films exhibited efficiencies of 4.9%. Electronic supplementary information (ESI) available. See DOI: 10.1039/c4nr01024h
Energy Technology Data Exchange (ETDEWEB)
Tokas, R.B., E-mail: tokasstar@gmail.com; Jena, S.; Thakur, S.; Sahoo, N.K.
2016-06-30
Oblique angle deposited oxide thin films, in which refractive index profiles can be tailored across depth by tuning their microstructure using varying angle of deposition, have opened up new dimensions in fabrication of optical interference devices. Since surface morphology plays an important role for the qualification of these thin film devices for optical or other applications, it is important to investigate morphological properties of obliquely deposited thin films. In the present work, a set of HfO{sub 2} thin films have been deposited at several oblique angles. Morphological parameters of such thin films viz., correlation length, intrinsic roughness, fractal spectral strength, etc., have been determined through suitable modelling of extended power spectral density measured by atomic force microscopy. It has been found that intrinsic roughness and fractal spectral strength show an interesting behaviour with deposition angle and the same has been discussed in the light of atomic shadowing and re-emission and diffusion of ad-atoms. Further refractive index and thickness of such thin films have been estimated from optical transmission spectra through suitable modelling. Refractive index of such thin film varies from 1.93 to 1.37 as the deposition angle varies from normal to glancing angle (80°). Further, refractive index and grain size depict an opposite trend with deposition angle. This variation has been explained in terms of varying film porosity and column slanting with angle of deposition. - Highlights: • HfO{sub 2} thin films deposited at several oblique angles • Film deposited at 80° exhibits the highest grain size and intrinsic roughness (σ). • Fractal strength and σ depict an interesting trend with angle of deposition. • Refractive index and grain size depict an opposite trend with angle of deposition.
Effect of annealing on electrical properties of plasmatron deposited ZnO films
International Nuclear Information System (INIS)
Joa, Sang Beom; Penkov, Oteksiy V.; Plaksin, Vadim Yu; Mansur, Rakib; Kim, Ji Hun; Lee, Heon Ju
2009-01-01
Transparent conductive zinc oxide (ZnO) has been extensively studied in recent several years because they have very interesting properties. Besides this, zinc oxide is non-poisonous, abundant and cheap material. ZnO films are employed in different applications like transparent conductive layers in solar cells, protective coatings and so on. Wide industrial application of the ZnO films requires of development of cheap, effective and scalable technology. Typically used technology like RF sputtering, pyrolysis and metal-organic CVD don't completely satisfy the industrial requirements. In our previous publications the new perspective ZnO deposition technology based DC Arc Plasmatron was described. This technology has several advantages (low cost, high deposition rate, low substrate temperature). Currently, films deposited using this technology has can be used only as protective or insulation coatings because of very high resistance. Applying of plasmatron technology in the microelectronics or solar cell production requires the improvement of electrical properties of the films. This can be achieved by optimization of deposition parameters, using of doping, or by post-deposition treatment such as annealing, or by combination of mentioned. It was shown that proposed technology can be used for the deposition of pure ZnO film with good electrical and optical properties. Proposed technology has several disadvantages which can be overcome in the near-term outlook
New results in pulsed laser deposition of poly-methyl-methacrylate thin films
International Nuclear Information System (INIS)
Cristescu, R.; Socol, G.; Mihailescu, I.N.; Popescu, M.; Sava, F.; Ion, E.; Morosanu, C.O.; Stamatin, I.
2003-01-01
Thin organic films based on poly-methyl-methacrylate (PMMA) polymer have been obtained by pulsed laser deposition (PLD) on silicon substrates. The films were characterized by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Raman spectroscopy (RS). We observed that the film composition and structure depend on the laser fluence and on the temperature of the substrate during deposition
The Effects of Two Thick Film Deposition Methods on Tin Dioxide Gas Sensor Performance
Bakrania, Smitesh D.; Wooldridge, Margaret S.
2009-01-01
This work demonstrates the variability in performance between SnO2 thick film gas sensors prepared using two types of film deposition methods. SnO2 powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition meth...
Energy Technology Data Exchange (ETDEWEB)
Auciello, O. (Microelectronics Center of North Carolina, Research Triangle Park, NC (USA) North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Ameen, M.S.; Kingon, A.I.; Lichtenwalner, D.J. (North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Krauss, A.R. (Argonne National Lab., IL (USA))
1990-01-01
The processes involved in plasma and ion beam sputter-deposition of high temperature superconducting thin films are critically reviewed. Recent advances in the development of these techniques are discussed in relation to basic physical phenomena, specific to each technique, which must be understood before high quality films can be produced. Control of film composition is a major issue in sputter-deposition of multicomponent materials. Low temperature processing of films is a common goal for each technique, particularly in relation to integrating high temperature superconducting films with the current microelectronics technology. It has been understood for some time that for Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} deposition, the most intensely studied high-{Tc} compound, incorporation of sufficient oxygen into the film during deposition is necessary to produce as-deposited superconducting films at relatively substrate temperatures. Recent results have shown that with the use of suitable buffer layers, high quality Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} sputtered films can be obtained on Si substrates without the need for post-deposition anneal processing. This review is mainly focussed on issues related to sputter-deposition of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} thin films, although representative results concerning the bismuth and thallium based compounds are included. 143 refs., 11 figs.
Energy Technology Data Exchange (ETDEWEB)
Kalhori, Hossein, E-mail: h.kalhori@ph.iut.ac.ir [School of Physics and CRANN, Trinity College, Dublin 2 (Ireland); Department of Physics, Isfahan University of Technology, Isfahan 84156-8311 (Iran, Islamic Republic of); Porter, Stephen B.; Esmaeily, Amir Sajjad; Coey, Michael [School of Physics and CRANN, Trinity College, Dublin 2 (Ireland); Ranjbar, Mehdi; Salamati, Hadi [Department of Physics, Isfahan University of Technology, Isfahan 84156-8311 (Iran, Islamic Republic of)
2016-12-30
Highlights: • Highly oriented WO{sub 3} stoichiometric films were determined using pulsed laser deposition method. • Effective parameters on thin films including temperature, oxygen partial pressure and laser energy fluency was studied. • A phase transition was observed in WO{sub 3} films at 700 °C from monoclinic to tetragonal. - Abstract: WO{sub 3} films have been grown by pulsed laser deposition on SrTiO{sub 3} (001) substrates. The effects of substrate temperature, oxygen partial pressure and energy fluence of the laser beam on the physical properties of the films were studied. Reflection high-energy electron diffraction (RHEED) patterns during and after growth were used to determine the surface structure and morphology. The chemical composition and crystalline phases were obtained by XPS and XRD respectively. AFM results showed that the roughness and skewness of the films depend on the substrate temperature during deposition. Optimal conditions were determined for the growth of the highly oriented films.
Energy Technology Data Exchange (ETDEWEB)
Jiménez-Marín, E. [Departamento de Ingeniería en Metalurgia y Materiales, Escuela Superior de Ingeniería Química e Industrias Extractivas, Instituto Politécnico Nacional, Ciudad de México 07300 (Mexico); Villalpando, I. [Centro de Investigación para los Recursos Naturales, Salaices, Chihuahua 33941 (Mexico); Trejo-Valdez, M. [Escuela Superior de Ingeniería Química e Industrias Extractivas, Instituto Politécnico Nacional, México, Ciudad de México 07738 (Mexico); Cervantes-Sodi, F. [Departamento de Física y Matemáticas, Universidad Iberoamericana, Prolongación Paseo de la Reforma 880, Lomas de Santa Fe, Ciudad de México 01219 (Mexico); Vargas-García, J.R. [Centro de Nanociencias y Micro y Nanotecnologías del Instituto Politécnico Nacional, Ciudad de México 07738 (Mexico); Torres-Torres, C., E-mail: ctorrest@ipn.mx [Sección de Estudios de Posgrado e Investigación, Escuela Superior de Ingeniería Mecánica y Eléctrica Unidad Zacatenco, Instituto Politécnico Nacional, Ciudad de México 07738 (Mexico)
2017-06-15
Highlights: • Nickel oxide decorated carbon nanotubes were prepared by chemical vapor deposition. • Contrast in photoconductivity phenomena in the nanohybrid was analyzed. • Electrical and nonlinear optical properties were evaluated. • A Wheatstone bridge sensor based metal/carbon nanostructures was proposed. - Abstract: Within this work was explored the influence of nickel oxide decoration on the photoconductive effects exhibited by multiwall carbon nanotubes. Samples in thin film form were prepared by a chemical vapor deposition method. Experiments for evaluating the photo-response of the nanomaterials at 532 nanometers wavelength were undertaken. A contrasting behavior in the photoelectrical characteristics of the decorated nanostructures was analyzed. The decoration technique allowed us to control a decrease in photoconduction of the sample from approximately 100 μmhos/cm to −600 μmhos/cm. Two-wave mixing experiments confirmed an enhancement in nanosecond nonlinearities derived by nickel oxide contributions. It was considered that metallic nanoparticles present a strong responsibility for the evolution of the optoelectronic phenomena in metal/carbon nanohybrids. Impedance spectroscopy explorations indicated that a capacitive behavior correspond to the samples. A potential development of high-sensitive Wheatstone bridge sensors based on the optoelectrical performance of the studied samples was proposed.
Energy Technology Data Exchange (ETDEWEB)
Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)
2016-01-15
It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.
Shape memory characteristics of sputter-deposited Ti-Ni thin films
International Nuclear Information System (INIS)
Miyazaki, Shuichi; Ishida, Akira.
1994-01-01
Ti-Ni shape memory alloy thin films were deposited using an RF magnetron sputtering apparatus. The as-sputtered films were heat-treated in order to crystallize and memorize. After the heat treatment, the shape memory characteristics have been investigated using DSC and thermomechanical tests. Upon cooling the thin films, the solution-treated films showed a single peak in the DSC curve indicating a single stage transformation occurring from B2 to the martensitic phase, while the age-treated films showed double peaks indicating a two-stage transformation, i.e., from B2 to the R-phase, then to the martensitic phase. A perfect shape memory effect was achieved in these sputter-deposited Ti-Ni thin films in association both with the R-phase and martensitic transformations. Transformation temperatures increased linearly with increasing applied stress. The transformation strain also increased with increasing stress. The shape memory characteristics were strongly affected by heat-treatment conditions. (author)
Deposition of indium tin oxide films on acrylic substrates by radiofrequency magnetron sputtering
International Nuclear Information System (INIS)
Chiou, B.S.; Hsieh, S.T.; Wu, W.F.
1994-01-01
Indium tin oxide (ITO) films were deposited onto acrylic substrates by rf magnetron sputtering. Low substrate temperature (< 80 C) and low rf power (< 28 W) were maintained during sputtering to prevent acrylic substrate deformation. The influence of sputtering parameters, such as rf power, target-to-substrate distance, and chamber pressure, on the film deposition rate, the electrical properties, as well as the optical properties of the deposited films was investigated. Both the refractive index and the extinction coefficient were derived. The high reflection at wavelengths greater than 3 μm made these sputtered ITO films applicable to infrared mirrors
Energy Technology Data Exchange (ETDEWEB)
Hone, Fekadu Gashaw, E-mail: fekeye@gmail.com [Hawassa University, Department of Physics, Hawassa (Ethiopia); Ampong, Francis Kofi [Kwame Nkrumah University of Science and Technology, Department of Physics, Kumasi (Ghana)
2016-11-01
Lead selenide (PbSe) nanocrystalline thin films have been deposited on silica glass substrates by the chemical bath deposition technique. The samples were deposited at the bath temperatures of 60, 75 and 90 °C respectively and characterized by a variety of techniques. The XRD results revealed that the PbSe thin film deposited at 60 °C was amorphous in nature. Films deposited at higher temperatures exhibited sharp and intense diffraction peaks, indicating an improvement in crystallinety. The deposition temperature also had a strong influence on the preferred orientation of the crystallites as well as other structural parameters such as microstrain and dislocation density. From the SEM study it was observed that film deposited at 90 °C had well defined crystallites, uniformly distributed over the entire surface of the substrate. The EDAX study confirmed that the samples deposited at the higher temperature had a better stoichiometric ratio. The optical band gap varied from 2.26 eV to 1.13 eV with increasing deposition temperature. - Highlights: • The crystallinety of the films improved as the deposition temperature increased. • The deposition temperature strongly influenced the preferred orientations. • Microstrain and dislocation density are decreased linearly with deposition temperature. • Band gap decreased from 2.26 eV to 1.13 eV as the deposition temperature increased.
International Nuclear Information System (INIS)
Gupta, Sushant; Arjunan, Arul Chakkaravarthi; Deshpande, Sameer; Seal, Sudipta; Singh, Deepika; Singh, Rajiv K.
2009-01-01
Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166 o . The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.
Energy Technology Data Exchange (ETDEWEB)
Gupta, Sushant, E-mail: sushant3@ufl.ed [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States); Arjunan, Arul Chakkaravarthi [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Deshpande, Sameer; Seal, Sudipta [Advanced Material Processing and Analysis Center, University of Central Florida, Orlando, Florida 32816 (United States); Singh, Deepika [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Singh, Rajiv K. [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States)
2009-06-30
Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166{sup o}. The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.
Ultraviolet laser deposition of graphene thin films without catalytic layers
Sarath Kumar, S. R.; Alshareef, Husam N.
2013-01-01
In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.
Ultraviolet laser deposition of graphene thin films without catalytic layers
Sarath Kumar, S. R.
2013-01-09
In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.
Liquid films and droplet deposition in a BWR fuel element
International Nuclear Information System (INIS)
Damsohn, M.
2011-01-01
In the upper part of boiling water reactors (BWR) the flow regime is dominated by a steam-water droplet flow with liquid films on the nuclear fuel rod, the so called (wispy) annular flow regime. The film thickness and liquid flow rate distribution around the fuel rod play an important role especially in regard to so called dryout, which is the main phenomenon limiting the thermal power of a fuel assembly. The deposition of droplets in the liquid film is important, because this process sustains the liquid film and delays dryout. Functional spacers with different vane shapes have been used in recent decades to enhance droplet deposition and thus create more favorable conditions for heat removal. In this thesis the behavior of liquid films and droplet deposition in the annular flow regime in BWR bundles is addressed by experiments in an adiabatic flow at nearly ambient pressure. The experimental setup consists of a vertical channel with the cross-section resembling a pair of neighboring subchannels of a fuel rod bundle. Within this double subchannel an annular flow is established with a gas-water mixture. The impact of functional spacers on the annular flow behavior is studied closely. Parameter variations comprise gas and liquid flow rates, gas density and spacer shape. The setup is instrumented with a newly developed liquid film sensor that measures the electrical conductance between electrodes flush to the wall with high temporal and spatial resolution. Advanced post-processing methods are used to investigate the dynamic behavior of liquid films and droplet deposition. The topic is also assessed numerically by means of single-phase Reynolds-Averaged-Navier-Stokes CFD simulations of the flow in the gas core. For this the commercial code STAR-CCM+ is used coupled with additional models for the liquid film distribution and droplet motion. The results of the experiments show that the liquid film is quite evenly distributed around the circumference of the fuel rods. The
Adil, Danish; Ukah, Ndubuisi; Guha, Suchi; Gupta, Ram; Ghosh, Kartik
2010-03-01
Matrix assisted pulsed laser evaporation, a derivative of pulsed laser deposition (PLD), is an alternative method of depositing polymer and biomaterial films that allows homogeneous film coverage of high molecular weight organic materials for layer-by