WorldWideScience

Sample records for nanowire nw growth

  1. Mechanisms involved in the hydrothermal growth of ultra-thin and high aspect ratio ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Demes, Thomas [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Ternon, Céline, E-mail: celine.ternon@grenoble-inp.fr [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Univ. Grenoble Alpes, CNRS, LTM, F-38000 Grenoble (France); Morisot, Fanny [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Univ. Grenoble Alpes, CNRS, Grenoble-INP" 2, IMEP-LaHC, F-38000 Grenoble (France); Riassetto, David [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Legallais, Maxime [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Univ. Grenoble Alpes, CNRS, Grenoble-INP" 2, IMEP-LaHC, F-38000 Grenoble (France); Roussel, Hervé; Langlet, Michel [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France)

    2017-07-15

    Highlights: • ZnO nanowires are grown on sol-gel ZnO seed layers by hydrothermal synthesis. • Ultra-thin and high aspect ratio nanowires are obtained without using additives. • Nanowire diameter is 20–25 nm regardless of growth time and seed morphology. • A nanowire growth model is developed on the basis of thermodynamic considerations. • The nanowires are intended for integration into electrically conductive nanonets. - Abstract: Hydrothermal synthesis of ZnO nanowires (NWs) with tailored dimensions, notably high aspect ratios (AR) and small diameters, is a major concern for a wide range of applications and still represents a challenging and recurring issue. In this work, an additive-free and reproducible hydrothermal procedure has been developed to grow ultra-thin and high AR ZnO NWs on sol-gel deposited ZnO seed layers. Controlling the substrate temperature and using a low reagent concentration (1 mM) has been found to be essential for obtaining such NWs. We show that the NW diameter remains constant at about 20–25 nm with growth time contrary to the NW length that can be selectively increased leading to NWs with ARs up to 400. On the basis of investigated experimental conditions along with thermodynamic and kinetic considerations, a ZnO NW growth mechanism has been developed which involves the formation and growth of nuclei followed by NW growth when the nuclei reach a critical size of about 20–25 nm. The low reagent concentration inhibits NW lateral growth leading to ultra-thin and high AR NWs. These NWs have been assembled into electrically conductive ZnO nanowire networks, which opens attractive perspectives toward the development of highly sensitive low-cost gas- or bio-sensors.

  2. Homo- and heteroepitaxial growth behavior of upright InAs nanowires on InAs and GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Paetzelt, Hendrik [Institut fuer Anorganische Chemie, Universitaet Leipzig, Johannesallee 29, D-04103 Leipzig (Germany); Wagner, Gerald [Institut fuer Kristallographie und Mineralogie, Universitaet Leipzig, Linnestr. 5, D-04103 Leipzig (Germany); Pietsch, Ulrich [Festkoerperphysik, Universitaet Siegen, D-57068 Siegen (Germany)

    2008-07-01

    Semiconductor nanowires (NW) acquire recently attraction because of promising new application fields in electronics and optoelectronic. We applied the vapor-liquid-solid mechanism with gold seeds in combination with low-pressure metal-organic vapor phase epitaxy (LP-MOVPE) to achieve replicable InAs NW growth with high growth rates. Since the initial alloying of the gold seeds with the substrate material plays a deciding role for the inceptive NW growth, InAs free standing nanowires were grown on GaAs(111)B substrate as well as on InAs/GaAs(111)B quasi-substrate. The influence of the MOVPE parameters will be discussed with respect to NW morphology and real-structure. A special focus will be set on the heteroepitaxial InAs NW growth on GaAs substrates. Gracing-incidence X-ray studies and transmission electron microscopy investigations revealed the existence of a thin Ga{sub x}In{sub 1-x}As graduated alloy layer with embedded crystalline gold alloy particles at the NW substrate interface. The effect of droplet composition on the VLS growth will be presented in a thermodynamic model.

  3. Growth strategies to control tapering in Ge nanowires

    Directory of Open Access Journals (Sweden)

    P. Periwal

    2014-04-01

    Full Text Available We report the effect of PH3 on the morphology of Au catalyzed Ge nanowires (NWs. Ge NWs were grown on Si (111 substrate at 400 °C in the presence of PH3, using vapor-liquid-solid method by chemical vapor deposition. We show that high PH3/GeH4 ratio causes passivation at NW surface. At high PH3 concentration phosphorous atoms attach itself on NW surface and form a self-protection coating that prevents conformal growth and leads to taper free nanostructures. However, in case of low PH3 flux the combination of axial and radial growth mechanism occurs resulting in conical structure. We have also investigated axial PH3-intrinsic junctions in Ge NWs. The unusual NW shape is attributed to a combination of catalyzed, uncatalyzed and diffusion induced growth.

  4. Growth of Horizonatal ZnO Nanowire Arrays on Any Substrate

    KAUST Repository

    Qin, Yong

    2008-12-04

    A general method is presented for growing laterally aligned and patterned ZnO nanowire (NW) arrays on any substrate as long as it is flat. The orientation control is achieved using the combined effect from ZnO seed layer and the catalytically inactive Cr (or Sn) layer for NW growth. The growth temperature (< 100 °C) is so low that the method can be applied to a wide range of substrates that can be inorganic, organic, single crystal, polycrystal, or amorphous. The laterally aligned ZnO NW arrays can be employed for various applications, such as gas sensor, field effect transistor, nanogenerator, and flexible electronics. © 2008 American Chemical Society.

  5. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  6. Controlling growth density and patterning of single crystalline silicon nanowires

    International Nuclear Information System (INIS)

    Chang, Tung-Hao; Chang, Yu-Cheng; Liu, Fu-Ken; Chu, Tieh-Chi

    2010-01-01

    This study examines the usage of well-patterned Au nanoparticles (NPs) as a catalyst for one-dimensional growth of single crystalline Si nanowires (NWs) through the vapor-liquid-solid (VLS) mechanism. The study reports the fabrication of monolayer Au NPs through the self-assembly of Au NPs on a 3-aminopropyltrimethoxysilane (APTMS)-modified silicon substrate. Results indicate that the spin coating time of Au NPs plays a crucial role in determining the density of Au NPs on the surface of the silicon substrate and the later catalysis growth of Si NWs. The experiments in this study employed optical lithography to pattern Au NPs, treating them as a catalyst for Si NW growth. The patterned Si NW structures easily produced and controlled Si NW density. This approach may be useful for further studies on single crystalline Si NW-based nanodevices and their properties.

  7. Mechanisms involved in the hydrothermal growth of ultra-thin and high aspect ratio ZnO nanowires

    Science.gov (United States)

    Demes, Thomas; Ternon, Céline; Morisot, Fanny; Riassetto, David; Legallais, Maxime; Roussel, Hervé; Langlet, Michel

    2017-07-01

    Hydrothermal synthesis of ZnO nanowires (NWs) with tailored dimensions, notably high aspect ratios (AR) and small diameters, is a major concern for a wide range of applications and still represents a challenging and recurring issue. In this work, an additive-free and reproducible hydrothermal procedure has been developed to grow ultra-thin and high AR ZnO NWs on sol-gel deposited ZnO seed layers. Controlling the substrate temperature and using a low reagent concentration (1 mM) has been found to be essential for obtaining such NWs. We show that the NW diameter remains constant at about 20-25 nm with growth time contrary to the NW length that can be selectively increased leading to NWs with ARs up to 400. On the basis of investigated experimental conditions along with thermodynamic and kinetic considerations, a ZnO NW growth mechanism has been developed which involves the formation and growth of nuclei followed by NW growth when the nuclei reach a critical size of about 20-25 nm. The low reagent concentration inhibits NW lateral growth leading to ultra-thin and high AR NWs. These NWs have been assembled into electrically conductive ZnO nanowire networks, which opens attractive perspectives toward the development of highly sensitive low-cost gas- or bio-sensors.

  8. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  9. Diffusion-driven growth of nanowires by low-temperature molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rueda-Fonseca, P.; Orrù, M. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut NEEL, F-38000 Grenoble (France); CEA, INAC, F-38000 Grenoble (France); Bellet-Amalric, E.; Robin, E. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, INAC, F-38000 Grenoble (France); Den Hertog, M.; Genuist, Y.; André, R.; Tatarenko, S.; Cibert, J., E-mail: joel.cibert@neel.cnrs.fr [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut NEEL, F-38000 Grenoble (France)

    2016-04-28

    With ZnTe as an example, we use two different methods to unravel the characteristics of the growth of nanowires (NWs) by gold-catalyzed molecular beam epitaxy at low temperature. In the first approach, CdTe insertions have been used as markers, and the nanowires have been characterized by scanning transmission electron microscopy, including geometrical phase analysis and energy dispersive electron spectrometry; the second approach uses scanning electron microscopy and the statistics of the relationship between the length of the tapered nanowires and their base diameter. Axial and radial growth are quantified using a diffusion-limited model adapted to the growth conditions; analytical expressions describe well the relationship between the NW length and the total molecular flux (taking into account the orientation of the effusion cells), and the catalyst-nanowire contact area. A long incubation time is observed. This analysis allows us to assess the evolution of the diffusion lengths on the substrate and along the nanowire sidewalls, as a function of temperature and deviation from stoichiometric flux.

  10. Low-Temperature Rapid Fabrication of ZnO Nanowire UV Sensor Array by Laser-Induced Local Hydrothermal Growth

    Directory of Open Access Journals (Sweden)

    Sukjoon Hong

    2013-01-01

    Full Text Available We demonstrate ZnO nanowire based UV sensor by laser-induced hydrothermal growth of ZnO nanowire. By inducing a localized temperature rise using focused laser, ZnO nanowire array at ~15 μm size consists of individual nanowires with ~8 μm length and 200~400 nm diameter is readily synthesized on gold electrode within 30 min at the desired position. The laser-induced growth process is consecutively applied on two different points to bridge the micron gap between the electrodes. The resultant photoconductive ZnO NW interconnections display 2~3 orders increase in the current upon the UV exposure at a fixed voltage bias. It is also confirmed that the amount of photocurrent can be easily adjusted by changing the number of ZnO NW array junctions. The device exhibits clear response to the repeated UV illumination, suggesting that this process can be usefully applied for the facile fabrication of low-cost UV sensor array.

  11. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    Science.gov (United States)

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  12. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    Science.gov (United States)

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  13. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    Science.gov (United States)

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  14. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    International Nuclear Information System (INIS)

    Ozdemir, Baris; Unalan, Husnu Emrah; Kulakci, Mustafa; Turan, Rasit

    2011-01-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 μm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  15. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    Science.gov (United States)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  16. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    Science.gov (United States)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  17. Growth and characterization of straight InAs/GaAs nanowire heterostructures on Si substrate

    International Nuclear Information System (INIS)

    Yan Xin; Zhang Xia; Li Jun-Shuai; Lü Xiao-Long; Ren Xiao-Min; Huang Yong-Qing

    2013-01-01

    Vertical InAs/GaAs nanowire (NW) heterostructures with a straight InAs segment have been successfully fabricated on Si (111) substrate by using AlGaAs/GaAs buffer layers coupled with a composition grading InGaAs segment. Both the GaAs and InAs segments are not limited by the misfit strain induced critical diameter. The low growth rate of InAs NWs is attributed to the AlGaAs/GaAs buffer layers which dramatically decrease the adatom diffusion contribution to the InAs NW growth. The crystal structure of InAs NW can be tuned from zincblende to wurtzite by controlling its diameter as well as the length of GaAs NWs. This work helps to open up a road for the integration of high-quality III-V NW heterostructures with Si

  18. Growth of Self-Catalyzed InP Nanowires by Metalorganic Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Lv Xiao-Long; Zhang Xia; Yan Xin; Liu Xiao-Long; Cui Jian-Gong; Li Jun-Shuai; Huang Yong-Qing; Ren Xiao-Min

    2012-01-01

    The fabrication of self-catalyzed InP nanowires (NWs) is investigated under different growth conditions. Indium droplets induced by surface reconstruction act as nucleation sites for NW growth. Vertical standing NWs with uniform cross sections are obtained under optimized conditions. It is confirmed that the growth rate of NWs is strongly affected by the surface diffusion adatoms while contributions from the direct impingement of vapor species onto the In droplets can be negligible. The results indicate that the droplet acts as an adatom collector rather than a catalyst. Moreover, the diffusion flow rate of adatoms increases with time at the beginning of growth and stabilizes as the growth proceeds

  19. Large-area aligned growth of single-crystalline organic nanowire arrays for high-performance photodetectors

    International Nuclear Information System (INIS)

    Wu Yiming; Zhang Xiujuan; Pan Huanhuan; Zhang Xiwei; Zhang Yuping; Zhang Xiaozhen; Jie Jiansheng

    2013-01-01

    Due to their extraordinary properties, single-crystalline organic nanowires (NWs) are important building blocks for future low-cost and efficient nano-optoelectronic devices. However, it remains a critical challenge to assemble organic NWs rationally in an orientation-, dimensionality- and location-controlled manner. Herein, we demonstrate a feasible method for aligned growth of single-crystalline copper phthalocyanine (CuPc) NW arrays with high density, large-area uniformity and perfect crossed alignment by using Au film as a template. The growth process was investigated in detail. The Au film was found to have a critical function in the aligned growth of NWs, but may only serve as the active site for NW nucleation because of the large surface energy, as well as direct the subsequent aligned growth. The as-prepared NWs were then transferred to construct single NW-based photoconductive devices, which demonstrated excellent photoresponse properties with robust stability and reproducibility; the device showed a high switching ratio of ∼180, a fast response speed of ∼100 ms and could stand continuous operation up to 2 h. Importantly, this strategy can be extended to other organic molecules for their synthesis of NW arrays, revealing great potential for use in the construction of large-scale high-performance functional nano-optoelectronic devices. (paper)

  20. On-chip growth of semiconductor metal oxide nanowires for gas sensors: A review

    Directory of Open Access Journals (Sweden)

    Chu Manh Hung

    2017-09-01

    Full Text Available Semiconductor metal oxide nanowires (SMO-NWs show great potential for novel gas sensor applications because of their distinct properties, such as a high surface area to volume aspect ratio, high crystallinity and perfect pathway for electron transfer (length of NW. SMO-NW sensors can be configured as resistors or field-effect transistors for gas detection and different configurations, such as a single NW, multiple NWs, and networked NW films, have been established. Surface-functionalizing NWs with catalyst elements and self-heating NWs provide additional advantages for highly selective and low-power consumption gas sensors. However, an appropriate design of SMO-NWs is of practical importance in enhancing the gas-sensing performance of SMO-NW sensors. The on-chip growth of SMO-NWs possesses many advantages which can thus be effectively used for the large-scale fabrication of SMO-NW sensors with improved gas response and stability. This review aims to provide up-to-date information on the on-chip fabrication of SnO2, ZnO, WO3, CuO, and other SMO-NW sensors. It also discusses a variety of promising approaches that help advance the on-chip fabrication of SMO-NW-based gas sensors and other NW-based devices.

  1. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Saron, K.M.A., E-mail: kamalmohammedabdalla@yahoo.com [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia); Hashim, M.R., E-mail: roslan@usm.my [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia)

    2013-05-15

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH{sub 3} gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N{sub 2} ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h{sup −1} at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V{sub Ga}–O{sub N}-complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission.

  2. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    International Nuclear Information System (INIS)

    Saron, K.M.A.; Hashim, M.R.

    2013-01-01

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH 3 gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N 2 ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h −1 at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V Ga –O N -complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission

  3. Efficiency enhancement of InP nanowire solar cells by surface cleaning

    NARCIS (Netherlands)

    Cui, Y.; Wang, J.; Plissard, S.R.; Cavalli, A.; Vu, T.T.T.; Veldhoven, van P.J.; Gao, L.; Trainor, M.J.; Verheijen, M.A.; Haverkort, J.E.M.; Bakkers, E.P.A.M.

    2013-01-01

    We demonstrate an efficiency enhancement of an InP nanowire (NW) axial p–n junction solar cell by cleaning the NW surface. NW arrays were grown with in situ HCl etching on an InP substrate patterned by nanoimprint lithography, and the NWs surfaces were cleaned after growth by piranha etching. We

  4. Synthesis and properties of silicon nanowire devices

    Science.gov (United States)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  5. Synthesis of uniform CdS nanowires in high yield and its single nanowire electrical property

    International Nuclear Information System (INIS)

    Yan Shancheng; Sun Litao; Qu Peng; Huang Ninping; Song Yinchen; Xiao Zhongdang

    2009-01-01

    Large-scale high quality CdS nanowires with uniform diameter were synthesized by using a rapid and simple solvothermal route. Field emission scan electron microscopy (FESEM) and transmission electron microscopy (TEM) images show that the CdS nanowires have diameter of about 26 nm and length up to several micrometres. High resolution TEM (HRTEM) study indicates the single-crystalline nature of CdS nanowires with an oriented growth along the c-axis direction. The optical properties of the products were characterized by UV-vis absorption spectra, photoluminescence spectra and Raman spectra. The resistivity, electron concentration and electron mobility of single NW are calculated by fitting the symmetric I-V curves measured on single NW by the metal-semiconductor-metal model based on thermionic field emission theory. - Graphical abstract: Large-scale high quality CdS nanowires (NWs) with uniform diameter were synthesized by using a rapid and simple solvothermal route. The reaction time is reduced to 2 h, comparing to other synthesis which needed long reaction time up to 12 h. In addition, the as-prepared CdS nanowires have more uniform diameter and high yield. More importantly, the I-V curve of present single CdS nanowire has a good symmetric characteristic as expected by the theory.

  6. Antibacterial activity of single crystalline silver-doped anatase TiO{sub 2} nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xiangyu, E-mail: zhangxiangyu@tyut.edu.cn; Li, Meng; He, Xiaojing; Hang, Ruiqiang; Huang, Xiaobo; Wang, Yueyue; Yao, Xiaohong; Tang, Bin, E-mail: tangbin@tyut.edu.cn

    2016-05-30

    Graphical abstract: The silver-doped TiO{sub 2} nanowire arrays on titanium foil substrate were synthesized via a two-step process. It includes: deposition of AgTi films on titanium foil by magnetron sputtering; preparation of AgNW arrays on AgTi films via alkali (NaOH) hydrothermal treatment and ion-exchange with HCl, followed by calcinations. - Highlights: • Ag-doped TiO{sub 2} nanowire arrays have been prepared by a duplex-treatment. • The duplex-treatment consisted of magnetron sputtering and hydrothermal growth. • Ag-doped nanowire arrays show excellent antibacterial activity against E. coli. - Abstract: Well-ordered, one-dimensional silver-doped anatase TiO{sub 2} nanowire (AgNW) arrays have been prepared through a hydrothermal growth process on the sputtering-deposited AgTi layers. Electron microscope analyses reveal that the as-synthesized AgNW arrays exhibit a single crystalline phase with highly uniform morphologies, diameters ranging from 85 to 95 nm, and lengths of about 11 μm. Silver is found to be doped into TiO{sub 2} nanowire evenly and mainly exists in the zerovalent state. The AgNW arrays show excellent efficient antibacterial activity against Escherichia coli (E. coli), and all of the bacteria can be killed within 1 h. Additionally, the AgNW arrays can still kill E. coli after immersion for 60 days, suggesting the long-term antibacterial property. The technique reported here is environmental friendly for formation of silver-containing nanostructure without using any toxic organic solvents.

  7. Digital selective growth of a ZnO nanowire array by large scale laser decomposition of zinc acetate.

    Science.gov (United States)

    Hong, Sukjoon; Yeo, Junyeob; Manorotkul, Wanit; Kang, Hyun Wook; Lee, Jinhwan; Han, Seungyong; Rho, Yoonsoo; Suh, Young Duk; Sung, Hyung Jin; Ko, Seung Hwan

    2013-05-07

    We develop a digital direct writing method for ZnO NW micro-patterned growth on a large scale by selective laser decomposition of zinc acetate. For ZnO NW growth, by replacing the bulk heating with the scanning focused laser as a fully digital local heat source, zinc acetate crystallites can be selectively activated as a ZnO seed pattern to grow ZnO nanowires locally on a larger area. Together with the selective laser sintering process of metal nanoparticles, more than 10,000 UV sensors have been demonstrated on a 4 cm × 4 cm glass substrate to develop all-solution processible, all-laser mask-less digital fabrication of electronic devices including active layer and metal electrodes without any conventional vacuum deposition, photolithographic process, premade mask, high temperature and vacuum environment.

  8. Patterned growth of carbon nanotubes over vertically aligned silicon nanowire bundles for achieving uniform field emission.

    Science.gov (United States)

    Hung, Yung-Jr; Huang, Yung-Jui; Chang, Hsuan-Chen; Lee, Kuei-Yi; Lee, San-Liang

    2014-01-01

    A fabrication strategy is proposed to enable precise coverage of as-grown carbon nanotube (CNT) mats atop vertically aligned silicon nanowire (VA-SiNW) bundles in order to realize a uniform bundle array of CNT-SiNW heterojunctions over a large sample area. No obvious electrical degradation of as-fabricated SiNWs is observed according to the measured current-voltage characteristic of a two-terminal single-nanowire device. Bundle arrangement of CNT-SiNW heterojunctions is optimized to relax the electrostatic screening effect and to maximize the field enhancement factor. As a result, superior field emission performance and relatively stable emission current over 12 h is obtained. A bright and uniform fluorescent radiation is observed from CNT-SiNW-based field emitters regardless of its bundle periodicity, verifying the existence of high-density and efficient field emitters on the proposed CNT-SiNW bundle arrays.

  9. Morphology of self-catalyzed GaN nanowires and chronology of their formation by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Galopin, E; Largeau, L; Patriarche, G; Travers, L; Glas, F; Harmand, J C

    2011-01-01

    GaN nanowires are synthesized by plasma-assisted molecular beam epitaxy on Si(111) substrates. The strong impact of the cell orientation relative to the substrate on the nanowire morphology is shown. To study the kinetics of growth, thin AlN markers are introduced periodically during NW growth. These markers are observed in single nanowires by transmission electron microscopy, giving access to the chronology of the nanowire formation and to the time evolution of the nanowire morphology. A long delay precedes the beginning of nanowire formation. Then, their elongation proceeds at a constant rate. Later, shells develop on the side-wall facets by ascending growth of layer bunches which first agglomerate at the nanowire foot.

  10. Nanowire growth from the viewpoint of the thin film polylayer growth theory

    Science.gov (United States)

    Kashchiev, Dimo

    2018-03-01

    The theory of polylayer growth of thin solid films is employed for description of the growth kinetics of single-crystal nanowires. Expressions are derived for the dependences of the height h and radius r of a given nanowire on time t, as well as for the h(r) dependence. These dependences are applicable immediately after the nanowire nucleation on the substrate and thus include the period during which the nucleated nanowire changes its shape from that of cap to that of column. The analysis shows that the nanowire cap-to-column shape transition is continuous and makes it possible to kinetically define the nanowire shape-transition radius by means of the nanowire radial and axial growth rates. The obtained h(t), r(t) and h(r) dependences are found to provide a good description of available experimental data for growth of self-nucleated GaN nanowires by the vapor-solid mechanism.

  11. Fully transparent thin-film transistor devices based on SnO2 nanowires.

    Science.gov (United States)

    Dattoli, Eric N; Wan, Qing; Guo, Wei; Chen, Yanbin; Pan, Xiaoqing; Lu, Wei

    2007-08-01

    We report on studies of field-effect transistor (FET) and transparent thin-film transistor (TFT) devices based on lightly Ta-doped SnO2 nano-wires. The nanowire-based devices exhibit uniform characteristics with average field-effect mobilities exceeding 100 cm2/V x s. Prototype nano-wire-based TFT (NW-TFT) devices on glass substrates showed excellent optical transparency and transistor performance in terms of transconductance, bias voltage range, and on/off ratio. High on-currents and field-effect mobilities were obtained from the NW-TFT devices even at low nanowire coverage. The SnO2 nanowire-based TFT approach offers a number of desirable properties such as low growth cost, high electron mobility, and optical transparency and low operation voltage, and may lead to large-scale applications of transparent electronics on diverse substrates.

  12. Growth of Horizonatal ZnO Nanowire Arrays on Any Substrate

    KAUST Repository

    Qin, Yong; Yang, Rusen; Wang, Zhong Lin

    2008-01-01

    A general method is presented for growing laterally aligned and patterned ZnO nanowire (NW) arrays on any substrate as long as it is flat. The orientation control is achieved using the combined effect from ZnO seed layer and the catalytically

  13. Aluminum-catalyzed silicon nanowires: Growth methods, properties, and applications

    Energy Technology Data Exchange (ETDEWEB)

    Hainey, Mel F.; Redwing, Joan M. [Department of Materials Science and Engineering, Materials Research Institute, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States)

    2016-12-15

    Metal-mediated vapor-liquid-solid (VLS) growth is a promising approach for the fabrication of silicon nanowires, although residual metal incorporation into the nanowires during growth can adversely impact electronic properties particularly when metals such as gold and copper are utilized. Aluminum, which acts as a shallow acceptor in silicon, is therefore of significant interest for the growth of p-type silicon nanowires but has presented challenges due to its propensity for oxidation. This paper summarizes the key aspects of aluminum-catalyzed nanowire growth along with wire properties and device results. In the first section, aluminum-catalyzed nanowire growth is discussed with a specific emphasis on methods to mitigate aluminum oxide formation. Next, the influence of growth parameters such as growth temperature, precursor partial pressure, and hydrogen partial pressure on nanowire morphology is discussed, followed by a brief review of the growth of templated and patterned arrays of nanowires. Aluminum incorporation into the nanowires is then discussed in detail, including measurements of the aluminum concentration within wires using atom probe tomography and assessment of electrical properties by four point resistance measurements. Finally, the use of aluminum-catalyzed VLS growth for device fabrication is reviewed including results on single-wire radial p-n junction solar cells and planar solar cells fabricated with nanowire/nanopyramid texturing.

  14. Non-Faradaic electrical impedimetric investigation of the interfacial effects of neuronal cell growth and differentiation on silicon nanowire transistors.

    Science.gov (United States)

    Lin, Shu-Ping; Vinzons, Lester U; Kang, Yu-Shan; Lai, Tung-Yen

    2015-05-13

    Silicon nanowire field-effect transistor (SiNW FET) devices have been interfaced with cells; however, their application for noninvasive, real-time monitoring of interfacial effects during cell growth and differentiation on SiNW has not been fully explored. Here, we cultured rat adrenal pheochromocytoma (PC12) cells, a type of neural progenitor cell, directly on SiNW FET devices to monitor cell adhesion during growth and morphological changes during neuronal differentiation for a period of 5-7 d. Monitoring was performed by measuring the non-Faradaic electrical impedance of the cell-SiNW FET system using a precision LCR meter. Our SiNW FET devices exhibited changes in impedance parameters during cell growth and differentiation because of the negatively charged cell membrane, seal resistance, and membrane capacitance at the cell/SiNW interface. It was observed that during both PC12 cell growth and neuronal differentiation, the impedance magnitude increased and the phase shifted to more negative values. However, impedance changes during cell growth already plateaued 3 d after seeding, while impedance changes continued until the last observation day during differentiation. Our results also indicate that the frequency shift to above 40 kHz after growth factor induction resulted from a larger coverage of cell membrane on the SiNWs due to distinctive morphological changes according to vinculin staining. Encapsulation of PC12 cells in a hydrogel scaffold resulted in a lack of trend in impedance parameters and confirmed that impedance changes were due to the cells. Moreover, cytolysis of the differentiated PC12 cells led to significant changes in impedance parameters. Equivalent electrical circuits were used to analyze the changes in impedance values during cell growth and differentiation. The technique employed in this study can provide a platform for performing investigations of growth-factor-induced progenitor cell differentiation.

  15. ZnO nanowire co-growth on SiO2 and C by carbothermal reduction and vapour advection

    International Nuclear Information System (INIS)

    Vega, N C; Caram, J; Grinblat, G; Comedi, D; Wallar, R; LaPierre, R R; Tirado, M

    2012-01-01

    Vertically aligned ZnO nanowires (NWs) were grown on Au-nanocluster-seeded amorphous SiO 2 films by the advective transport and deposition of Zn vapours obtained from the carbothermal reaction of graphite and ZnO powders. Both the NW volume and visible-to-UV photoluminescence ratio were found to be strong functions of, and hence could be tailored by, the (ZnO+C) source–SiO 2 substrate distance. We observe C flakes on the ZnO NWs/SiO 2 substrates which exhibit short NWs that developed on both sides. The SiO 2 and C substrates/NW interfaces were studied in detail to determine growth mechanisms. NWs on Au-seeded SiO 2 were promoted by a rough ZnO seed layer whose formation was catalysed by the Au clusters. In contrast, NWs grew without any seed on C. A correlation comprising three orders of magnitude between the visible-to-UV photoluminescence intensity ratio and the NW volume is found, which results from a characteristic Zn partial pressure profile that fixes both O deficiency defect concentration and growth rate. (paper)

  16. Carrier gas effects on aluminum-catalyzed nanowire growth

    International Nuclear Information System (INIS)

    Ke, Yue; Hainey, Mel Jr; Won, Dongjin; Weng, Xiaojun; Eichfeld, Sarah M; Redwing, Joan M

    2016-01-01

    Aluminum-catalyzed silicon nanowire growth under low-pressure chemical vapor deposition conditions requires higher reactor pressures than gold-catalyzed growth, but the reasons for this difference are not well understood. In this study, the effects of reactor pressure and hydrogen partial pressure on silicon nanowire growth using an aluminum catalyst were studied by growing nanowires in hydrogen and hydrogen/nitrogen carrier gas mixtures at different total reactor pressures. Nanowires grown in the nitrogen/hydrogen mixture have faceted catalyst droplet tips, minimal evidence of aluminum diffusion from the tip down the nanowire sidewalls, and significant vapor–solid deposition of silicon on the sidewalls. In comparison, wires grown in pure hydrogen show less well-defined tips, evidence of aluminum diffusion down the nanowire sidewalls at increasing reactor pressures and reduced vapor–solid deposition of silicon on the sidewalls. The results are explained in terms of a model wherein the hydrogen partial pressure plays a critical role in aluminum-catalyzed nanowire growth by controlling hydrogen termination of the silicon nanowire sidewalls. For a given reactor pressure, increased hydrogen partial pressures increase the extent of hydrogen termination of the sidewalls which suppresses SiH_4 adsorption thereby reducing vapor–solid deposition of silicon but increases the surface diffusion length of aluminum. Conversely, lower hydrogen partial pressures reduce the hydrogen termination and also increase the extent of SiH_4 gas phase decomposition, shifting the nanowire growth window to lower growth temperatures and silane partial pressures. (paper)

  17. Guided Growth of Horizontal p-Type ZnTe Nanowires

    Science.gov (United States)

    2016-01-01

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor–liquid–solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means. PMID:27885331

  18. Synthesis and Characterization of CdS/CdSxSe1-x Nanowires

    Science.gov (United States)

    Agueda Lopez, Kleyser; Wu, Marvin

    Semiconductor nanowire heterostructures are of interest for potential applications in solar cells and other advanced optoelectronic devices. We report here on synthesis of CdS/CdSxSe1-x nanowires (NWs) using a dual source vapor = liquid - solid technique, and characterization of these NWs with scanning electron microscopy and optical microscopy. We determine the effect of growth parameters, including source / substrate temperatures and time of exposure, on NW size, shape, and composition. The crystal structure and optical properties individual NWs from selected substrates has been mapped using transmission Kikuchi diffraction and photoluminescence (PL) microscopy. NWs consistently exhibit a hexagonal structure, with growth along the c-axis. Strong PL peaks are observed between the expected bandgap emission from CdS and CdSe, confirming formation of CdSxSe1-x. PL peaks vary significantly with intensity along the long axis of the nanowire, suggesting that the NW surface is not uniformly passivated. These nanowires show promise for future investigation and manipulation of energy band gaps contain in CdS/CdSe. CREST.

  19. Catalyst–substrate interaction and growth delay in vapor–liquid–solid nanowire growth

    Science.gov (United States)

    Kolíbal, Miroslav; Pejchal, Tomáš; Musálek, Tomáš; Šikola, Tomáš

    2018-05-01

    Understanding of the initial stage of nanowire growth on a bulk substrate is crucial for the rational design of nanowire building blocks in future electronic and optoelectronic devices. Here, we provide in situ scanning electron microscopy and Auger microscopy analysis of the initial stage of Au-catalyzed Ge nanowire growth on different substrates. Real-time microscopy imaging and elementally resolved spectroscopy clearly show that the catalyst dissolves the underlying substrate if held above a certain temperature. If the substrate dissolution is blocked (or in the case of heteroepitaxy) the catalyst needs to be filled with nanowire material from the external supply, which significantly increases the initial growth delay. The experiments presented here reveal the important role of the substrate in metal-catalyzed nanowire growth and pave the way for different growth delay mitigation strategies.

  20. Chiral transformation: From single nanowire to double helix

    KAUST Repository

    Wang, Yong

    2011-12-21

    We report a new type of water-soluble ultrathin Au-Ag alloy nanowire (NW), which exhibits unprecedented behavior in a colloidal solution. Upon growth of a thin metal (Pd, Pt, or Au) layer, the NW winds around itself to give a metallic double helix. We propose that the winding originates from the chirality within the as-synthesized Au-Ag NWs, which were induced to untwist upon metal deposition. © 2011 American Chemical Society.

  1. Growth and characterization of bismuth telluride nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Picht, Oliver

    2010-05-26

    Polycrystalline Bi{sub 2}Te{sub 3} nanowires are electrochemically grown in ion track-etched polycarbonate membranes. Potentiostatic growth is demonstrated in templates of various thicknesses ranging from 10 to 100 {mu}m. The smallest observed nanowire diameters are 20 nm in thin membranes and approx. 140-180 nm in thicker membranes. The influence of the various deposition parameters on the nanowire growth rate is presented. Slower growth rates are attained by selective change of deposition potentials and lower temperatures. Nanowires synthesized at slower growth rates have shown to possess a higher degree of crystalline order and smoother surface contours. With respect to structural properties, X-ray diffraction and transmission electron microscopy verified the growth of Bi{sub 2}Te{sub 3} and evidenced the stability of specific properties, e.g. grain size or preferential orientation, with regard to variations in the deposition conditions. The interdependency of the fabrication parameters, i.e. temperature, deposition potential and nanochannel diameters, is demonstrated for wires grown in 30 {mu}m thick membranes. It is visible from diffraction analysis that texture is tunable by the growth conditions but depends also on the size of the nanochannels in the template. Both (015) and (110) reflexes are observed for the nanowire arrays. Energy dispersive X-ray analysis further points out that variation of nanochannel size could lead to a change in elemental composition of the nanowires. (orig.)

  2. Growth and characterization of bismuth telluride nanowires

    International Nuclear Information System (INIS)

    Picht, Oliver

    2010-01-01

    Polycrystalline Bi 2 Te 3 nanowires are electrochemically grown in ion track-etched polycarbonate membranes. Potentiostatic growth is demonstrated in templates of various thicknesses ranging from 10 to 100 μm. The smallest observed nanowire diameters are 20 nm in thin membranes and approx. 140-180 nm in thicker membranes. The influence of the various deposition parameters on the nanowire growth rate is presented. Slower growth rates are attained by selective change of deposition potentials and lower temperatures. Nanowires synthesized at slower growth rates have shown to possess a higher degree of crystalline order and smoother surface contours. With respect to structural properties, X-ray diffraction and transmission electron microscopy verified the growth of Bi 2 Te 3 and evidenced the stability of specific properties, e.g. grain size or preferential orientation, with regard to variations in the deposition conditions. The interdependency of the fabrication parameters, i.e. temperature, deposition potential and nanochannel diameters, is demonstrated for wires grown in 30 μm thick membranes. It is visible from diffraction analysis that texture is tunable by the growth conditions but depends also on the size of the nanochannels in the template. Both (015) and (110) reflexes are observed for the nanowire arrays. Energy dispersive X-ray analysis further points out that variation of nanochannel size could lead to a change in elemental composition of the nanowires. (orig.)

  3. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  4. Parameters study on the growth of GaAs nanowires on indium tin oxide by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Dan; Tang, Xiaohong, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn; Li, Xianqiang [OPTIMUS, Photonics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 Singapore (Singapore); Wang, Kai, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn [Department of Electrical & Electronic Engineering, South University of Science and Technology of China, 1088 Xueyuan Avenue, Shenzhen 518055 (China); Olivier, Aurelien [CINTRA UMI 3288, School of Electrical and Electronic Engineering, Nanyang Technological University, Research Techno Plaza, 50 Nanyang Drive, Border X Block, Level 6, 637553 Singapore (Singapore)

    2016-03-07

    After successful demonstration of GaAs nanowire (NW) epitaxial growth on indium tin oxide (ITO) by metal organic chemical vapor deposition, we systematically investigate the effect of growth parameters' effect on the GaAs NW, including temperature, precursor molar flow rates, growth time, and Au catalyst size. 40 nm induced GaAs NWs are observed with zinc-blende structure. Based on vapor-liquid-solid mechanism, a kinetic model is used to deepen our understanding of the incorporation of growth species and the role of various growth parameters in tuning the GaAs NW growth rate. Thermally activated behavior has been investigated by variation of growth temperature. Activation energies of 40 nm Au catalyst induced NWs are calculated at different trimethylgallium (TMGa) molar flow rates about 65 kJ/mol. The GaAs NWs growth rates increase with TMGa molar flow rates whereas the growth rates are almost independent of growth time. Due to Gibbs-Thomson effect, the GaAs NW growth rates increase with Au nanoparticle size at different temperatures. Critical radius is calculated as 2.14 nm at the growth condition of 430 °C and 1.36 μmol/s TMGa flow rate. It is also proved experimentally that Au nanoparticle below the critical radius such as 2 nm cannot initiate the growth of NWs on ITO. This theoretical and experimental growth parameters investigation enables great controllability over GaAs NWs grown on transparent conductive substrate where the methodology can be expanded to other III–V material NWs and is critical for potential hybrid solar cell application.

  5. Spray-Deposited Large-Area Copper Nanowire Transparent Conductive Electrodes and Their Uses for Touch Screen Applications.

    Science.gov (United States)

    Chu, Hsun-Chen; Chang, Yen-Chen; Lin, Yow; Chang, Shu-Hao; Chang, Wei-Chung; Li, Guo-An; Tuan, Hsing-Yu

    2016-05-25

    Large-area conducting transparent conducting electrodes (TCEs) were prepared by a fast, scalable, and low-cost spray deposition of copper nanowire (CuNW) dispersions. Thin, long, and pure copper nanowires were obtained via the seed-mediated growth in an organic solvent-based synthesis. The mean length and diameter of nanowires are, respectively, 37.7 μm and 46 nm, corresponding to a high-mean-aspect ratio of 790. These wires were spray-deposited onto a glass substrate to form a nanowire conducting network which function as a TCE. CuNW TCEs exhibit high-transparency and high-conductivity since their relatively long lengths are advantageous in lowering in the sheet resistance. For example, a 2 × 2 cm(2) transparent nanowire electrode exhibits transmittance of T = 90% with a sheet resistance as low as 52.7 Ω sq(-1). Large-area sizes (>50 cm(2)) of CuNW TCEs were also prepared by the spray coating method and assembled as resistive touch screens that can be integrated with a variety of devices, including LED lighting array, a computer, electric motors, and audio electronic devices, showing the capability to make diverse sizes and functionalities of CuNW TCEs by the reported method.

  6. Selective growth of gallium nitride nanowires by femtosecond laser patterning

    International Nuclear Information System (INIS)

    Ng, D.K.T.; Hong, M.H.; Tan, L.S.; Zhou, Y.; Chen, G.X.

    2008-01-01

    We report on gallium nitride (GaN) nanowires grown using pulsed laser ablation, adopting the vapor-liquid-solid (VLS) growth mechanism. The GaN nanowires are obtained based on the principle that a catalyst is required to initiate the nanowires growth. Locations of the GaN nanowires are patterned using femtosecond laser and focused ion beam. Scanning electron microscopy (SEM) is used to characterize the nanowires. This patterning of GaN nanowires will enable selective growth of nanowires and bottom-up assembly of integrated electronic and photonic devices

  7. Selective growth of gallium nitride nanowires by femtosecond laser patterning

    Energy Technology Data Exchange (ETDEWEB)

    Ng, D.K.T. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, Agency for Science, Technology and Research, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Hong, M.H. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, Agency for Science, Technology and Research, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore)], E-mail: HONG_Minghui@dsi.a-star.edu.sg; Tan, L.S. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Zhou, Y. [Data Storage Institute, Agency for Science, Technology and Research, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Department of Mechanical Engineering, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Chen, G.X. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore)

    2008-01-31

    We report on gallium nitride (GaN) nanowires grown using pulsed laser ablation, adopting the vapor-liquid-solid (VLS) growth mechanism. The GaN nanowires are obtained based on the principle that a catalyst is required to initiate the nanowires growth. Locations of the GaN nanowires are patterned using femtosecond laser and focused ion beam. Scanning electron microscopy (SEM) is used to characterize the nanowires. This patterning of GaN nanowires will enable selective growth of nanowires and bottom-up assembly of integrated electronic and photonic devices.

  8. Growth and properties of In(Ga)As nanowires on silicon

    International Nuclear Information System (INIS)

    Hertenberger, Simon

    2012-01-01

    In this thesis the integration of III-V semiconductor nanowires on silicon (Si) platform by molecular beam epitaxy (MBE) is investigated. All nanowires are grown without the use of foreign catalysts such as Au to achieve high purity material. First, InAs nanowires are grown in a self-assembled manner on SiO x -masked Si(111) where pinholes in the silicon oxide serve as nucleation spots for the nanowires. This leads to the growth of vertically aligned, (111)-oriented nanowires with hexagonal cross-section. Based on this simple process, the entire growth parameter window is investigated for InAs nanowires, revealing an extremely large growth temperature range from 380 C to 580 C and growth rates as large as 6 μ/h. Complex quantitative in-situ line-of-sight quadrupole mass spectrometry experiments during nanowire growth and post-growth thermal decomposition studies support these findings and indicate a very high thermal stability up to >540 C for InAs nanowires. Furthermore, the influence of the As/In ratio on the nanowire growth is studied revealing two distinct growth regimes, i.e., an In-rich regime for lower As fluxes and an As-rich regime for larger As fluxes, where the latter shows characteristic saturation of the nanowire aspect ratio. For the catalyst-free growth, detailed investigation of the growth mechanism is performed via a combination of in-situ reflection high-energy electron diffraction (RHEED) and ex-situ scanning and transmission electron microscopy (SEM,TEM). An abrupt onset of nanowire growth is observed in RHEED intensity and in-plane lattice parameter evolution. Furthermore, completely droplet-free nanowires, continuous radial growth, constant vertical growth rate and growth interruption experiments suggest a vapor-solid growth mode for all investigated nanowire samples. Moreover, site-selective (positioned) growth of InAs nanowires on pre-patterned SiO 2 masked Si(111) substrates is demonstrated which is needed for ultimate control of nanowire

  9. Growth and properties of In(Ga)As nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hertenberger, Simon

    2012-10-15

    In this thesis the integration of III-V semiconductor nanowires on silicon (Si) platform by molecular beam epitaxy (MBE) is investigated. All nanowires are grown without the use of foreign catalysts such as Au to achieve high purity material. First, InAs nanowires are grown in a self-assembled manner on SiO{sub x}-masked Si(111) where pinholes in the silicon oxide serve as nucleation spots for the nanowires. This leads to the growth of vertically aligned, (111)-oriented nanowires with hexagonal cross-section. Based on this simple process, the entire growth parameter window is investigated for InAs nanowires, revealing an extremely large growth temperature range from 380 C to 580 C and growth rates as large as 6 μ/h. Complex quantitative in-situ line-of-sight quadrupole mass spectrometry experiments during nanowire growth and post-growth thermal decomposition studies support these findings and indicate a very high thermal stability up to >540 C for InAs nanowires. Furthermore, the influence of the As/In ratio on the nanowire growth is studied revealing two distinct growth regimes, i.e., an In-rich regime for lower As fluxes and an As-rich regime for larger As fluxes, where the latter shows characteristic saturation of the nanowire aspect ratio. For the catalyst-free growth, detailed investigation of the growth mechanism is performed via a combination of in-situ reflection high-energy electron diffraction (RHEED) and ex-situ scanning and transmission electron microscopy (SEM,TEM). An abrupt onset of nanowire growth is observed in RHEED intensity and in-plane lattice parameter evolution. Furthermore, completely droplet-free nanowires, continuous radial growth, constant vertical growth rate and growth interruption experiments suggest a vapor-solid growth mode for all investigated nanowire samples. Moreover, site-selective (positioned) growth of InAs nanowires on pre-patterned SiO{sub 2} masked Si(111) substrates is demonstrated which is needed for ultimate control of

  10. Wafer-Scale High-Throughput Ordered Growth of Vertically Aligned ZnO Nanowire Arrays

    KAUST Repository

    Wei, Yaguang

    2010-09-08

    This article presents an effective approach for patterned growth of vertically aligned ZnO nanowire (NW) arrays with high throughput and low cost at wafer scale without using cleanroom technology. Periodic hole patterns are generated using laser interference lithography on substrates coated with the photoresist SU-8. ZnO NWs are selectively grown through the holes via a low-temperature hydrothermal method without using a catalyst and with a superior control over orientation, location/density, and as-synthesized morphology. The development of textured ZnO seed layers for replacing single crystalline GaN and ZnO substrates extends the large-scale fabrication of vertically aligned ZnO NW arrays on substrates of other materials, such as polymers, Si, and glass. This combined approach demonstrates a novel method of manufacturing large-scale patterned one-dimensional nanostructures on various substrates for applications in energy harvesting, sensing, optoelectronics, and electronic devices. © 2010 American Chemical Society.

  11. Temperature effect on the growth of Au-free InAs and InAs/GaSb heterostructure nanowires on Si substrate by MOCVD

    Science.gov (United States)

    Kakkerla, Ramesh Kumar; Anandan, Deepak; Hsiao, Chih-Jen; Yu, Hung Wei; Singh, Sankalp Kumar; Chang, Edward Yi

    2018-05-01

    We demonstrate the growth of vertically aligned Au-free InAs and InAs/GaSb heterostructure nanowires on Si (1 1 1) substrate by Metal Organic Chemical Vapor Deposition (MOCVD). The effect of growth temperature on the morphology and growth rate of the InAs and InAs/GaSb heterostructure nanowires (NWs) is investigated. Control over diameter and length of the InAs NWs and the GaSb shell thickness was achieved by using growth temperature. As the GaSb growth temperature increase, GaSb radial growth rate increases due to the increase in alkyl decomposition at the substrate surface. Diffusivity of the adatoms increases as the GaSb growth temperature increase which results in tapered GaSb shell growth. Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) measurements revealed that the morphology and shell thickness can be tuned by the growth temperature. Electron microscopy also shows the formation of GaSb both in radial and axial directions outside the InAs NW core can be controlled by the growth temperature. This study demonstrates the control over InAs NWs growth and the GaSb shell thickness can be achieved through proper growth temperature control, such technique is essential for the growth of nanowire for future nano electronic devices, such as Tunnel FET.

  12. Growth Mechanism of Nanowires: Ternary Chalcogenides

    Science.gov (United States)

    Singh, N. B.; Coriell, S. R.; Hopkins, R. H.; Su, Ching Hua; Arnold, B.; Choa, Fow-Sen; Cullum, Brian

    2016-01-01

    In the past two decades there has been a large rise in the investment and expectations for nanotechnology use. Almost every area of research has projected improvements in sensors, or even a promise for the emergence of some novel device technologies. For these applications major focuses of research are in the areas of nanoparticles and graphene. Although there are some near term applications with nanowires in photodetectors and other low light detectors, there are few papers on the growth mechanism and fabrication of nanowire-based devices. Semiconductor nanowires exhibit very favorable and promising optical properties, including high transparency and a several order of magnitude better photocurrent than thin film and bulk materials. We present here an overview of the mechanism of nanowire growth from the melt, and some preliminary results for the thallium arsenic selenide material system. Thallium arsenic selenide (TAS) is a multifunctional material combining excellent acousto-optical, nonlinear and radiation detection properties. We observed that small units of (TAS) nanocubes arrange and rearrange at moderate melt undercooling to form the building block of a nanowire. In some cases very long wires (less than mm) are formed. Since we avoided the catalyst, we observed self-nucleation and uncontrolled growth of wires from different places.

  13. Composition–dependent growth dynamics of selectively grown InGaAs nanowires

    International Nuclear Information System (INIS)

    Kohashi, Y; Hara, S; Motohisa, J

    2014-01-01

    We grew gallium-rich (x > 0.50) and indium-rich (x < 0.50) In 1 − x Ga x As nanowires by catalyst–free selective-area metal–organic vapor-phase epitaxy (SA-MOVPE), and compared their growth dynamics dependence on V/III ratio. It was found that the growth dynamics of In 1 − x Ga x As nanowires is clearly dependent on the alloy composition x. Specifically, for gallium–rich nanowire growth, the axial growth rate of nanowires initially increased with decreasing V/III ratio, and then started to decrease when the V/III ratio continued to decrease below a critical value. On the other hand, axial growth rate of indium-rich nanowires monotonically decreased with decreasing V/III ratio. In addition, the alloy composition was strongly dependent on the V/III ratio for gallium-rich nanowire growth, while it was relatively independent of the V/III ratio for indium-rich nanowire growth. We discuss the origin of dissimilarity in the growth dynamics dependence on V/III ratio between gallium-rich and indium-rich InGaAs nanowire growth, and conclude that it is due to the inherent dissimilarity between GaAs and InAs. Our finding provides important guidelines for achieving precise control of the diameter, height, and alloy composition of nanowires suitable for future nanowire-based electronics. (papers)

  14. Growth of Ag-seeded III-V Nanowires and TEM Characterization

    DEFF Research Database (Denmark)

    Lindberg, Anna Helmi Caroline

    appropriate, the density and the vertical yield were obtained. The crystal structures for the grown nanowires have been investigated with TEM.We have also performed additional growths to further understand exactly how the nanowire growth proceeds as well as to understand the limitations of using Ag as a seed......This thesis deals with growth and characterization of GaAs and InAs nanowires. Today Au nanoparticle-seeding together with self-catalyzing are the dominating techniques to grow III-V nanowires with molecular beam epitaxy. In this thesis we instead investigate the possibility to use Ag as seed...... particle for growth of GaAs and InAs nanowires. The aim with the experiments performed has been to conclude whether Ag can be used to nucleate and grow nanowires on III-V substrates with molecular beam epitaxy. To investigate this we have performed growths of GaAs nanowires on GaAs(111)B and GaAs(100...

  15. Gibbs–Thomson Effect in Planar Nanowires: Orientation and Doping Modulated Growth

    KAUST Repository

    Shen, Youde; Chen, Renjie; Yu, Xuechao; Wang, Qijie; Jungjohann, Katherine L.; Dayeh, Shadi A.; Wu, Tao

    2016-01-01

    Epitaxy-enabled bottom-up synthesis of self-assembled planar nanowires via the vapor-liquid-solid mechanism is an emerging and promising approach toward large-scale direct integration of nanowire-based devices without postgrowth alignment. Here, by examining large assemblies of indium tin oxide nanowires on yttria-stabilized zirconia substrate, we demonstrate for the first time that the growth dynamics of planar nanowires follows a modified version of the Gibbs-Thomson mechanism, which has been known for the past decades to govern the correlations between thermodynamic supersaturation, growth speed, and nanowire morphology. Furthermore, the substrate orientation strongly influences the growth characteristics of epitaxial planar nanowires as opposed to impact at only the initial nucleation stage in the growth of vertical nanowires. The rich nanowire morphology can be described by a surface-energy-dependent growth model within the Gibbs-Thomson framework, which is further modulated by the tin doping concentration. Our experiments also reveal that the cutoff nanowire diameter depends on the substrate orientation and decreases with increasing tin doping concentration. These results enable a deeper understanding and control over the growth of planar nanowires, and the insights will help advance the fabrication of self-assembled nanowire devices. © 2016 American Chemical Society.

  16. Gibbs–Thomson Effect in Planar Nanowires: Orientation and Doping Modulated Growth

    KAUST Repository

    Shen, Youde

    2016-06-02

    Epitaxy-enabled bottom-up synthesis of self-assembled planar nanowires via the vapor-liquid-solid mechanism is an emerging and promising approach toward large-scale direct integration of nanowire-based devices without postgrowth alignment. Here, by examining large assemblies of indium tin oxide nanowires on yttria-stabilized zirconia substrate, we demonstrate for the first time that the growth dynamics of planar nanowires follows a modified version of the Gibbs-Thomson mechanism, which has been known for the past decades to govern the correlations between thermodynamic supersaturation, growth speed, and nanowire morphology. Furthermore, the substrate orientation strongly influences the growth characteristics of epitaxial planar nanowires as opposed to impact at only the initial nucleation stage in the growth of vertical nanowires. The rich nanowire morphology can be described by a surface-energy-dependent growth model within the Gibbs-Thomson framework, which is further modulated by the tin doping concentration. Our experiments also reveal that the cutoff nanowire diameter depends on the substrate orientation and decreases with increasing tin doping concentration. These results enable a deeper understanding and control over the growth of planar nanowires, and the insights will help advance the fabrication of self-assembled nanowire devices. © 2016 American Chemical Society.

  17. Growth Mechanism of Nanowires: Binary and Ternary Chalcogenides

    Science.gov (United States)

    Singh, N. B.; Coriell, S. R.; Su, Ching-Hua; Hopkins, R. H.; Arnold, B.; Choa, Fow-Sen; Cullum, Brian

    2016-01-01

    Semiconductor nanowires exhibit very exciting optical and electrical properties including high transparency and a several order of magnitude better photocurrent than thin film and bulk materials. We present here the mechanism of nanowire growth from the melt-liquid-vapor medium. We describe preliminary results of binary and ternary selenide materials in light of recent theories. Experiments were performed with lead selenide and thallium arsenic selenide systems which are multifunctional material and have been used for detectors, acousto-optical, nonlinear and radiation detection applications. We observed that small units of nanocubes and elongated nanoparticles arrange and rearrange at moderate melt undercooling to form the building block of a nanowire. Since we avoided the catalyst, we observed self-nucleation and uncontrolled growth of wires from different places. Growth of lead selenide nanowires was performed by physical vapor transport method and thallium arsenic selenide nanowire by vapor-liquid-solid (VLS) method. In some cases very long wires (>mm) are formed. To achieve this goal experiments were performed to create situation where nanowires grew on the surface of solid thallium arsenic selenide itself.

  18. X-ray characterization Si-doped InAs nanowires grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Grap, Thomas; Lepsa, Mihail [Forschungszentrum Juelich, Institut fuer Bio- und Nanosysteme (Germany)

    2011-07-01

    Semiconductor nanowires (NW) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. However, many details of the growth mechanism are not well understood. In particular, understanding and control of doping mechanisms during NW growth are important issues for technological applications. In this contribution we present a x-ray diffraction study of the influence of Si-doping in InAs NWs grown on GaAs(111) substrates using In-assisted MBE growth. With the help of coplanar and asymmetric x-ray diffraction, we monitor the evolution of the lattice constants and structure of the InAs NWs as function of doping concentration. We observe that increasing the nominal doping concentration leads to the appearance of additional diffraction maxima corresponding to material whose vertical lattice parameter is 1% smaller than that of the undoped nanowires. Those lattice parameters can be attributed with alloy formation in the form of island like crystallites.

  19. Different growth regimes in InP nanowire growth mediated by Ag nanoparticles.

    Science.gov (United States)

    Oliveira, D S; Zavarize, M; Tizei, L H G; Walls, M; Ospina, C A; Iikawa, F; Ugarte, D; Cotta, M A

    2017-12-15

    We report on the existence of two different regimes in one-step Ag-seeded InP nanowire growth. The vapor-liquid-solid-mechanism is present at larger In precursor flows and temperatures, ∼500 °C, yielding high aspect ratio and pure wurtzite InP nanowires with a semi-spherical metal particle at the thin apex. Periodic diameter oscillations can be achieved under extreme In supersaturations at this temperature range, showing the presence of a liquid catalyst. However, under lower temperatures and In precursor flows, large diameter InP nanowires with mixed wurtzite/zincblende segments are obtained, similarly to In-assisted growth. Chemical composition analysis suggest that In-rich droplet formation is catalyzed at the substrate surface via Ag nanoparticles; this process might be facilitated by the sulfur contamination detected in these nanoparticles. Furthermore, part of the original Ag nanoparticle remains solid and is embedded inside the actual catalyst, providing an in situ method to switch growth mechanisms upon changing In precursor flow. Nevertheless, our Ag-seeded InP nanowires exhibit overall optical emission spectra consistent with the observed structural properties and similar to Au-catalyzed InP nanowires. We thus show that Ag nanoparticles may be a suitable replacement for Au in InP nanowire growth.

  20. Phosphorus Doped Zn 1- x Mg x O Nanowire Arrays

    KAUST Repository

    Lin, S. S.; Hong, J. I.; Song, J. H.; Zhu, Y.; He, H. P.; Xu, Z.; Wei, Y. G.; Ding, Y.; Snyder, R. L.; Wang, Z. L.

    2009-01-01

    We demonstrate the growth of phosphorus doped Zn 1-xMg xO nanowire (NW) using pulsed laser deposition. For the first time, p-type Zn 0.92Mg 0.08O:P NWs are likely obtained In reference to atomic force microscopy based piezoelectric output

  1. Selective area growth of InAs nanowires from SiO2/Si(1 1 1) templates direct-written by focused helium ion beam technology

    Science.gov (United States)

    Yang, Che-Wei; Chen, Wei-Chieh; Chou, Chieh; Lin, Hao-Hsiung

    2018-02-01

    We report on the selective area growth of InAs nanowires on patterned SiO2/Si (1 1 1) nano-holes, prepared by focused helium ion beam technology. We used a single spot mode, in which the focused helium ion beam was fixed on a single point with a He+-ion dosage, ranging from 1.5 pC to 8 pC, to drill the nano-holes. The smallest hole diameter achieved is ∼8 nm. We found that low He+-ion dosage is able to facilitate the nucleation of (1 1 1)B InAs on the highly mismatched Si, leading to the vertical growth of InAs nanowires (NWs). High He-ion dosage, on the contrary, severely damaged Si surface, resulting in tilted and stripe-like NWs. In addition to titled NW grown from (1 1 1)A InAs domain, a new titled growth direction due to defect induced twinning was observed. Cross-sectional TEM images of vertical NWs show mixed wurtizite (WZ) and zincblende (ZB) phases, while WZ phase dominants. The stacking faults resulting from the phase change is proportional to NW diameter, suggesting that the critical diameter of phase turning is larger than 110 nm, the maximum diameter of our NWs. Period of misfit dislocation at the InAs/Si interface of vertical NW is also found larger than the theoretical value when the diameter of heterointerface is smaller than 50 nm, indicating that the small contact area is able to accommodate the large lattice and thermal mismatch between InAs and Si.

  2. Selective-area vapour-liquid-solid growth of InP nanowires

    International Nuclear Information System (INIS)

    Dalacu, Dan; Kam, Alicia; Guy Austing, D; Wu Xiaohua; Lapointe, Jean; Aers, Geof C; Poole, Philip J

    2009-01-01

    A comparison is made between the conventional non-selective vapour-liquid-solid growth of InP nanowires and a novel selective-area growth process where the Au-seeded InP nanowires grow exclusively in the openings of a SiO 2 mask on an InP substrate. This new process allows the precise positioning and diameter control of the nanowires required for future advanced device fabrication. The growth temperature range is found to be extended for the selective-area growth technique due to removal of the competition between material incorporation at the Au/nanowire interface and the substrate. A model describing the growth mechanism is presented which successfully accounts for the nanoparticle size-dependent and time-dependent growth rate. The dominant indium collection process is found to be the scattering of the group III source material from the SiO 2 mask and subsequent capture by the nanowire, a process that had previously been ignored for selective-area growth by chemical beam epitaxy.

  3. Selective-area vapour-liquid-solid growth of InP nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Dalacu, Dan; Kam, Alicia; Guy Austing, D; Wu Xiaohua; Lapointe, Jean; Aers, Geof C; Poole, Philip J, E-mail: dan.dalacu@nrc-cnrc.gc.c [Institute for Microstructural Sciences, National Research Council of Canada, Ottawa, K1A 0R6 (Canada)

    2009-09-30

    A comparison is made between the conventional non-selective vapour-liquid-solid growth of InP nanowires and a novel selective-area growth process where the Au-seeded InP nanowires grow exclusively in the openings of a SiO{sub 2} mask on an InP substrate. This new process allows the precise positioning and diameter control of the nanowires required for future advanced device fabrication. The growth temperature range is found to be extended for the selective-area growth technique due to removal of the competition between material incorporation at the Au/nanowire interface and the substrate. A model describing the growth mechanism is presented which successfully accounts for the nanoparticle size-dependent and time-dependent growth rate. The dominant indium collection process is found to be the scattering of the group III source material from the SiO{sub 2} mask and subsequent capture by the nanowire, a process that had previously been ignored for selective-area growth by chemical beam epitaxy.

  4. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    Science.gov (United States)

    Calahorra, Yonatan; Kerlich, Alexander; Amram, Dor; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan

    2016-04-01

    Catalyst assisted vapour-liquid-solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120-450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2-3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology.

  5. Tracking Ultrafast Carrier Dynamics in Single Semiconductor Nanowire Heterostructures

    Directory of Open Access Journals (Sweden)

    Taylor A.J.

    2013-03-01

    Full Text Available An understanding of non-equilibrium carrier dynamics in silicon (Si nanowires (NWs and NW heterostructures is very important due to their many nanophotonic and nanoelectronics applications. Here, we describe the first measurements of ultrafast carrier dynamics and diffusion in single heterostructured Si nanowires, obtained using ultrafast optical microscopy. By isolating individual nanowires, we avoid complications resulting from the broad size and alignment distribution in nanowire ensembles, allowing us to directly probe ultrafast carrier dynamics in these quasi-one-dimensional systems. Spatially-resolved pump-probe spectroscopy demonstrates the influence of surface-mediated mechanisms on carrier dynamics in a single NW, while polarization-resolved femtosecond pump-probe spectroscopy reveals a clear anisotropy in carrier lifetimes measured parallel and perpendicular to the NW axis, due to density-dependent Auger recombination. Furthermore, separating the pump and probe spots along the NW axis enabled us to track space and time dependent carrier diffusion in radial and axial NW heterostructures. These results enable us to reveal the influence of radial and axial interfaces on carrier dynamics and charge transport in these quasi-one-dimensional nanosystems, which can then be used to tailor carrier relaxation in a single nanowire heterostructure for a given application.

  6. Flexible powder electroluminescent device on silver nanowire electrode

    International Nuclear Information System (INIS)

    Park, K.W.; Jeong, H.S.; Park, J.H.; Deressa, G.; Jeong, Y.T.; Lim, K.T.; Park, J.H.; Lee, S.H.; Kim, J.S.

    2015-01-01

    We have demonstrated the flexible AC powder electroluminescent device based on Ag nanowire electrode. The Ag nanowire electrode showed the nanowire morphology of 20 nm in diameter and 15 μm in length, the transmittance of 87%, and the sheet resistance of 50 Ω/sq, and the higher flexibility than the conventional ITO substrate. The electroluminescence spectra of the Ag nanowire-based device in all frequency and voltage ranges were almost similar with the ITO-based device. In comparison with the ITO-based device, the luminous efficiency of the Ag nanowire-based device was almost same as 1.53 lm/W. - Highlights: • Flexibility of Ag NW substrate was higher than ITO substrate. • EL intensity of Ag NW-based EL device was almost similar with ITO-based EL device. • Charge density and turn-on voltage of Ag NW-based EL device were a little larger than ITO-based EL device

  7. Flexible powder electroluminescent device on silver nanowire electrode

    Energy Technology Data Exchange (ETDEWEB)

    Park, K.W.; Jeong, H.S.; Park, J.H.; Deressa, G.; Jeong, Y.T.; Lim, K.T. [Department of Display Science and Engineering, Pukyong National University, Busan 608-737 (Korea, Republic of); Park, J.H. [AIDEN company, Cheongju-si 361-911 (Korea, Republic of); Lee, S.H. [R& D Business Lab, Hyosung Corporation, Anyang 431-080 (Korea, Republic of); Kim, J.S., E-mail: jsukim@pknu.ac.kr [Department of Display Science and Engineering, Pukyong National University, Busan 608-737 (Korea, Republic of)

    2015-09-15

    We have demonstrated the flexible AC powder electroluminescent device based on Ag nanowire electrode. The Ag nanowire electrode showed the nanowire morphology of 20 nm in diameter and 15 μm in length, the transmittance of 87%, and the sheet resistance of 50 Ω/sq, and the higher flexibility than the conventional ITO substrate. The electroluminescence spectra of the Ag nanowire-based device in all frequency and voltage ranges were almost similar with the ITO-based device. In comparison with the ITO-based device, the luminous efficiency of the Ag nanowire-based device was almost same as 1.53 lm/W. - Highlights: • Flexibility of Ag NW substrate was higher than ITO substrate. • EL intensity of Ag NW-based EL device was almost similar with ITO-based EL device. • Charge density and turn-on voltage of Ag NW-based EL device were a little larger than ITO-based EL device.

  8. Nanowire NMOS Logic Inverter Characterization.

    Science.gov (United States)

    Hashim, Yasir

    2016-06-01

    This study is the first to demonstrate characteristics optimization of nanowire N-Channel Metal Oxide Semiconductor (NW-MOS) logic inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. A computer-based model used to produce static characteristics of NW-NMOS logic inverter. In this research two circuit configuration of NW-NMOS inverter was studied, in first NW-NMOS circuit, the noise margin for (low input-high output) condition was very low. For second NMOS circuit gives excellent noise margins, and results indicate that optimization depends on applied voltage to the inverter. Increasing gate to source voltage with (2/1) nanowires ratio results better noise margins. Increasing of applied DC load transistor voltage tends to increasing in decreasing noise margins; decreasing this voltage will improve noise margins significantly.

  9. Effect of Growth Parameters on SnO2 Nanowires Growth by Electron Beam Evaporation Method

    Science.gov (United States)

    Rakesh Kumar, R.; Manjula, Y.; Narasimha Rao, K.

    2018-02-01

    Tin oxide (SnO2) nanowires were synthesized via catalyst assisted VLS growth mechanism by the electron beam evaporation method at a growth temperature of 450 °C. The effects of growth parameters such as evaporation rate of Tin, catalyst film thickness, and different types of substrates on the growth of SnO2 nanowires were studied. Nanowires (NWs) growth was completely seized at higher tin evaporation rates due to the inability of the catalyst particle to initiate the NWs growth. Nanowires diameters were able to tune with catalyst film thickness. Nanowires growth was completely absent at higher catalyst film thickness due to agglomeration of the catalyst film. Optimum growth parameters for SnO2 NWs were presented. Nanocomposites such as Zinc oxide - SnO2, Graphene oxide sheets- SnO2 and Graphene nanosheets-SnO2 were able to synthesize at a lower substrate temperature of 450 °C. These nanocompsoites will be useful in enhancing the capacity of Li-ion batteries, the gas sensing response and also useful in increasing the photo catalytic activity.

  10. X-ray characterization of Au-free grown GaAs nanowires on Si

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2011-07-01

    Semiconductor nanowires (NW) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. However, many details of the growth mechanism are not well understood. In this contribution we present a x-ray diffraction study of the early stage of Au-free GaAs nanowire growth on Si(111)-substrates with native oxide using the nano-focus setup available at the ID1 beamline of ESRF. The GaAs NWs were grown by molecular beam epitaxy (MBE), and their formation was induced by Ga droplets. Using a nanometer-sized x-ray beam, size and lattice parameters of individual wires were measured separately. Using asymmetric x-ray diffraction on particular zinc-blende (ZB) and wurtzite (W) sensitive reflections, we show that under the used conditions the NW growth starts with predominantly WZ phases and continues mainly in ZB phase. In addition we can show that the WZ segments of the NWs exhibit a different vertical lattice parameter compared to the zinc-blende segments. A combination of x-ray diffraction from single wires and grazing incidence diffraction shows that the base of the NW is compressively strained along the inplane direction. This strain is released within 20 nm from the substrate-interface.

  11. UV irradiation assisted growth of ZnO nanowires on optical fiber surface

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Bo; Shi, Tielin; Liao, Guanglan; Li, Xiaoping; Huang, Jie; Zhou, Temgyuan; Tang, Zirong, E-mail: zirong@mail.hust.edu.cn

    2017-06-01

    Highlights: • A new fabrication process combined a hydrothermal process with UV irradiation from optical fiber is developed. • The growth of ZnO nanowires is efficient in the utilization of UV light. • A novel hybrid structure which integrates ZnO nanowires on optical fiber surface is synthesized. • The UV assisted growth of ZnO nanowires shows preferred orientation and better quality. • A mechanism of growing ZnO nanowires under UV irradiation is proposed. - Abstract: In this paper, a novel approach was developed for the enhanced growth of ZnO nanowires on optical fiber surface. The method combined a hydrothermal process with the efficient UV irradiation from the fiber core, and the effects of UV irradiation on the growth behavior of ZnO nanowires were investigated. The results show that UV irradiation had great effects on the preferred growth orientation and the quality of the ZnO nanowires. The crystallization velocity along the c-axis would increase rapidly with the increase of the irradiation power, while the growth process in the lateral direction was marginally affected by the irradiation. The structure of ZnO nanowires also shows less oxygen vacancy with UV irradiation of higher power. The developed approach is applicable for the efficient growth of nanowires on the fiber surface, and the ZnO nanowires/optical fiber hybrid structures have great potentials for a wide variety of applications such as optical fiber sensors and probes.

  12. Electrical limit of silver nanowire electrodes: Direct measurement of the nanowire junction resistance

    KAUST Repository

    Selzer, Franz; Floresca, Carlo; Kneppe, David; Bormann, Ludwig; Sachse, Christoph; Weiß , Nelli; Eychmü ller, Alexander; Amassian, Aram; Mü ller-Meskamp, Lars; Leo, Karl

    2016-01-01

    We measure basic network parameters of silvernanowire (AgNW) networks commonly used as transparent conductingelectrodes in organic optoelectronic devices. By means of four point probing with nanoprobes, the wire-to-wire junction resistance and the resistance of single nanowires are measured. The resistanceRNW of a single nanowire shows a value of RNW=(4.96±0.18) Ω/μm. The junction resistanceRJ differs for annealed and non-annealed NW networks, exhibiting values of RJ=(25.2±1.9) Ω (annealed) and RJ=(529±239) Ω (non-annealed), respectively. Our simulation achieves a good agreement between the measured network parameters and the sheet resistanceRS of the entire network. Extrapolating RJ to zero, our study show that we are close to the electrical limit of the conductivity of our AgNW system: We obtain a possible RS reduction by only ≈20% (common RS≈10 Ω/sq). Therefore, we expect further performance improvements in AgNW systems mainly by increasing NW length or by utilizing novel network geometries.

  13. Electrical limit of silver nanowire electrodes: Direct measurement of the nanowire junction resistance

    KAUST Repository

    Selzer, Franz

    2016-04-19

    We measure basic network parameters of silvernanowire (AgNW) networks commonly used as transparent conductingelectrodes in organic optoelectronic devices. By means of four point probing with nanoprobes, the wire-to-wire junction resistance and the resistance of single nanowires are measured. The resistanceRNW of a single nanowire shows a value of RNW=(4.96±0.18) Ω/μm. The junction resistanceRJ differs for annealed and non-annealed NW networks, exhibiting values of RJ=(25.2±1.9) Ω (annealed) and RJ=(529±239) Ω (non-annealed), respectively. Our simulation achieves a good agreement between the measured network parameters and the sheet resistanceRS of the entire network. Extrapolating RJ to zero, our study show that we are close to the electrical limit of the conductivity of our AgNW system: We obtain a possible RS reduction by only ≈20% (common RS≈10 Ω/sq). Therefore, we expect further performance improvements in AgNW systems mainly by increasing NW length or by utilizing novel network geometries.

  14. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  15. Effect of Silicon Nanowire on Crystalline Silicon Solar Cell Characteristics

    OpenAIRE

    Zahra Ostadmahmoodi Do; Tahereh Fanaei Sheikholeslami; Hassan Azarkish

    2016-01-01

    Nanowires (NWs) are recently used in several sensor or actuator devices to improve their ordered characteristics. Silicon nanowire (Si NW) is one of the most attractive one-dimensional nanostructures semiconductors because of its unique electrical and optical properties. In this paper, silicon nanowire (Si NW), is synthesized and characterized for application in photovoltaic device. Si NWs are prepared using wet chemical etching method which is commonly used as a simple and low cost method fo...

  16. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    International Nuclear Information System (INIS)

    Calahorra, Yonatan; Kerlich, Alexander; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan; Amram, Dor

    2016-01-01

    Catalyst assisted vapour-liquid–solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120–450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2–3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology. (paper)

  17. Growth mechanism of silver nanowires synthesized by polyvinylpyrrolidone-assisted polyol reduction

    International Nuclear Information System (INIS)

    Gao Yan; Jiang Peng; Song Li; Liu Lifeng; Yan Xiaoqin; Zhou Zhenping; Liu Dongfang; Wang Jianxiong; Yuan Huajun; Zhang Zengxing; Zhao Xiaowei; Dou Xinyuan; Zhou Weiya; Wang Gang; Xie Sishen

    2005-01-01

    Silver (Ag) nanowires with a pentagonal cross section have been synthesized by polyvinylpyrrolidone (PVP)-assisted polyol reduction in the presence of Pt nanoparticle seeds. The UV-visible absorption spectra and scanning electron microscopy have been used to trace the growth process of the Ag nanowires. X-ray photoelectron spectroscopy investigation further shows that the PVP molecules are adsorbed on the surface of the Ag nanowires through Ag : O coordination. Comparing with the growth process of Ag nanoparticles, a possible growth mechanism of the Ag nanowires has been proposed. It is implied that the PVP molecules are used as both a protecting agent and a structure-directing agent for the growth of Ag nanowires. It is concluded that the five-fold twinning Ag nanoparticles are formed through heterogenous nucleation after the introduction of Pt nanoparticle seeds and then grow anisotropically along the (110) direction, while the growth along (100) is relatively depressed

  18. Selective growth of Ge nanowires by low-temperature thermal evaporation.

    Science.gov (United States)

    Sutter, Eli; Ozturk, Birol; Sutter, Peter

    2008-10-29

    High-quality single-crystalline Ge nanowires with electrical properties comparable to those of bulk Ge have been synthesized by vapor-liquid-solid growth using Au growth seeds on SiO(2)/Si(100) substrates and evaporation from solid Ge powder in a low-temperature process at crucible temperatures down to 700 °C. High nanowire growth rates at these low source temperatures have been identified as being due to sublimation of GeO from substantial amounts of GeO(2) on the powder. The Ge nanowire synthesis from GeO is highly selective at our substrate temperatures (420-500 °C), i.e., occurs only on Au vapor-liquid-solid growth seeds. For growth of nanowires of 10-20 µm length on Au particles, an upper bound of 0.5 nm Ge deposition was determined in areas of bare SiO(2)/Si substrate without Au nanoparticles.

  19. Plateau-Rayleigh Crystal Growth of Nanowire Heterostructures: Strain-Modified Surface Chemistry and Morphological Control in One, Two, and Three Dimensions.

    Science.gov (United States)

    Day, Robert W; Mankin, Max N; Lieber, Charles M

    2016-04-13

    One-dimensional (1D) structures offer unique opportunities for materials synthesis since crystal phases and morphologies that are difficult or impossible to achieve in macroscopic crystals can be synthesized as 1D nanowires (NWs). Recently, we demonstrated one such phenomenon unique to growth on a 1D substrate, termed Plateau-Rayleigh (P-R) crystal growth, where periodic shells develop along a NW core to form diameter-modulated NW homostructures with tunable morphologies. Here we report a novel extension of the P-R crystal growth concept with the synthesis of heterostructures in which Ge (Si) is deposited on Si (Ge) 1D cores to generate complex NW morphologies in 1, 2, or 3D. Depositing Ge on 50 nm Si cores with a constant GeH4 pressure yields a single set of periodic shells, while sequential variation of GeH4 pressure can yield multimodulated 1D NWs with two distinct sets of shell periodicities. P-R crystal growth on 30 nm cores also produces 2D loop structures, where Ge (Si) shells lie primarily on the outside (inside) of a highly curved Si (Ge) core. Systematic investigation of shell morphology as a function of growth time indicates that Ge shells grow in length along positive curvature Si cores faster than along straight Si cores by an order of magnitude. Short Ge deposition times reveal that shells develop on opposite sides of 50 and 100 nm Si cores to form straight 1D morphologies but that shells develop on the same side of 20 nm cores to produce 2D loop and 3D spring structures. These results suggest that strain mediates the formation of 2 and 3D morphologies by altering the NW's surface chemistry and that surface diffusion of heteroatoms on flexible freestanding 1D substrates can facilitate this strain-mediated mechanism.

  20. Growth and applicability of radiation-responsive silica nanowires

    Science.gov (United States)

    Bettge, Martin

    Surface energetics play an important role in processes on the nanoscale. Nanowire growth via vapor-liquid-solid (VLS) mechanism is no exception in this regard. Interfacial and line energies are found to impose some fundamental limits during three-phase nanowire growth and lead to formation of stranded nanowires with fascinating characteristics such as high responsiveness towards ion irradiation. By using two materials with a relatively low surface energy (indium and silicon oxide) this is experimentally and theoretically demonstrated in this doctoral thesis. The augmentation of VLS nanowire growth with ion bombardment enables fabrication of vertically aligned silica nanowires over large areas. Synthesis of their arrays begins with a thin indium film deposited on a Si or SiO 2 surface. At temperatures below 200ºC, the indium film becomes a self-organized seed layer of molten droplets, receiving a flux of atomic silicon by DC magnetron sputtering. Simultaneous vigorous ion bombardment through substrate biasing aligns the growing nanowires vertically and expedites mixing of oxygen and silicon into the indium. The vertical growth rate can reach up to 1000 nm-min-1 in an environment containing only argon and traces of water vapor. Silicon oxide precipitates from each indium seed in the form of multiple thin strands having diameters less than 9 nm and practically independent of droplet size. The strands form a single loose bundle, eventually consolidating to form one vertically aligned nanowire. These observations are in stark contrast to conventional VLS growth in which one liquid droplet precipitates a single solid nanowire and in which the precipitated wire diameter is directly proportional to the droplet diameter. The origin of these differences is revealed through a detailed force balance analysis, analogous to Young's relation, at the three-phase line. The liquid-solid interfacial energy of indium/silica is found to be the largest energy contribution at the three

  1. Performance enhancement of metal nanowire-based transparent electrodes by electrically driven nanoscale nucleation of metal oxides

    Science.gov (United States)

    Shiau, Yu-Jeng; Chiang, Kai-Ming; Lin, Hao-Wu

    2015-07-01

    Solution-processed silver nanowire (AgNW) electrodes have been considered to be promising materials for next-generation flexible transparent conductive electrodes. Despite the fact that a single AgNW has extremely high conductivities, the high junction resistance between nanowires limits the performance of the AgNW matrix. Therefore, post-treatments are usually required to approach better NW-NW contact. Herein, we report a novel linking method that uses joule heating to accumulate sol-gel ZnO near nanowire junctions. The nanoscale ZnO nucleation successfully restrained the thermal instability of the AgNW under current injection and acted as an efficient tightening medium to realize good NW-NW contacts. A low process temperature (PET and PEN, feasible. The optimized AgNW transparent conductive electrodes (TCE) fabricated using this promising linking method exhibited a low sheet resistance (13 Ω sq-1), a high transmission (92% at 550 nm), a high figure of merit (FOM; up to σDC/σOp = 340) and can be applied to wide range of next-generation flexible optoelectronic devices.Solution-processed silver nanowire (AgNW) electrodes have been considered to be promising materials for next-generation flexible transparent conductive electrodes. Despite the fact that a single AgNW has extremely high conductivities, the high junction resistance between nanowires limits the performance of the AgNW matrix. Therefore, post-treatments are usually required to approach better NW-NW contact. Herein, we report a novel linking method that uses joule heating to accumulate sol-gel ZnO near nanowire junctions. The nanoscale ZnO nucleation successfully restrained the thermal instability of the AgNW under current injection and acted as an efficient tightening medium to realize good NW-NW contacts. A low process temperature (PET and PEN, feasible. The optimized AgNW transparent conductive electrodes (TCE) fabricated using this promising linking method exhibited a low sheet resistance (13 Ω sq

  2. Transfer-free synthesis of highly ordered Ge nanowire arrays on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nakata, M.; Toko, K., E-mail: toko@bk.tsukuba.ac.jp; Suemasu, T. [Institute of Applied Physics, University of Tsukuba, 1-1-1 Tennodai, Tsukuba, Ibaraki 305-8573 (Japan); Jevasuwan, W.; Fukata, N. [National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan); Saitoh, N.; Yoshizawa, N. [Electron Microscope Facility, TIA, AIST, 16-1 Onogawa, Tsukuba 305-8569 (Japan)

    2015-09-28

    Vertically aligned Ge nanowires (NWs) are directly synthesized on glass via vapor-liquid-solid (VLS) growth using chemical-vapor deposition. The use of the (111)-oriented Ge seed layer, formed by metal-induced crystallization at 325 °C, dramatically improved the density, uniformity, and crystal quality of Ge NWs. In particular, the VLS growth at 400 °C allowed us to simultaneously achieve the ordered morphology and high crystal quality of the Ge NW array. Transmission electron microscopy demonstrated that the resulting Ge NWs had no dislocations or stacking faults. Production of high-quality NW arrays on amorphous insulators will promote the widespread application of nanoscale devices.

  3. Growth and Raman spectroscopy studies of gold-free catalyzed semiconductor nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Zardo, Ilaria

    2010-12-15

    The present Ph.D. thesis proposes two aims: the search for catalysts alternative to gold for the growth of silicon nanowires and the investigation of the structural properties of the gold-free catalyzed Si, Ge, and GaAs nanowires. The successful growth of gold free catalyzed silicon nanowires was obtained using Ga and In as catalyst. Hydrogen plasma conditions were needed during the growth process. We proposed a growth mechanism where the role of the hydrogen plasma is taken into account. The influence of the growth conditions on nanowire growth morphology and structural properties was investigated in detail. The TEM studies showed the occurrence of different kind of twin defects depending on the nanowire growth direction. The intersection of twins in different spatial directions in <111>-oriented nanowires or the periodicity of highly dense twins in <112>-oriented nanowires leads to the formation of hexagonal domains embedded in the diamond silicon structure. A simple crystallographic model which illustrates the formation of the hexagonal phase was proposed. The presence of the hexagonal domains embedded in the diamond silicon structure was investigated also by means of Raman spectroscopy. The measured frequencies of the E2g and A1g modes were found to be in agreement with frequencies expected from phonon dispersion folding. An estimation of the percentage of hexagonal structure with respect to the cubic structure was given. The relative percentage of the two structures was found to change with growth temperature. Spatially resolved Raman scattering experiments were also realized on single Si nanowires. The lattice dynamics of gold-free catalyzed Ge and GaAs nanowires was studied by means of Raman spectroscopy. We performed spatially resolved Raman spectroscopy experiments on single crystalline- amorphous core-shell Ge nanowires. The correlation with TEM studies on nanowires grown under the same conditions and with AFM measurements realized of the same nanowires

  4. Auger Recombination in III-Nitride Nanowires and Its Effect on Nanowire Light-Emitting Diode Characteristics

    KAUST Repository

    Guo, Wei; Zhang, Meng; Bhattacharya, Pallab; Heo, Junseok

    2011-01-01

    We have measured the Auger recombination coefficients in defect-free InGaN nanowires (NW) and InGaN/GaN dot-in-nanowire (DNW) samples grown on (001) silicon by plasma-assisted molecular beam epitaxy. The nanowires have a density of ∼1×1011 cm-2 and exhibit photoluminescence emission peak at λ ∼ 500 nm. The Auger coefficients as a function of excitation power have been derived from excitation dependent and time-resolved photoluminescence measurements over a wide range of optical excitation power density. The values of C0, defined as the Auger coefficient at low excitation, are 6.1 × 10-32 and 4.1×10-33 cm6·s-1 in the NW and DNW samples, respectively, which are in reasonably good agreement with theoretical predictions for InGaN alloy semiconductors. Light-emitting diodes made with the NW and DNW samples exhibit no efficiency droop up to an injection current density of 400 A/cm 2. © 2011 American Chemical Society.

  5. Auger Recombination in III-Nitride Nanowires and Its Effect on Nanowire Light-Emitting Diode Characteristics

    KAUST Repository

    Guo, Wei

    2011-04-13

    We have measured the Auger recombination coefficients in defect-free InGaN nanowires (NW) and InGaN/GaN dot-in-nanowire (DNW) samples grown on (001) silicon by plasma-assisted molecular beam epitaxy. The nanowires have a density of ∼1×1011 cm-2 and exhibit photoluminescence emission peak at λ ∼ 500 nm. The Auger coefficients as a function of excitation power have been derived from excitation dependent and time-resolved photoluminescence measurements over a wide range of optical excitation power density. The values of C0, defined as the Auger coefficient at low excitation, are 6.1 × 10-32 and 4.1×10-33 cm6·s-1 in the NW and DNW samples, respectively, which are in reasonably good agreement with theoretical predictions for InGaN alloy semiconductors. Light-emitting diodes made with the NW and DNW samples exhibit no efficiency droop up to an injection current density of 400 A/cm 2. © 2011 American Chemical Society.

  6. ON current enhancement of nanowire Schottky barrier tunnel field effect transistors

    Science.gov (United States)

    Takei, Kohei; Hashimoto, Shuichiro; Sun, Jing; Zhang, Xu; Asada, Shuhei; Xu, Taiyu; Matsukawa, Takashi; Masahara, Meishoku; Watanabe, Takanobu

    2016-04-01

    Silicon nanowire Schottky barrier tunnel field effect transistors (NW-SBTFETs) are promising structures for high performance devices. In this study, we fabricated NW-SBTFETs to investigate the effect of nanowire structure on the device characteristics. The NW-SBTFETs were operated with a backgate bias, and the experimental results demonstrate that the ON current density is enhanced by narrowing the width of the nanowire. We confirmed using the Fowler-Nordheim plot that the drain current in the ON state mainly comprises the quantum tunneling component through the Schottky barrier. Comparison with a technology computer aided design (TCAD) simulation revealed that the enhancement is attributed to the electric field concentration at the corners of cross-section of the NW. The study findings suggest an effective approach to securing the ON current by Schottky barrier width modulation.

  7. Study of the thermal conductivity of ZnO nanowires/PMMA composites

    International Nuclear Information System (INIS)

    Igamberdiev, Kh. T.; Yuldashev, Sh. U.; Cho, H. D.; Kang, T. W.; Rakhimova, Sh. M.; Akhmedov, T. Kh.

    2012-01-01

    From thermal conductivity measurements on ZnO nanowires (NWs)/poly(methyl methacrylate) PMMA composites, the thermal conductivities of the ZnO nanowires were determined. The thermal conductivity of a ZnO NW decreases considerably with decreasing nanowire diameter, and for a ZnO nanowire with a diameter of 250 nm, the thermal conductivity at room temperature is approximately two times lower than that of bulk ZnO at the same temperature. The results of this study show that the thermal conductivity of a ZnO NW is mainly determined by increased phonon-surface boundary scattering. These results could be useful for the design of ZnO-nanowire-based devices.

  8. Growth and photoluminescence of vertically aligned ZnO nanowires/nanowalls

    Energy Technology Data Exchange (ETDEWEB)

    Fang Fang; Zhao Dongxu; Li Binghui; Zhang Zhenzhong; Zhang Jiying; Shen Dezhen, E-mail: dxzhao2000@yahoo.com.c [Key Laboratory of Excited State Processes, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 16 East Nan-Hu Road, Open Economic Zone Changchun 130033 (China)

    2009-07-07

    By controlling the incoming gas flow, vertically aligned ZnO nanowires and nanowalls have been successfully synthesized on a Si (1 0 0) substrate by the simple physical vapour deposition method. The growth process of the ZnO nanowalls was observed by adjusting the growth time. The probable growth mechanisms of the ZnO nanowires and nanowalls were discussed in detail. In contrast to the photoluminescence results of nanowires, an enhancement of the LO phonon signal was observed in ZnO nanowalls, which was attributed to an additional channel of electron-phonon coupling induced by the residual strains in the ZnO nanowalls during the coalescence growth process.

  9. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  10. Indium Arsenide Nanowires

    DEFF Research Database (Denmark)

    Madsen, Morten Hannibal

    -ray diffraction. InAs NWs can be used in a broad range of applications, including detectors, high speed electronics and low temperature transport measurements, but in this thesis focus will be put on biological experiments on living cells. Good control of Au-assisted InAs NW growth has been achieved......This thesis is about growth of Au-assisted and self-assisted InAs nanowires (NWs). The wires are synthesized using a solid source molecular beam epitaxy (MBE) system and characterized with several techniques including scanning electron microscopy (SEM), transmission electron microscopy (TEM) and x...... by a systematic study to optimize the growth conditions; first the Au deposition, then the growth temperature and finally the beam fluxes. For further control of the growth, Au droplets have been positioned with electron beam lithography and large scale arrays with a > 99 % yield have been made on 2 inch...

  11. Fabrication and micro-photoluminescence property of CdSe/CdS core/shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Dai, Guozhang; Gou, Guangyang; Wu, Zeming; Chen, Yu; Li, Hongjian [Central South University, Hunan Key Laboratory for Super-microstructure and Ultrafast Process, School of Physics and Electronics, Changsha, Hunan (China); Wan, Qiang [Hunan University, School of Physics and Electronics, Changsha (China); Zou, Bingsuo [Beijing Institute of Technology, Beijing Key Lab of Nanophotonics and Ultrafine Optoelectronic Systems, School of Physics, Beijing (China)

    2015-04-01

    Hetero-epitaxial CdSe/CdS core/shell nanowires (NWs) were prepared by a source-controllable chemical vapor deposition method. A two-stage growth mechanism was proposed to the growth process of the core/shell NWs. Micro-photoluminescence (μ-PL) property of individual NW was studied by a confocal microscopy system. The pure CdSe NW emits a red light with peak at 712.3 nm, which is inconsistent with the CdSe band-edge emission. The CdSe/CdS core/shell NW emits two apparent peaks, one is an intensive red emission peak centered at 715.2 nm and the other is a weak green emission peak located at 516.2 nm. The room temperature μ-PL spectrum shows that the PL intensity of CdSe NW was evidently promoted by coating the CdS shell, and this is because CdS improves the surface state optimizing the energy band structure of CdSe NW. The as-synthesized CdSe/CdS core/shell NW has more efficient PL quantum yields than pure CdSe NW and may find potential applications in nanoscale photonic devices. (orig.)

  12. Fabrication and micro-photoluminescence property of CdSe/CdS core/shell nanowires

    International Nuclear Information System (INIS)

    Dai, Guozhang; Gou, Guangyang; Wu, Zeming; Chen, Yu; Li, Hongjian; Wan, Qiang; Zou, Bingsuo

    2015-01-01

    Hetero-epitaxial CdSe/CdS core/shell nanowires (NWs) were prepared by a source-controllable chemical vapor deposition method. A two-stage growth mechanism was proposed to the growth process of the core/shell NWs. Micro-photoluminescence (μ-PL) property of individual NW was studied by a confocal microscopy system. The pure CdSe NW emits a red light with peak at 712.3 nm, which is inconsistent with the CdSe band-edge emission. The CdSe/CdS core/shell NW emits two apparent peaks, one is an intensive red emission peak centered at 715.2 nm and the other is a weak green emission peak located at 516.2 nm. The room temperature μ-PL spectrum shows that the PL intensity of CdSe NW was evidently promoted by coating the CdS shell, and this is because CdS improves the surface state optimizing the energy band structure of CdSe NW. The as-synthesized CdSe/CdS core/shell NW has more efficient PL quantum yields than pure CdSe NW and may find potential applications in nanoscale photonic devices. (orig.)

  13. Understanding the vapor-liquid-solid growth and composition of ternary III-V nanowires and nanowire heterostructures

    Science.gov (United States)

    Dubrovskii, V. G.

    2017-11-01

    Based on the recent achievements in vapor-liquid-solid (VLS) synthesis, characterization and modeling of ternary III-V nanowires and axial heterostructures within such nanowires, we try to understand the major trends in their compositional evolution from a general theoretical perspective. Clearly, the VLS growth of ternary materials is much more complex than in standard vapor-solid epitaxy techniques, and even maintaining the necessary control over the composition of steady-state ternary nanowires is far from straightforward. On the other hand, VLS nanowires offer otherwise unattainable material combinations without introducing structural defects and hence are very promising for next-generation optoelectronic devices, in particular those integrated with a silicon electronic platform. In this review, we consider two main problems. First, we show how and by means of which parameters the steady-state composition of Au-catalyzed or self-catalyzed ternary III-V nanowires can be tuned to a desired value and why it is generally different from the vapor composition. Second, we present some experimental data and modeling results for the interfacial abruptness across axial nanowire heterostructures, both in Au-catalyzed and self-catalyzed VLS growth methods. Refined modeling allows us to formulate some general growth recipes for suppressing the unwanted reservoir effect in the droplet and sharpening the nanowire heterojunctions. We consider and refine two approaches developed to date, namely the regular crystallization model for a liquid alloy with a critical size of only one III-V pair at high supersaturations or classical binary nucleation theory with a macroscopic critical nucleus at modest supersaturations.

  14. An analysis of the growth of silver catalyzed In{sub x}Ga{sub 1−x}As nanowires on Si (100) by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sarkar, K.; Banerji, P., E-mail: pallab@matsc.iitkgp.ernet.in [Materials Science Centre, Indian Institute of Technology, Kharagpur 721302 (India); Palit, M.; Chattopadhyay, S. [Centre for Research in Nanoscience and Nanotechnology, University of Calcutta, Kolkata 700098 (India)

    2016-08-28

    A model is proposed here to understand the nucleation of III–V semiconductor nanowires (NW). Whereas the classical nucleation theory is not adequately sufficient in explaining the evolution of the shape of the NWs under different chemical environment such as flow rate or partial pressure of the precursors, the effect of adsorption and desorption mediated growth, and diffusion limited growth are taken into account to explain the morphology and the crystal structure of In{sub x}Ga{sub 1−x}As nanowires (NW) on Silicon (100) substrates grown by a metalorganic chemical vapor deposition technique. It is found that the monolayer nucleus that originates at the triple phase line covers the entire nucleus-substrate (NS) region at a specific level of supersaturation and there are cases when the monolayer covers a certain fraction of the NS interface. When the monolayer covers the total NS interface, NWs grow with perfect cylindrical morphology and whenever a fraction of the interface is covered by the nucleus, the NWs become curved as observed from high resolution transmission electron microscopy images. The supersaturation, i.e., the chemical potential is found to be governed by the concentration of precursors into the molten silver which in the present case is taken as a catalyst. Our study provides new insights into the growth of ternary NWs which will be helpful in understanding the behavior of growth of different semiconducting NWs.

  15. Controlled growth of single nanowires within a supported alumina template

    DEFF Research Database (Denmark)

    Vlad, A.; Mátéfi-Tempfli, M.; Faniel, S.

    2006-01-01

    A simple technique for fabricating single nanowires with well-defined position is presented. The process implies the use of a silicon nitride mask for selective electrochemical growth of the nanowires in a porous alumina template. We show that this method allows the realization of complex nanowire...

  16. Ab initio vibrations in nonequilibrium nanowires

    DEFF Research Database (Denmark)

    Jauho, Antti-Pekka; Engelund, Mads; Markussen, T

    2010-01-01

    We review recent results on electronic and thermal transport in two different quasi one-dimensional systems: Silicon nanowires (SiNW) and atomic gold chains. For SiNW's we compute the ballistic electronic and thermal transport properties on equal footing, allowing us to make quantitative predicti...

  17. Strain in GaAs / InAs core-shell nanowire heterostructures grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Rieger, Torsten; Lepsa, Mihail Ion [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires (NWs) has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. Compared to planar heterostructures, the nanowire approach offers an advantage regarding the possibility to form heterostructures between highly lattice mismatched systems, because the free surface of the nanowires allows to relieve the strain more efficiently. One particular way to form heterostructures in the NW geometry, is the fabrication of core-shell devices, in which a NW core is surrounded by a shell of different material. The understanding of the mutual strain between core and shell, as well as the relaxation behavior of the system are crucial for the fabrication of functional devices. In this contribution we report on first X-ray diffraction measurements of GaAs-core/InAs-shell nanowires grown on GaAs(111) by molecular beam epitaxy. Using symmetric- and grazing-incidence X-ray diffraction, the relaxation state of the InAs shell as well as the strain in the GaAs core are measured as function of the InAs shell thickness, showing a gradual relaxation behavior of the shell.

  18. Growth and characterisation of group-III nitride-based nanowires for devices

    Energy Technology Data Exchange (ETDEWEB)

    Meijers, R J

    2007-08-30

    One of the main goals of this thesis was to get more insight into the mechanisms driving the growth of nitride nanowires by plasma-assisted molecular beam epitaxy (PA-MBE). The influence of the group-III and group-V flux as well as the substrate temperature T{sub sub} has been studied leading to the conclusion that the III-V ratio determines the growth mode. Ga desorption limits the temperature range to grow GaN nanowires and dissociation of InN is the limiting factor for InN nanowire growth. A reduction of the surface diffusivity on polar surfaces under N-rich conditions explains the anisotropic growth. Growth kinetics of the nanowires show that there are two important contributions to the growth. The first is growth by direct impingement and its contribution is independent of the nanowire diameter. The second contribution comes from atoms, which absorb on the substrate or wire sidewalls and diffuse along the sidewalls to the top of the wire, which acts as an effective sink for the adatoms due to a reduced surface mobility on the polar top of the wires. This diffusion channel, which is enhanced at higher T{sub sub}, becomes more significant for smaller wire diameters, because its contribution scales like 1/d. Experiments with an interruption of the growth and sharp interfaces in TEM images of heterostructures show that the suggestion in literature of a droplet-mediated PA-MBE nitride growth has to be discarded. Despite a thin amorphous silicon nitride wetting layer on the substrate surface, both GaN and InN nanowires grow in the wurtzite structure and epitaxially in a one-to-one relation to the Si(111) substrate surface. There is no evidence for cubic phases. TEM images and optical studies display a high crystalline and optical quality of GaN and InN nanowires. The substrate induces some strain in the bottom part of the nanowires, especially in InN due to the lower T{sub sub} than for GaN, which is released without the formation of dislocations. Only some stacking

  19. Water-vapor-enhanced growth of Ge-GeOx core-shell nanowires and Si1-xGexOy nanowires

    International Nuclear Information System (INIS)

    Hsu, T-J; Ko, C-Y; Lin, W-T

    2007-01-01

    The effects of moist Ar on the growth of Ge-GeO x core-shell nanowires (Ge-GeO x NWs) and Si 1-x Ge x O y nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO 2 powders at 1100 deg. C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeO x NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 deg. C in enhancing the growth of SiGeONWs and Ge-GeO x NWs, respectively. The growth mechanisms of Ge-GeO x NWs and SiGeONWs are also discussed

  20. Importance of the Debye screening length on nanowire field effect transistor sensors.

    Science.gov (United States)

    Stern, Eric; Wagner, Robin; Sigworth, Fred J; Breaker, Ronald; Fahmy, Tarek M; Reed, Mark A

    2007-11-01

    Nanowire field effect transistors (NW-FETs) can serve as ultrasensitive detectors for label-free reagents. The NW-FET sensing mechanism assumes a controlled modification in the local channel electric field created by the binding of charged molecules to the nanowire surface. Careful control of the solution Debye length is critical for unambiguous selective detection of macromolecules. Here we show the appropriate conditions under which the selective binding of macromolecules is accurately sensed with NW-FET sensors.

  1. Silicon Nanowire Field-effect Chemical Sensor

    NARCIS (Netherlands)

    Chen, S.

    2011-01-01

    This thesis describes the work that has been done on the project “Design and optimization of silicon nanowire for chemical sensing‿, including Si-NW fabrication, electrical/electrochemical modeling, the application as ISFET, and the build-up of Si- NW/LOC system for automatic sample delivery. A

  2. Structural and elastic properties of InN nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Quddus, Ehtesham B.; Wilson, Alina; Liu, Jie; Cai, Zhihua; Veereddy, Deepak; Tao, Xinyong; Li, Xiaodong; Koley, Goutam [Department of Electrical Engineering, University of South Carolina, Columbia, SC 29208 (United States); Webb, Richard A. [Department of Physics and Astronomy and USC Nanocenter, University of South Carolina, Columbia, SC 29208 (United States)

    2012-04-15

    Structural and elastic properties of InN nanowires (NWs) have been investigated. It was observed that the NWs bend spontaneously or upon meeting an obstacle in their growth path at angles that are multiples of 30 . Lithographically patterned trenches and barriers were found to influence the growth direction of the NWs, which depending on the angle of incidence, grew along the barrier or got deflected from it. Young's modulus of InN NWs, measured by three point bending method using a NW suspended across a trench, was found to be 266 GPa, which is in between the moduli of bulk and thin film InN. Overall, the InN NW properties were found to be very suitable for applications in nanoelectromechanical systems (NEMS) and sensors. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Silver Nanowire/MnO2 Nanowire Hybrid Polymer Nanocomposites: Materials with High Dielectric Permittivity and Low Dielectric Loss.

    Science.gov (United States)

    Zeraati, Ali Shayesteh; Arjmand, Mohammad; Sundararaj, Uttandaraman

    2017-04-26

    This study reports the fabrication of hybrid nanocomposites based on silver nanowire/manganese dioxide nanowire/poly(methyl methacrylate) (AgNW/MnO 2 NW/PMMA), using a solution casting technique, with outstanding dielectric permittivity and low dielectric loss. AgNW was synthesized using the hard-template technique, and MnO 2 NW was synthesized employing a hydrothermal method. The prepared AgNW:MnO 2 NW (2.0:1.0 vol %) hybrid nanocomposite showed a high dielectric permittivity (64 at 8.2 GHz) and low dielectric loss (0.31 at 8.2 GHz), which are among the best reported values in the literature in the X-band frequency range (8.2-12.4 GHz). The superior dielectric properties of the hybrid nanocomposites were attributed to (i) dimensionality match between the nanofillers, which increased their synergy, (ii) better dispersion state of AgNW in the presence of MnO 2 NW, (iii) positioning of ferroelectric MnO 2 NW in between AgNWs, which increased the dielectric permittivity of nanodielectrics, thereby increasing dielectric permittivity of the hybrid nanocomposites, (iv) barrier role of MnO 2 NW, i.e., cutting off the contact spots of AgNWs and leading to lower dielectric loss, and (v) AgNW aligned structure, which increased the effective surface area of AgNWs, as nanoelectrodes. Comparison of the dielectric properties of the developed hybrid nanocomposites with the literature highlights their great potential for flexible capacitors.

  4. Solution Grown Se/Te Nanowires: Nucleation, Evolution, and The Role of Triganol Te seeds

    Directory of Open Access Journals (Sweden)

    Shan Xudong

    2009-01-01

    Full Text Available Abstract We have studied the nucleation and growth of Se–Te nanowires (NWs, with different morphologies, grown by a chemical solution process. Through systematic characterization of the Se–Te NW morphology as a function of the Te nanocrystallines (NCs precursor, the relative ratio between Se and Te, and the growth time, a number of significant insights into Se–Te NW growth by chemical solution processes have been developed. Specifically, we have found that: (i the growth of Se–Te NWs can be initiated from either long or short triganol Te nanorods, (ii the frequency of proximal interactions between nanorod tips and the competition between Se and Te at the end of short Te nanorods results in V-shaped structures of Se–Te NWs, the ratio between Se and Te having great effect on the morphology of Se–Te NWs, (iii by using long Te nanorods as seeds, Se–Te NWs with straight morphology were obtained. Many of these findings on Se–Te NW growth can be further generalized and provide very useful information for the rational synthesis of group VI based semiconductor NW compounds.

  5. Horizontal Assembly of Single Nanowire Diode Fabricated by p-n Junction GaN NW Grown by MOCVD

    Directory of Open Access Journals (Sweden)

    Ji-Hyeon Park

    2014-01-01

    Full Text Available Uniaxially p-n junction gallium nitride nanowires have been synthesized via metal-organic chemical vapor deposition method. Nanowires prepared on Si(111 substrates were found to grow perpendicular to the substrate, and the transmission electron microscopy studies demonstrated that the nanowires had singlecrystalline structures with a growth axis. The parallel assembly of the p-n junction nanowire was prepared on a Si substrate with a thermally grown SiO2 layer. The transport studies of horizontal gallium nitride nanowire structures assembled from p- and n-type materials show that these junctions correspond to well-defined p-n junction diodes. The p-n junction devices based on GaN nanowires suspended over the electrodes were fabricated and their electrical properties were investigated. The horizontally assembled gallium nitride nanowire diodes suspended over the electrodes exhibited a substantial increase in conductance under UV light exposure. Apart from the selectivity to different light wavelengths, high responsivity and extremely short response time have also been obtained.

  6. Enhanced Response Speed of ZnO Nanowire Photodetector by Coating with Photoresist

    Directory of Open Access Journals (Sweden)

    Xing Yang

    2016-01-01

    Full Text Available Spin-coating photoresist film on ZnO nanowire (NW was introduced into the fabrication procedure to improve photoresponse and recovery speed of a ZnO NW ultraviolet photoelectric detector. A ZnO NW was first assembled on prefabricated electrodes by dielectrophoresis. Then, photoresist was spin-coated on the nanowire. Finally, a metal layer was electrodeposited on the nanowire-electrode contacts. The response properties and I-V characteristics of ZnO NW photodetector were investigated by measuring the electrical current under different conditions. Measurement results demonstrated that the detector has an enhanced photoresponse and recovery speed after coating the nanowire with photoresist. The photoresponse and recovery characteristics of detectors with and without spin-coating were compared to demonstrate the effects of photoresist and the enhancement of response and recovery speed of the photodetector is ascribed to the reduced surface absorbed oxygen molecules and binding effect on the residual oxygen molecules after photoresist spin-coating. The results demonstrated that surface coating may be an effective and simple way to improve the response speed of the photoelectric device.

  7. Growth and Transfer of Monolithic Horizontal ZnO Nanowire Superstructures onto Flexible Substrates

    KAUST Repository

    Xu, Sheng

    2010-04-28

    A method of fabricating horizontally aligned ZnO nanowire (NW) arrays with full control over the width and length is demonstrated. A cross-sectional view of the NWs by transmission electron microscopy shows a "mushroom-like" structure. Novel monolithic multisegment superstructures are fabricated by making use of the lateral overgrowth. Ultralong horizontal ZnO NWs of an aspect ratio on the order often thousand are also demonstrated. These horizontal NWs are lifted off and transferred onto a flexible polymer substrate, which may have many great applications in horizontal ZnO NW-based nanosensor arrays, light-emitting diodes, optical gratings, integrated circuit interconnects, and high-output-power alternating-current nanogenerators. © 2010 WILEY-VCH Verlag GmbH & Co. KGaA.

  8. A room temperature light source based on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Lo Faro, M.J. [CNR-IPCF, Istituto per i Processi Chimico-Fisici, V. le F. Stagno D' Alcontres 37, 98158 Messina (Italy); MATIS CNR-IMM, Istituto per la Microelettronica e Microsistemi, Via Santa Sofia 64, 95123 Catania (Italy); Dipartimento di Fisica e Astronomia, Università di Catania, Via Santa Sofia 64, 95123 Catania (Italy); D' Andrea, C. [MATIS CNR-IMM, Istituto per la Microelettronica e Microsistemi, Via Santa Sofia 64, 95123 Catania (Italy); Messina, E.; Fazio, B. [CNR-IPCF, Istituto per i Processi Chimico-Fisici, V. le F. Stagno D' Alcontres 37, 98158 Messina (Italy); Musumeci, P. [Dipartimento di Fisica e Astronomia, Università di Catania, Via Santa Sofia 64, 95123 Catania (Italy); Franzò, G. [MATIS CNR-IMM, Istituto per la Microelettronica e Microsistemi, Via Santa Sofia 64, 95123 Catania (Italy); Gucciardi, P.G.; Vasi, C. [CNR-IPCF, Istituto per i Processi Chimico-Fisici, V. le F. Stagno D' Alcontres 37, 98158 Messina (Italy); Priolo, F. [MATIS CNR-IMM, Istituto per la Microelettronica e Microsistemi, Via Santa Sofia 64, 95123 Catania (Italy); Dipartimento di Fisica e Astronomia, Università di Catania, Via Santa Sofia 64, 95123 Catania (Italy); Scuola Superiore di Catania, Via Valdisavoia 9, 95123 Catania (Italy); Iacona, F. [MATIS CNR-IMM, Istituto per la Microelettronica e Microsistemi, Via Santa Sofia 64, 95123 Catania (Italy); Irrera, A., E-mail: irrera@me.cnr.it [CNR-IPCF, Istituto per i Processi Chimico-Fisici, V. le F. Stagno D' Alcontres 37, 98158 Messina (Italy)

    2016-08-31

    We synthesized ultrathin Si nanowires (NWs) by metal assisted chemical wet etching, using a very thin discontinuous Au layer as precursor for the process. A bright room temperature emission in the visible range due to electron–hole recombination in quantum confined Si NWs is reported. A single walled carbon nanotube (CNT) suspension was prepared and dispersed in Si NW samples. The hybrid Si NW/CNT system exhibits a double emission at room temperature, both in the visible (due to Si NWs) and the IR (due to CNTs) range, thus demonstrating the realization of a low-cost material with promising perspectives for applications in Si-based photonics. - Highlights: • Synthesis of ultrathin Si nanowires (NWs) by metal-assisted chemical etching • Synthesis of NW/carbon nanotube (CNT) hybrid systems • Structural characterization of Si NWs and Si NW/CNT • Room temperature photoluminescence (PL) properties of Si NWs and of Si NW/CNT • Tuning of the PL properties of the Si NW/CNT hybrid system.

  9. Synthesis and Growth Mechanism of Ni Nanotubes and Nanowires

    Directory of Open Access Journals (Sweden)

    Wang Yiqian

    2009-01-01

    Full Text Available Abstract Highly ordered Ni nanotube and nanowire arrays were fabricated via electrodeposition. The Ni microstructures and the process of the formation were investigated using conventional and high-resolution transmission electron microscope. Herein, we demonstrated the systematic fabrication of Ni nanotube and nanowire arrays and proposed an original growth mechanism. With the different deposition time, nanotubes or nanowires can be obtained. Tubular nanostructures can be obtained at short time, while nanowires take longer time to form. This formation mechanism is applicable to design and synthesize other metal nanostructures and even compound nanostuctures via template-based electrodeposition.

  10. Characterization of a Ga-assisted GaAs nanowire array solar cell on si substrate

    DEFF Research Database (Denmark)

    Boulanger, J. P.; Chia, A. C. E.; Wood, B.

    2016-01-01

    A single-junction core-shell GaAs nanowire (NW) solar cell on Si (1 1 1) substrates is presented. A Ga-assisted vapor–liquid–solid growth mechanism was used for the formation of a patterned array of radial p-i-n GaAs NWs encapsulated in AlInP passivation. Novel device fabrication utilizing facet-...

  11. Effect of diffusion from a lateral surface on the rate of GaN nanowire growth

    International Nuclear Information System (INIS)

    Sibirev, N. V.; Tchernycheva, M.; Cirlin, G. E.; Patriarche, G.; Harmand, J. C.; Dubrovskii, V. G.

    2012-01-01

    The kinetics of the growth of GaN crystalline nanowires on a Si (111) surface with no catalyst is studied experimentally and theoretically. Noncatalytic GaN nanowires were grown by molecular-beam epitaxy with AlN inserts, which makes it possible to determine the rate of the vertical growth of nanowires. A model for the formation of GaN nanowires is developed, and an expression for their rate of growth is derived. It is shown that, in the general case, the dependence of the rate of growth on the nanowire diameter has a minimum. The diameter corresponding to the experimentally observed minimum of the rate of growth steadily increases with increasing diffusion flux from the lateral surface.

  12. Near-surface depletion of antimony during the growth of GaAsSb and GaAs/GaAsSb nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Kauko, H.; Helvoort, A. T. J. van, E-mail: a.helvoort@ntnu.no [Department of Physics, Norwegian University of Science and Technology (NTNU), Trondheim (Norway); Fimland, B. O.; Munshi, A. M. [Department of Electronics and Telecommunications, NTNU, Trondheim (Norway); Grieb, T.; Müller, K.; Rosenauer, A. [Institut für Festkörperphysik, Universität Bremen, Bremen (Germany)

    2014-10-14

    The near-surface reduction of the Sb mole fraction during the growth of GaAsSb nanowires (NWs) and GaAs NWs with GaAsSb inserts has been studied using quantitative high-angle annular dark field scanning transmission electron microscopy (STEM). A model for diffusion of Sb in the hexagonal NWs was developed and employed in combination with the quantitative STEM analysis. GaAsSb NWs grown by Ga-assisted molecular beam epitaxy (MBE) and GaAs/GaAsSb NWs grown by Ga- and Au-assisted MBE were investigated. At the high temperatures employed in the NW growth, As-Sb exchange at and outward diffusion of Sb towards the surface take place, resulting in reduction of the Sb concentration at and near the surface in the GaAsSb NWs and the GaAsSb inserts. In GaAsSb NWs, an increasing near-surface depletion of Sb was observed towards the bottom of the NW due to longer exposure to the As beam flux. In GaAsSb inserts, an increasing change in the Sb concentration profile was observed with increasing post-insert axial GaAs growth time, resulting from a combined effect of radial GaAs overgrowth and diffusion of Sb. The effect of growth temperature on the diffusion of Sb in the GaAsSb inserts was identified. The consequences of these findings for growth optimization and the optoelectronic properties of GaAsSb are discussed.

  13. Growth of high-aspect ratio horizontally-aligned ZnO nanowire arrays.

    Science.gov (United States)

    Soman, Pranav; Darnell, Max; Feldman, Marc D; Chen, Shaochen

    2011-08-01

    A method of fabricating horizontally-aligned zinc-oxide (ZnO) nanowire (NW) arrays with full control over the width and length is demonstrated. SEM images reveal the hexagonal structure typical of zinc oxide NWs. Arrays of high-aspect ratio horizontal ZnO NWs are fabricated by making use of the lateral overgrowth from dot patterns created by electron beam lithography (EBL). An array of patterned wires are lifted off and transferred to a flexible PDMS substrate with possible applications in several key nanotechnology areas.

  14. Growth and properties of low-dimensional III-V semiconductor nanowire heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Heiss, Martin

    2010-08-25

    In this work the properties of GaAs nanowire based heterostructures are investigated. The nanowires and their heterostructures are synthesized with Molecular Beam Epitaxy. The optical and structural properties are characterized by means of low temperature confocal micro-photoluminescence spectroscopy and Transmission Electron Microscopy. Molecular Beam Epitaxy is a versatile technique that allows to switch from radial to axial growth in order to cap the nanowires by an epitaxial prismatic AlGaAs/GaAs heterostructure. This can passivate surface states and improve the optical properties. The effect of such a passivation layer is studied by quantitative comparison of the diameter dependence of photoluminescence in passivated and unpassivated nanowires. The passivation is an important prerequisite for more complex axial heterostructures. Evidence for radial confinement effects is found in passivated nanowires with core diameters smaller than 70 nm. Furthermore, the polarization dependence of light absorption and emission is investigated. Two different types of axial heterostructures are studied that have the potential to further enhance the functionality of such nanowires. In a first step, the possibility of growth of axial InGaAs heterostructure in the Au-free Molecular Beam Epitaxy growth regime is investigated. Suitable growth conditions are identified and the growth temperature window for both GaAs and InGaAs nanowires is determined. At the optimum growth temperature for GaAs nanowires, the incorporation of indium in the structure is limited to a few percent. It is shown that by lowering the growth temperature the indium concentration in the structure can be increased up to 20%. The optical properties of the synthesized axial heterostructures are investigated by means of micro-photoluminescence spectroscopy and Transmission Electron Microscopy. The second type of axial nanowire heterostructure investigated in the present work is characterized by a change in crystal

  15. Nanowire size dependence on sensitivity of silicon nanowire field-effect transistor-based pH sensor

    Science.gov (United States)

    Lee, Ryoongbin; Kwon, Dae Woong; Kim, Sihyun; Kim, Sangwan; Mo, Hyun-Sun; Kim, Dae Hwan; Park, Byung-Gook

    2017-12-01

    In this study, we investigated the effects of nanowire size on the current sensitivity of silicon nanowire (SiNW) ion-sensitive field-effect transistors (ISFETs). The changes in on-current (I on) and resistance according to pH were measured in fabricated SiNW ISFETs of various lengths and widths. As a result, it was revealed that the sensitivity expressed as relative I on change improves as the width decreases. Through technology computer-aided design (TCAD) simulation analysis, the width dependence on the relative I on change can be explained by the observation that the target molecules located at the edge region along the channel width have a stronger effect on the sensitivity as the SiNW width is reduced. Additionally, the length dependence on the sensitivity can be understood in terms of the resistance ratio of the fixed parasitic resistance, including source/drain resistance, to the varying channel resistance as a function of channel length.

  16. Effects of Be doping on InP nanowire growth mechanisms

    Energy Technology Data Exchange (ETDEWEB)

    Yee, R. J.; Gibson, S. J.; LaPierre, R. R. [Department of Engineering Physics, Centre for Emerging Device Technologies, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Dubrovskii, V. G. [St. Petersburg Academic University, Khlopina 8/3, 194021 St. Petersburg (Russian Federation); Ioffe Physical Technical Institute RAS, Politekhnicheskaya 26, 194021 St. Petersburg (Russian Federation)

    2012-12-24

    Be-doped InP nanowires were grown by the gold-assisted vapour-liquid-solid mechanism in a gas source molecular beam epitaxy system. The InP nanowire length versus diameter [L(D)] dependence revealed an unexpected transition with increasing Be dopant concentration. At Be dopant concentration below {approx}10{sup 18} cm{sup -3}, nanowires exhibited the usual inverse L(D) relationship, indicating a diffusion-limited growth regime. However, as dopant concentration increased, the nanowire growth rate was suppressed for small diameters, resulting in an unusual L(D) dependence that increased before saturating in height at about 400 nm. The cause of this may be a change in the droplet chemical potential, introducing a barrier to island nucleation. We propose a model accounting for the limitations of diffusion length and monolayer nucleation to explain this behaviour.

  17. Silicon Nanowire Field-effect Chemical Sensor

    OpenAIRE

    Chen, S.

    2011-01-01

    This thesis describes the work that has been done on the project “Design and optimization of silicon nanowire for chemical sensing‿, including Si-NW fabrication, electrical/electrochemical modeling, the application as ISFET, and the build-up of Si- NW/LOC system for automatic sample delivery. A novel top-down fabrication technique was presented for single-crystal Si-NW fabrication realized with conventional microfabrication technique. High quality triangular Si-NWs were made with high wafer-s...

  18. X-ray investigation of the interface structure of free standing InAs nanowires grown on GaAs[ anti 1 anti 1 anti 1]{sub B}

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Wagner, Gerald [Universitaet Leipzig, Halbleiterchemie, Leipzig (Germany); Pietsch, Ullrich; Davydok, Anton; Biermanns, Andreas [Universitaet Siegen, Festkoerperphysik, Siegen (Germany); Grenzer, Joerg [Forschungszentrum Rossendorf, Institut fuer Ionenstrahlphysik und Materialforschung, Dresden (Germany)

    2009-09-15

    The heteroepitaxial growth process of InAs nanowires (NW) on GaAs[ anti 1 anti 1 anti 1]{sub B} substrate was investigated by X-ray grazing-incidence diffraction using synchrotron radiation. For crystal growth we applied the vapor-liquid-solid (VLS) growth mechanism via gold seeds. The general sample structure was extracted from various electron microscopic and X-ray diffraction experiments. We found a closed Ga{sub x}In{sub 1-x} As graduated alloy layer at the substrate to NW interface which was formed in the initial stage of VLS growth with a Au-Ga-In liquid alloy. With ongoing growth time a transition from this VLS layer growth to the conventional VLS NW growth was observed. The structural properties of both VLS grown crystal types were examined. Furthermore, we discuss the VLS layer growth process. (orig.)

  19. Photovoltaic and Impedance Properties of Hierarchical TiO2 Nanowire Based Quantum Dot Sensitized Solar Cell

    Directory of Open Access Journals (Sweden)

    Amanullah Fatehmulla

    2015-01-01

    Full Text Available Growth and characterization of TiO2 nanowire (NW assemblies on FTO glass using a typical hydrothermal synthesis have been reported. CdS quantum dots (QDs have been deposited on TiO2 nanowires by successive ion layer adsorption and reaction (SILAR method. FESEM image exhibits the flower-like hierarchical TiO2 bunch of nanowires. HRTEM image confirms the size of CdS QDs between 5 and 6 nm. XRD and absorption studies revealed proper growth of CdS quantum dots on TiO2 nanowires. At AM 1.5 illumination intensity, the solar cell, with the configuration FTO/TiO2-NW/CdS-QDs/Pt-FTO, displays a short circuit current (Jsc of 1.295 mA and an open circuit voltage (Voc of 0.38 V. The Voc and Jsc showed linear behavior at higher illumination intensities. The peak in power-voltage characteristics at various illuminations showed a shift towards higher Voc values. Capacitance-voltage (C-V, conductance-voltage (G-V, and series resistance-voltage (Rs-V measurements of the cell in the frequency ranging from 5 kHz to 5 MHz showed decreasing trend of capacitance with increase of frequency whereas increase in conductance and decrease in resistance have been noticed with increase of frequency. All the results including the individual behavior of the plots of capacitance, conductance, and series resistance as a function of bias voltage have been discussed.

  20. Catalyst free growth of ZnO nanowires on graphene and graphene oxide and its enhanced photoluminescence and photoresponse

    International Nuclear Information System (INIS)

    Biroju, Ravi K; Giri, P K; Tilak, Nikhil; Rajender, Gone; Dhara, S

    2015-01-01

    We demonstrate the graphene assisted catalyst free growth of ZnO nanowires (NWs) on chemical vapor deposited (CVD) and chemically processed graphene buffer layers at a relatively low growth temperature (580 °C) in the presence and absence of ZnO seed layers. In the case of CVD graphene covered with rapid thermal annealed ZnO buffer layer, the growth of vertically aligned ZnO NWs takes place, while the direct growth on CVD graphene, chemically derived graphene (graphene oxide and graphene quantum dots) without ZnO seed layer resulted in randomly oriented sparse ZnO NWs. Growth mechanism was studied from high resolution transmission electron microscopy and Raman spectroscopy of the hybrid structure. Further, we demonstrate strong UV, visible photoluminescence (PL) and enhanced photoconductivity (PC) from the CVD graphene–ZnO NWs hybrids as compared to the ZnO NWs grown without the graphene buffer layer. The evolution of crystalinity in ZnO NWs grown with ZnO seed layer and graphene buffer layer is correlated with the Gaussian line shape of UV and visible PL. This is further supported by the strong Raman mode at 438 cm −1 significant for the wurtzite phase of the ZnO NWs grown on different graphene substrates. The effect of the thickness of ZnO seed layers and the role of graphene buffer layers on the aligned growth of ZnO NWs and its enhanced PC are investigated systematically. Our results demonstrate the catalyst free growth and superior performance of graphene–ZnO NW hybrid UV photodetectors as compared to the bare ZnO NW based photodetectors. (paper)

  1. Review on the dynamics of semiconductor nanowire lasers

    Science.gov (United States)

    Röder, Robert; Ronning, Carsten

    2018-03-01

    Semiconductor optoelectronic devices have contributed tremendously to the technological progress in the past 50-60 years. Today, they also play a key role in nanophotonics stimulated by the inherent limitations of electronic integrated circuits and the growing demand for faster communications on chip. In particular, the field of ‘nanowire photonics’ has emerged including the search for coherent light sources with a nano-scaled footprint. The past decade has been dedicated to find suitable semiconductor nanowire (NW) materials for such nanolasers. Nowadays, such NW lasers consistently work at room temperature covering a huge spectral range from the ultraviolet down to the mid-infrared depending on the band gap of the NW material. Furthermore, first approaches towards the modification and optimization of such NW laser devices have been demonstrated. The underlying dynamics of the electronic and photonic NW systems have also been studied very recently, as they need to be understood in order to push the technological relevance of nano-scaled coherent light sources. Therefore, this review will first present novel measurement approaches in order to study the ultrafast temporal and optical mode dynamics of individual NW laser devices. Furthermore, these fundamental new insights are reviewed and deeply discussed towards the efficient control and adjustment of the dynamics in semiconductor NW lasers.

  2. Ga-assisted catalyst-free growth mechanism of GaAs nanowires by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Colombo, C.; Spirkoska, D.; Frimmer, M.; Abstreiter, G.; Fontcuberta i Morral, A.

    2008-01-01

    The mechanisms of Ga-assisted GaAs nanowires grown by molecular beam epitaxy are addressed. The axial and radial growth rates as a function of the Ga rate and As pressure indicate that on the opposite of what is observed in thin film epitaxy, the growth rate of the nanowires is arsenic limited. As a consequence, the axial growth rate of the wires can be controlled by the As 4 pressure. Additionally, due to the small As 4 pressure leading to nanowire growth, the deposition on the facets is very slow, leading to a much lower radial growth rate. Finally, we present a model that is able to accurately describe the presented observations and predicts a maximum length of nontapered nanowires of 40 μm

  3. Growth of InAs/InGaAs nanowires on GaAs(111)B substrates

    Energy Technology Data Exchange (ETDEWEB)

    Scholz, Sven; Schott, Ruediger; Ludwig, Arne; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany); Reuter, Dirk [Arbeitsgruppe fuer optoelektronische Materialien und Bauelemente, Universitaet Paderborn (Germany)

    2013-07-01

    To investigate the structure and behavior of individual 1D-quantum structures, so called nanowires, we have grown single localized Au seeded InAs/InGaAs nanowires on GaAs(111)B substrate by molecular beam epitaxy. The Au-seeds are implanted by focused ion beam (FIB) technology. We developed a AuGa-LMIS to avoid the beam spread induced by using a Wien-Filter, which allows us to reduce the spot size of the focused ion beam and as consequence the number of implanted ions necessary to seed a wire. At present the growth of InAs nanowires is not fully understood and we have been working on optimizing the process. We identified an optimal growth temperature and arsenic to indium ratio for nanowire growth. Further investigations also aim at analyzing the influence of the growth rates and growth directions. We studied the morphology of the nanowires by SEM imaging and the optical properties with photoluminescence spectroscopy.

  4. Nanowire Growth for Photovoltaics

    DEFF Research Database (Denmark)

    Holm, Jeppe Vilstrup

    Solar cells commercial success is based on an efficiency/cost calculation. Nanowire solar cells is one of the foremost candidates to implement third generation photo voltaics, which are both very efficient and cheap to produce. This thesis is about our progress towards commercial nanowire solar...... cells. Resonance effects between the light and nanowire causes an inherent concentration of the sunlight into the nanowires, and means that a sparse array of nanowires (less than 5% of the area) can absorb all the incoming light. The resonance effects, as well as a graded index of refraction, also traps...... the light. The concentration and light trapping means that single junction nanowire solar cells have a higher theoretical maximum efficiency than equivalent planar solar cells. We have demonstrated the built-in light concentration of nanowires, by growing, contacting and characterizing a solar cell...

  5. Diameter- and current-density-dependent growth orientation of hexagonal CdSe nanowire arrays via electrodeposition

    International Nuclear Information System (INIS)

    Sun Hongyu; Li Xiaohong; Chen Yan; Guo Defeng; Xie Yanwu; Li Wei; Zhang Xiangyi; Liu Baoting

    2009-01-01

    Controlling the growth orientation of semiconductor nanowire arrays is of vital importance for their applications in the fields of nanodevices. In the present work, hexagonal CdSe nanowire arrays with various preferential growth orientations have been successfully yielded by employing the electrodeposition technique using porous alumina as templates (PATs). We demonstrate by experimental and theoretical efforts that the growth orientation of the CdSe nanowires can be effectively manipulated by varying either the nanopore diameter of the PATs or the deposited current density, which has significant effects on the optical properties of the CdSe nanowires. The present study provides an alternative approach to tuning the growth direction of electrodeposited nanowires and thus is of importance for the fabrication of nanodevices with controlled functional properties.

  6. Diameter- and current-density-dependent growth orientation of hexagonal CdSe nanowire arrays via electrodeposition

    Energy Technology Data Exchange (ETDEWEB)

    Sun Hongyu; Li Xiaohong; Chen Yan; Guo Defeng; Xie Yanwu; Li Wei; Zhang Xiangyi [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao 066004 (China); Liu Baoting, E-mail: xyzh66@ysu.edu.c [College of Physics Science and Technology, Hebei University, Baoding 071002 (China)

    2009-10-21

    Controlling the growth orientation of semiconductor nanowire arrays is of vital importance for their applications in the fields of nanodevices. In the present work, hexagonal CdSe nanowire arrays with various preferential growth orientations have been successfully yielded by employing the electrodeposition technique using porous alumina as templates (PATs). We demonstrate by experimental and theoretical efforts that the growth orientation of the CdSe nanowires can be effectively manipulated by varying either the nanopore diameter of the PATs or the deposited current density, which has significant effects on the optical properties of the CdSe nanowires. The present study provides an alternative approach to tuning the growth direction of electrodeposited nanowires and thus is of importance for the fabrication of nanodevices with controlled functional properties.

  7. Thermoelectric properties of semiconductor nanowire networks

    Science.gov (United States)

    Roslyak, Oleksiy; Piryatinski, Andrei

    2016-03-01

    To examine the thermoelectric (TE) properties of a semiconductor nanowire (NW) network, we propose a theoretical approach mapping the TE network on a two-port network. In contrast to a conventional single-port (i.e., resistor) network model, our model allows for large scale calculations showing convergence of TE figure of merit, ZT, with an increasing number of junctions. Using this model, numerical simulations are performed for the Bi2Te3 branched nanowire (BNW) and Cayley tree NW (CTNW) network. We find that the phonon scattering at the network junctions plays a dominant role in enhancing the network ZT. Specifically, disordered BNW and CTNW demonstrate an order of magnitude higher ZT enhancement compared to their ordered counterparts. Formation of preferential TE pathways in CTNW makes the network effectively behave as its BNW counterpart. We provide formalism for simulating large scale nanowire networks hinged upon experimentally measurable TE parameters of a single T-junction.

  8. Investigation of functionalized silicon nanowires by self-assembled monolayer

    Energy Technology Data Exchange (ETDEWEB)

    Hemed, Nofar Mintz [Dept. of Physical Electronics, Eng. Faculty, and the University Res. Inst. for Nano Science and Nano-Technologies, Tel-Aviv University, Ramat-Aviv 69978 (Israel); Convertino, Annalisa [Istituto per la Microelettronica e i Microsistemi C.N.R.-Area della Ricerca di Roma, via del Fosso del Cavaliere 100, I-00133 Roma (Italy); Shacham-Diamand, Yosi [Dept. of Physical Electronics, Eng. Faculty, and the University Res. Inst. for Nano Science and Nano-Technologies, Tel-Aviv University, Ramat-Aviv 69978 (Israel); The Department of Applied Chemistry, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan)

    2016-03-30

    Graphical abstract: - Highlights: • We characterize and verify the existence of self-assembled monolayer (SAM) on silicon nanowires and α-Si:H. • We define the term “electrical coverage” and find the formula for both cases. • The SAM's electrical coverage on silicon nanowires is found to be ∼63%. • The SAM's electrical coverage on α-Si:H is found to be ∼65 ± 3%. • The amount of SAM on the SiNWs is sufficient and it can serve as a linker to biological molecules. - Abstract: The functionalization using self assembled monolayer (SAM) of silicon nanowires (SiNW) fabricated by plasma enhanced chemical vapor deposition (PECVD) is reported here. The SAM is being utilized as the first building block in the functionalization process. The morphology of the SiNW comprises a polycrystalline core wrapped by an hydrogenated amorphous silicon (α-Si:H) shell. Since most of the available methods for SAM verification and characterization are suitable only for flat substrates; therefore, in addition to the SiNW α-Si:H on flat samples were produced in the same system as the SiNWs. First we confirmed the SAM's presence on the flat α-Si:H samples using the following methods: contact angle measurement to determine the change in surface energy; atomic force microscopy (AFM) to determine uniformity and molecular coverage. Spectroscopic ellipsometry and X-ray reflectivity (XRR) were performed to measure SAM layer thickness and density. X-ray photoelectron spectroscopy (XPS) was applied to study the chemical states of the surface. Next, SiNW/SAM were tested by electrochemical impedance spectroscopy (EIS), and the results were compared to α-Si:H/SAM. The SAM electrical coverage on SiNW and α-Si:H was found to be ∼37% and ∼65 ± 3%, respectively. A model, based on transmission line theory for the nanowires is presented to explain the disparity in results between the nanowires and flat surface of the same materials.

  9. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  10. Alloy formation during InAs nanowire growth on GaAs(111)

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. A possible way to obtain nanowires is the growth in molecular beam epitaxy on the (111)B oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of InAs nanowires grown on GaAs substrates covered by different oxide-layers using X-ray diffraction. In this contribution, we report on the structural investigation of InAs nanowires grown via an In droplet on GaAs substrates covered by different oxide layers using X-ray diffraction. Using a combination of symmetric and asymmetric X-ray diffraction, we observe that for growth on a defective oxide layer, alloy formation takes place and a large amount of InGaAs is formed, whereas for growth on an initially smooth oxide layer, only pure InAs is formed.

  11. Phase-field model of vapor-liquid-solid nanowire growth

    Science.gov (United States)

    Wang, Nan; Upmanyu, Moneesh; Karma, Alain

    2018-03-01

    We present a multiphase-field model to describe quantitatively nanowire growth by the vapor-liquid-solid (VLS) process. The free-energy functional of this model depends on three nonconserved order parameters that distinguish the vapor, liquid, and solid phases and describe the energetic properties of various interfaces, including arbitrary forms of anisotropic γ plots for the solid-vapor and solid-liquid interfaces. The evolution equations for those order parameters describe basic kinetic processes including the rapid (quasi-instantaneous) equilibration of the liquid catalyst to a droplet shape with constant mean curvature, the slow incorporation of growth atoms at the droplet surface, and crystallization within the droplet. The standard constraint that the sum of the phase fields equals unity and the conservation of the number of catalyst atoms, which relates the catalyst volume to the concentration of growth atoms inside the droplet, are handled via separate Lagrange multipliers. An analysis of the model is presented that rigorously maps the phase-field equations to a desired set of sharp-interface equations for the evolution of the phase boundaries under the constraint of force balance at three-phase junctions (triple points) given by the Young-Herring relation that includes torque term related to the anisotropy of the solid-liquid and solid-vapor interface excess free energies. Numerical examples of growth in two dimensions are presented for the simplest case of vanishing crystalline anisotropy and the more realistic case of a solid-liquid γ plot with cusped minima corresponding to two sets of (10 ) and (11 ) facets. The simulations reproduce many of the salient features of nanowire growth observed experimentally, including growth normal to the substrate with tapering of the side walls, transitions between different growth orientations, and crawling growth along the substrate. They also reproduce different observed relationships between the nanowire growth

  12. A review on III–V core–multishell nanowires: growth, properties, and applications

    International Nuclear Information System (INIS)

    Royo, Miquel; Rurali, Riccardo; De Luca, Marta; Zardo, Ilaria

    2017-01-01

    This review focuses on the emerging field of core–multishell (CMS) semiconductor nanowires (NWs). In these kinds of wires, a NW grown vertically on a substrate acts as a template for the coaxial growth of two or more layers wrapped around it. Thanks to the peculiar geometry, the strain is partially released along the radial direction, thus allowing the creation of fascinating heterostructures, even based on lattice mismatched materials that would hardly grow in a planar geometry. Enabling the unique bridging of the 1D nature of NWs with the exciting properties of 2D heterostructures, these novel systems are becoming attractive for material science, as well as fundamental and applied physics. We will focus on NWs made of III–V and III–V-based alloys as they represent a model system in which present growth techniques have reached a high degree of control on the material structural properties, and many physical properties have been assessed, from both the theoretical and experimental points of view. In particular, we provide an overview on the growth methods and structural properties of CMS NWs, on the modulation doping mechanisms enabled by these heterostructures, on the effects of a magnetic field, and on the phononic and optical properties typical of CMS NWs. Moreover, we review the main technological applications based on these systems, such as optoelectronic and photovoltaic devices. (topical review)

  13. High performance ring oscillators from 10-nm wide silicon nanowire field-effect transistors

    KAUST Repository

    Huang, Ruo-Gu; Tham, Douglas; Wang, Dunwei; Heath, James R.

    2011-01-01

    We explore 10-nm wide Si nanowire (SiNW) field-effect transistors (FETs) for logic applications, via the fabrication and testing of SiNW-based ring oscillators. We report on SiNW surface treatments and dielectric annealing, for producing SiNW FETs that exhibit high performance in terms of large on/off-state current ratio (~108), low drain-induced barrier lowering (~30 mV) and low subthreshold swing (~80 mV/decade). The performance of inverter and ring-oscillator circuits fabricated from these nanowire FETs are also explored. The inverter demonstrates the highest voltage gain (~148) reported for a SiNW-based NOT gate, and the ring oscillator exhibits near rail-to-rail oscillation centered at 13.4 MHz. The static and dynamic characteristics of these NW devices indicate that these SiNW-based FET circuits are excellent candidates for various high-performance nanoelectronic applications. © 2011 Tsinghua University Press and Springer-Verlag Berlin Heidelberg.

  14. High performance ring oscillators from 10-nm wide silicon nanowire field-effect transistors

    KAUST Repository

    Huang, Ruo-Gu

    2011-06-24

    We explore 10-nm wide Si nanowire (SiNW) field-effect transistors (FETs) for logic applications, via the fabrication and testing of SiNW-based ring oscillators. We report on SiNW surface treatments and dielectric annealing, for producing SiNW FETs that exhibit high performance in terms of large on/off-state current ratio (~108), low drain-induced barrier lowering (~30 mV) and low subthreshold swing (~80 mV/decade). The performance of inverter and ring-oscillator circuits fabricated from these nanowire FETs are also explored. The inverter demonstrates the highest voltage gain (~148) reported for a SiNW-based NOT gate, and the ring oscillator exhibits near rail-to-rail oscillation centered at 13.4 MHz. The static and dynamic characteristics of these NW devices indicate that these SiNW-based FET circuits are excellent candidates for various high-performance nanoelectronic applications. © 2011 Tsinghua University Press and Springer-Verlag Berlin Heidelberg.

  15. Loose-fit graphitic encapsulation of silicon nanowire for one-dimensional Si anode design

    Institute of Scientific and Technical Information of China (English)

    Seh-Yoon Lim; Sudong Chae; Su-Ho Jung; Yuhwan Hyeon; Wonseok Jang; Won-Sub Yoon; Jae-Young Choi; Dongmok Whang

    2017-01-01

    Silicon nanowires (SiNWs) encapsulated with graphene-like carbon sheath (GS) having a void space in between (SiNW@V@GS) are demonstrated for the improved electrochemical performance of Si anode in lithium ion battery.The SiNW@V@GS structure was synthesized by a scalable fabrication method including four successive reactions:metal-catalyzed CVD growth of SiNWs,controlled thermal oxidation,and deposition of the graphitic layer,to form SiNW@SiO2@GS and additional chemical etching of sacrificial SiO2 layer between SiNWs and carbon sheath.During the synthetic process,the thickness of the void spacing was controlled by adjusting the oxidation-dependent process.The well-controlled void space and crystalline graphitic carbon sheath of the SiNW@V@GS structure enable good reversible capacity of 1444 mAhg-1 and cycling stability of 85% over 150 cycles.

  16. Growth and luminescence characterization of large-scale zinc oxide nanowires

    CERN Document Server

    Dai, L; Wang, W J; Zhou, T; Hu, B Q

    2003-01-01

    Large-scale zinc oxide (ZnO) nanowires were grown via a simple chemical reaction involving water vapour. Electron microscopy observations reveal that the ZnO nanowires are single crystalline and grow along the c-axis ([001]) direction. Room temperature photoluminescence measurements show a striking blue emission at 466 nm along with two other emissions in the ultraviolet and yellow regions. Annealing treatment of the as-grown ZnO nanowires results in an apparent reduction of the intensity of the blue emission, which indicates that the blue emission might be originating from the oxygen or zinc defects generated in the process of growth of the ZnO nanowires.

  17. Impact of defects on the electrical transport, optical properties and failure mechanisms of GaN nanowires.

    Energy Technology Data Exchange (ETDEWEB)

    Armstrong, Andrew M.; Aubry, Sylvie; Shaner, Eric Arthur; Siegal, Michael P.; Li, Qiming; Jones, Reese E.; Westover, Tyler; Wang, George T.; Zhou, Xiao Wang; Talin, Albert Alec; Bogart, Katherine Huderle Andersen; Harris, C. Thomas; Huang, Jian Yu

    2010-09-01

    We present the results of a three year LDRD project that focused on understanding the impact of defects on the electrical, optical and thermal properties of GaN-based nanowires (NWs). We describe the development and application of a host of experimental techniques to quantify and understand the physics of defects and thermal transport in GaN NWs. We also present the development of analytical models and computational studies of thermal conductivity in GaN NWs. Finally, we present an atomistic model for GaN NW electrical breakdown supported with experimental evidence. GaN-based nanowires are attractive for applications requiring compact, high-current density devices such as ultraviolet laser arrays. Understanding GaN nanowire failure at high-current density is crucial to developing nanowire (NW) devices. Nanowire device failure is likely more complex than thin film due to the prominence of surface effects and enhanced interaction among point defects. Understanding the impact of surfaces and point defects on nanowire thermal and electrical transport is the first step toward rational control and mitigation of device failure mechanisms. However, investigating defects in GaN NWs is extremely challenging because conventional defect spectroscopy techniques are unsuitable for wide-bandgap nanostructures. To understand NW breakdown, the influence of pre-existing and emergent defects during high current stress on NW properties will be investigated. Acute sensitivity of NW thermal conductivity to point-defect density is expected due to the lack of threading dislocation (TD) gettering sites, and enhanced phonon-surface scattering further inhibits thermal transport. Excess defect creation during Joule heating could further degrade thermal conductivity, producing a viscous cycle culminating in catastrophic breakdown. To investigate these issues, a unique combination of electron microscopy, scanning luminescence and photoconductivity implemented at the nanoscale will be used in

  18. Growth of InAs/InP core-shell nanowires with various pure crystal structures.

    Science.gov (United States)

    Gorji Ghalamestani, Sepideh; Heurlin, Magnus; Wernersson, Lars-Erik; Lehmann, Sebastian; Dick, Kimberly A

    2012-07-20

    We have studied the epitaxial growth of an InP shell on various pure InAs core nanowire crystal structures by metal-organic vapor phase epitaxy. The InP shell is grown on wurtzite (WZ), zinc-blende (ZB), and {111}- and {110}-type faceted ZB twin-plane superlattice (TSL) structures by tuning the InP shell growth parameters and controlling the shell thickness. The growth results, particularly on the WZ nanowires, show that homogeneous InP shell growth is promoted at relatively high temperatures (∼500 °C), but that the InAs nanowires decompose under the applied conditions. In order to protect the InAs core nanowires from decomposition, a short protective InP segment is first grown axially at lower temperatures (420-460 °C), before commencing the radial growth at a higher temperature. Further studies revealed that the InP radial growth rate is significantly higher on the ZB and TSL nanowires compared to WZ counterparts, and shows a strong anisotropy in polar directions. As a result, thin shells were obtained during low temperature InP growth on ZB structures, while a higher temperature was used to obtain uniform thick shells. In addition, a schematic growth model is suggested to explain the basic processes occurring during the shell growth on the TSL crystal structures.

  19. Growth of InAs/InP core–shell nanowires with various pure crystal structures

    International Nuclear Information System (INIS)

    Gorji Ghalamestani, Sepideh; Heurlin, Magnus; Lehmann, Sebastian; Dick, Kimberly A; Wernersson, Lars-Erik

    2012-01-01

    We have studied the epitaxial growth of an InP shell on various pure InAs core nanowire crystal structures by metal–organic vapor phase epitaxy. The InP shell is grown on wurtzite (WZ), zinc-blende (ZB), and {111}- and {110}-type faceted ZB twin-plane superlattice (TSL) structures by tuning the InP shell growth parameters and controlling the shell thickness. The growth results, particularly on the WZ nanowires, show that homogeneous InP shell growth is promoted at relatively high temperatures (∼500 °C), but that the InAs nanowires decompose under the applied conditions. In order to protect the InAs core nanowires from decomposition, a short protective InP segment is first grown axially at lower temperatures (420–460 °C), before commencing the radial growth at a higher temperature. Further studies revealed that the InP radial growth rate is significantly higher on the ZB and TSL nanowires compared to WZ counterparts, and shows a strong anisotropy in polar directions. As a result, thin shells were obtained during low temperature InP growth on ZB structures, while a higher temperature was used to obtain uniform thick shells. In addition, a schematic growth model is suggested to explain the basic processes occurring during the shell growth on the TSL crystal structures. (paper)

  20. Reliable and cost effective design of intermetallic Ni2Si nanowires and direct characterization of its mechanical properties

    OpenAIRE

    Seung Zeon Han; Joonhee Kang; Sung-Dae Kim; Si-Young Choi; Hyung Giun Kim; Jehyun Lee; Kwangho Kim; Sung Hwan Lim; Byungchan Han

    2015-01-01

    We report that a single crystal Ni2Si nanowire (NW) of intermetallic compound can be reliably designed using simple three-step processes: casting a ternary Cu-Ni-Si alloy, nucleate and growth of Ni2Si NWs as embedded in the alloy matrix via designing discontinuous precipitation (DP) of Ni2Si nanoparticles and thermal aging, and finally chemical etching to decouple the Ni2Si NWs from the alloy matrix. By direct application of uniaxial tensile tests to the Ni2Si NW we characterize its mechanica...

  1. Hierarchical ZnO Nanowires-loaded Sb-doped SnO2-ZnO Micrograting Pattern via Direct Imprinting-assisted Hydrothermal Growth and Its Selective Detection of Acetone Molecules

    OpenAIRE

    Choi, Hak-Jong; Choi, Seon-Jin; Choo, Soyoung; Kim, Il-Doo; Lee, Heon

    2016-01-01

    We propose a novel synthetic route by combining imprinting transfer of a Sb-doped SnO2 (ATO)-ZnO composite micrograting pattern (MP), i.e., microstrip lines, on a sensor substrate and subsequent hydrothermal growth of ZnO nanowires (NWs) for producing a hierarchical ZnO NW-loaded ATO-ZnO MP as an improved chemo-resistive sensing layer. Here, ATO-ZnO MP structure with 3-?m line width, 9-?m pitch, and 6-?m height was fabricated by direct transfer of mixed ATO and ZnO nanoparticle (NP)-dispersed...

  2. Hydrothermal growth of titania nanowires for SAW device sensing area

    Directory of Open Access Journals (Sweden)

    Zakaria Mohd Rosydi

    2017-01-01

    Full Text Available Synthesis of titania or titanium dioxide (TiO2 is attracted to energy and environmental applications. Here, the growth of nanostructure TiO2 nanowires on Si (100 substrates by using the two-step method. Different seed layers of TiO2 were deposited by spin coating and annealing, followed by the growth of TiO2 nanowires by using the hydrothermal method. The sol-gel technique was used in preparing the TiO2 solution for the thin film deposition purpose. Acetic acid, hydrochloric acid and tris (2-aminoethyl amine were used as a stabilizer to synthesize three different TiO2 seed layers. The aim of this study was to understand the role of polycrystalline size on thin film towards the diameter of nanowires grown as a sensing area in Surface Acoustic Wave (SAW Biosensor. The morphology and structure of the thin film and TiO2 nanowires were characterized using X-Ray diffraction (XRD, scanning electron microscope (SEM, field emission scanning electron microscope (FESEM and atomic force microscopy (AFM.

  3. The fabrication of ZnO nanowire field-effect transistors by roll-transfer printing

    Science.gov (United States)

    Chang, Yi-Kuei; Hong, Franklin Chau-Nan

    2009-05-01

    A method with the potential to fabricate large-area nanowire field-effect transistors (NW-FETs) was demonstrated in this study. Using a high-speed roller (20-80 cm min-1), transfer printing was successfully employed to transfer vertically aligned zinc oxide (ZnO) nanowires grown on a donor substrate to a polydimethylsiloxane (PDMS) stamp and then print the ordered ZnO nanowire arrays on the received substrate for the fabrication of NW-FETs. ZnO NW-FETs fabricated by this method exhibit high performances with a threshold voltage of around 0.25 V, a current on/off ratio as high as 105, a subthreshold slope of 360 mV/dec, and a field-effect mobility of around 90 cm2 V-1 s-1. The excellent device characteristics suggest that the roll-transfer printing technique, which is compatible with the roll-to-roll (R2R) process and operated in atmosphere, has a good potential for the high-speed fabrication of large-area nanowire transistors for flexible devices and flat panel displays.

  4. The fabrication of ZnO nanowire field-effect transistors by roll-transfer printing

    International Nuclear Information System (INIS)

    Chang, Y-K; Hong, Franklin Chau-Nan

    2009-01-01

    A method with the potential to fabricate large-area nanowire field-effect transistors (NW-FETs) was demonstrated in this study. Using a high-speed roller (20-80 cm min -1 ), transfer printing was successfully employed to transfer vertically aligned zinc oxide (ZnO) nanowires grown on a donor substrate to a polydimethylsiloxane (PDMS) stamp and then print the ordered ZnO nanowire arrays on the received substrate for the fabrication of NW-FETs. ZnO NW-FETs fabricated by this method exhibit high performances with a threshold voltage of around 0.25 V, a current on/off ratio as high as 10 5 , a subthreshold slope of 360 mV/dec, and a field-effect mobility of around 90 cm 2 V -1 s -1 . The excellent device characteristics suggest that the roll-transfer printing technique, which is compatible with the roll-to-roll (R2R) process and operated in atmosphere, has a good potential for the high-speed fabrication of large-area nanowire transistors for flexible devices and flat panel displays.

  5. The fabrication of ZnO nanowire field-effect transistors by roll-transfer printing

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Y-K; Hong, Franklin Chau-Nan [Department of Chemical Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China)], E-mail: hong@mail.ncku.edu.tw

    2009-05-13

    A method with the potential to fabricate large-area nanowire field-effect transistors (NW-FETs) was demonstrated in this study. Using a high-speed roller (20-80 cm min{sup -1}), transfer printing was successfully employed to transfer vertically aligned zinc oxide (ZnO) nanowires grown on a donor substrate to a polydimethylsiloxane (PDMS) stamp and then print the ordered ZnO nanowire arrays on the received substrate for the fabrication of NW-FETs. ZnO NW-FETs fabricated by this method exhibit high performances with a threshold voltage of around 0.25 V, a current on/off ratio as high as 10{sup 5}, a subthreshold slope of 360 mV/dec, and a field-effect mobility of around 90 cm{sup 2} V{sup -1} s{sup -1}. The excellent device characteristics suggest that the roll-transfer printing technique, which is compatible with the roll-to-roll (R2R) process and operated in atmosphere, has a good potential for the high-speed fabrication of large-area nanowire transistors for flexible devices and flat panel displays.

  6. Growth Mechanism Studies of ZnO Nanowires: Experimental Observations and Short-Circuit Diffusion Analysis.

    Science.gov (United States)

    Shih, Po-Hsun; Wu, Sheng Yun

    2017-07-21

    Plenty of studies have been performed to probe the diverse properties of ZnO nanowires, but only a few have focused on the physical properties of a single nanowire since analyzing the growth mechanism along a single nanowire is difficult. In this study, a single ZnO nanowire was synthesized using a Ti-assisted chemical vapor deposition (CVD) method to avoid the appearance of catalytic contamination. Two-dimensional energy dispersive spectroscopy (EDS) mapping with a diffusion model was used to obtain the diffusion length and the activation energy ratio. The ratio value is close to 0.3, revealing that the growth of ZnO nanowires was attributed to the short-circuit diffusion.

  7. In Situ X-ray Diffraction Studies of (De)lithiation Mechanism in Silicon Nanowire Anodes

    KAUST Repository

    Misra, Sumohan

    2012-06-26

    Figure Persented: Silicon is a promising anode material for Li-ion batteries due to its high theoretical specific capacity. From previous work, silicon nanowires (SiNWs) are known to undergo amorphorization during lithiation, and no crystalline Li-Si product has been observed. In this work, we use an X-ray transparent battery cell to perform in situ synchrotron X-ray diffraction on SiNWs in real time during electrochemical cycling. At deep lithiation voltages the known metastable Li 15Si 4 phase forms, and we show that avoiding the formation of this phase, by modifying the SiNW growth temperature, improves the cycling performance of SiNW anodes. Our results provide insight on the (de)lithiation mechanism and a correlation between phase evolution and electrochemical performance for SiNW anodes. © 2012 American Chemical Society.

  8. Epitaxy-enabled vapor-liquid-solid growth of tin-doped indium oxide nanowires with controlled orientations

    KAUST Repository

    Shen, Youde

    2014-08-13

    Controlling the morphology of nanowires in bottom-up synthesis and assembling them on planar substrates is of tremendous importance for device applications in electronics, photonics, sensing and energy conversion. To date, however, there remain challenges in reliably achieving these goals of orientation-controlled nanowire synthesis and assembly. Here we report that growth of planar, vertical and randomly oriented tin-doped indium oxide (ITO) nanowires can be realized on yttria-stabilized zirconia (YSZ) substrates via the epitaxy-assisted vapor-liquid-solid (VLS) mechanism, by simply regulating the growth conditions, in particular the growth temperature. This robust control on nanowire orientation is facilitated by the small lattice mismatch of 1.6% between ITO and YSZ. Further control of the orientation, symmetry and shape of the nanowires can be achieved by using YSZ substrates with (110) and (111), in addition to (100) surfaces. Based on these insights, we succeed in growing regular arrays of planar ITO nanowires from patterned catalyst nanoparticles. Overall, our discovery of unprecedented orientation control in ITO nanowires advances the general VLS synthesis, providing a robust epitaxy-based approach toward rational synthesis of nanowires. © 2014 American Chemical Society.

  9. Ledge-flow-controlled catalyst interface dynamics during Si nanowire growth

    DEFF Research Database (Denmark)

    Hofmann, S; Sharma, R; Wirth, CT

    2008-01-01

    understanding of the role of commonly used catalysts and specifically of their interface dynamics1, 2. Although catalytic chemical vapour deposition of nanowires below the eutectic temperature has been demonstrated in many semiconductor–catalyst systems3, 4, 5, 6, growth from solid catalysts is still disputed...... as a comparative benchmark. The dominant coherent Pd silicide/Si growth interface subsequently advances by lateral propagation of ledges, driven by catalytic dissociation of disilane and coupled Pd and Si diffusion. Our results establish an atomistic framework for nanowire assembly from solid catalysts, relevant...

  10. Microspheres for the Growth of Silicon Nanowires via Vapor-Liquid-Solid Mechanism

    Directory of Open Access Journals (Sweden)

    Arancha Gómez-Martínez

    2014-01-01

    Full Text Available Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. The resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  11. In situ TEM observation of the growth and decomposition of monoclinic W18O49 nanowires

    International Nuclear Information System (INIS)

    Chen, C L; Mori, H

    2009-01-01

    The growth of monoclinic W 18 O 49 nanowires by heat treatment of a tungsten filament at ∼873 K and the decomposition of these nanowires under 200 keV electron irradiation at ∼1023 K have been investigated using in situ transmission electron microscopy (TEM). In situ TEM observation of the growth confirmed the vapor-solid growth mechanism of the monoclinic W 18 O 49 nanowires. In situ irradiation experiments revealed the formation of metallic bcc tungsten from monoclinic W 18 O 49 nanowires under 200 keV electron irradiation.

  12. Influence of substrate orientation on the structural properties of GaAs nanowires in MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Muhammad, R., E-mail: rosnita@utm.my; Othaman, Z., E-mail: zulothaman@gmail.com; Ibrahim, Z., E-mail: zuhairi@utm.my; Sakrani, S., E-mail: samsudi3@yahoo.com [Faculty of Science, UniversitiTeknologi Malaysia, 81310 UTM, Johor (Malaysia); Wahab, Y., E-mail: wyussof@gmail.com [Razak School, UniversitiTeknologi Malaysia, 54100 Kuala Lumpur (Malaysia)

    2016-04-19

    In this study, the effect of substrate orientation on the structural properties of GaAs nanowires grown by a metal organic chemical vapor deposition has been investigated. Gold colloids were used as catalyst to initiate the growth of nanowiresby the vapour-liquid-solid (VLS) mechanism. From the field-emission scanning electron microscopy (FE-SEM), the growth of the nanowires were at an elevation angle of 90°, 60°, 65° and 35° with respect to the GaAs substrate for (111)B, (311)B, (110) and (100) orientations respectively. The preferential NW growth direction is always <111>B. High-resolution transmission electron microscope (HRTEM) micrograph showed the NWs that grew on the GaAs(111)B has more structural defects when compared to others. Energy dispersive X-ray analysis (EDX) indicated the presence of Au, Ga and As. The bigger diameter NWs dominates the (111)B substrate surface.

  13. Developing high coercivity in large diameter cobalt nanowire arrays

    Science.gov (United States)

    Montazer, A. H.; Ramazani, A.; Almasi Kashi, M.; Zavašnik, J.

    2016-11-01

    Regardless of the synthetic method, developing high magnetic coercivity in ferromagnetic nanowires (NWs) with large diameters has been a challenge over the past two decades. Here, we report on the synthesis of highly coercive cobalt NW arrays with diameters of 65 and 80 nm, which are embedded in porous anodic alumina templates with high-aspect-ratio pores. Using a modified electrochemical deposition method enabled us to reach room temperature coercivity and remanent ratio up to 3000 Oe and 0.70, respectively, for highly crystalline as-synthesized hcp cobalt NW arrays with a length of 8 μm. The first-order reversal curve (FORC) analysis showed the presence of both soft and hard magnetic phases along the length of the resulting NWs. To develop higher coercive fields, the length of the NWs was then gradually reduced in order from bottom to top, thereby reaching NW sections governed by the hard phase. Consequently, this resulted in record high coercivities of 4200 and 3850 Oe at NW diameters of 65 and 80 nm, respectively. In this case, the FORC diagrams confirmed a significant reduction in interactions between the magnetic phases of the remaining sections of NWs. At this stage, x-ray diffraction (XRD) and dark-field transmission electron microscopy analyses indicated the formation of highly crystalline bamboo-like sections along the [0 0 2] direction during a progressive pulse-controlled electrochemical growth of NW arrays under optimized parameters. Our results both provide new insights into the growth process, crystalline characteristics and magnetic phases along the length of large diameter NW arrays and, furthermore, develop the performance of pure 3d transition magnetic NWs.

  14. Direct observation of nanowire growth and decomposition

    DEFF Research Database (Denmark)

    Rackauskas, Simas; Shandakov, Sergey D; Jiang, Hua

    2017-01-01

    knowledge, so far this has been only postulated, but never observed at the atomic level. By means of in situ environmental transmission electron microscopy we monitored and examined the atomic layer transformation at the conditions of the crystal growth and its decomposition using CuO nanowires selected...

  15. Facile fabrication of a silicon nanowire sensor by two size reduction steps for detection of alpha-fetoprotein biomarker of liver cancer

    International Nuclear Information System (INIS)

    Pham, Van Binh; Pham, Xuan ThanhTung; Phan, Thanh Nhat Khoa; Le, Thi Thanh Tuyen; Dang, Mau Chien

    2015-01-01

    We present a facile technique that only uses conventional micro-techniques and two size-reduction steps to fabricate wafer-scale silicon nanowire (SiNW) with widths of 200 nm. Initially, conventional lithography was used to pattern SiNW with 2 μm width. Then the nanowire width was decreased to 200 nm by two size-reduction steps with isotropic wet etching. The fabricated SiNW was further investigated when used with nanowire field-effect sensors. The electrical characteristics of the fabricated SiNW devices were characterized and pH sensitivity was investigated. Then a simple and effective surface modification process was carried out to modify SiNW for subsequent binding of a desired receptor. The complete SiNW-based biosensor was then used to detect alpha-fetoprotein (AFP), one of the medically approved biomarkers for liver cancer diagnosis. Electrical measurements showed that the developed SiNW biosensor could detect AFP with concentrations of about 100 ng mL"−"1. This concentration is lower than the necessary AFP concentration for liver cancer diagnosis. (paper)

  16. Facile fabrication of a silicon nanowire sensor by two size reduction steps for detection of alpha-fetoprotein biomarker of liver cancer

    Science.gov (United States)

    Binh Pham, Van; ThanhTung Pham, Xuan; Nhat Khoa Phan, Thanh; Thanh Tuyen Le, Thi; Chien Dang, Mau

    2015-12-01

    We present a facile technique that only uses conventional micro-techniques and two size-reduction steps to fabricate wafer-scale silicon nanowire (SiNW) with widths of 200 nm. Initially, conventional lithography was used to pattern SiNW with 2 μm width. Then the nanowire width was decreased to 200 nm by two size-reduction steps with isotropic wet etching. The fabricated SiNW was further investigated when used with nanowire field-effect sensors. The electrical characteristics of the fabricated SiNW devices were characterized and pH sensitivity was investigated. Then a simple and effective surface modification process was carried out to modify SiNW for subsequent binding of a desired receptor. The complete SiNW-based biosensor was then used to detect alpha-fetoprotein (AFP), one of the medically approved biomarkers for liver cancer diagnosis. Electrical measurements showed that the developed SiNW biosensor could detect AFP with concentrations of about 100 ng mL-1. This concentration is lower than the necessary AFP concentration for liver cancer diagnosis.

  17. Growth and characterization of InGaAs based nanowire-heterostructures

    International Nuclear Information System (INIS)

    Treu, Julian Pascal

    2017-01-01

    In this thesis we investigate III-V semiconductor nanowires integrated on silicon. Focusing on InGaAs-based heterostructures, we use molecular beam epitaxy (MBE) to obtain high purity material without the use of foreign metal catalysts such as gold. Instead of catalystassisted growth we use selective-area growth using prepatterned SiO 2 /Si(111) substrates prepared by improved nanoimprint lithography, resulting in highly periodic large scale arrays (1 x 1 cm 2 ) of vertically aligned nanowires with hexagonal cross-section. Studying the influence of the main growth parameter substrate temperature, arsenic- and III-material flux we systematically optimize yield and aspect ratio of InAs nanowires for different spacings. Capitalizing on the superior morphological homogeneity of arrays with more than 90% yield, we study their use as efficient surface emitters in the Terahertz regime and find excellent performance, clearly outperforming state-of the art bulk material, when taking the surface coverage into account. Furthermore, we explore nanowires with strongly reduced diameter, where adapted growth conditions result in dimensions as small as 20 nm, well within a quantum confined regime. Starting from optimized high-temperature InAs growth, we further investigate incorporation of gallium for composition tuned ternary InGaAs structures. Delineating the optimized growth parameter space we are able to address nearly the entire compositional range up to more than 80% Ga. Correlating X-ray diffraction, transmission electron microscopy (TEM) and micro-photoluminescence spectroscopy, we find a characteristic transition in crystal structure from wurtzite to zincblende dominated phase for intermediate Ga-content, a regime with luminescence mainly limited by compositional inhomogeneities, while structural defects prevail according linewidths of In- and Ga-rich samples. Furthermore, this successfully demonstrates position-controlled integration of InGaAs nanowires with composition

  18. Carrier thermalization dynamics in single zincblende and wurtzite InP Nanowires.

    Science.gov (United States)

    Wang, Yuda; Jackson, Howard E; Smith, Leigh M; Burgess, Tim; Paiman, Suriati; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-12-10

    Using transient Rayleigh scattering (TRS) measurements, we obtain photoexcited carrier thermalization dynamics for both zincblende (ZB) and wurtzite (WZ) InP single nanowires (NW) with picosecond resolution. A phenomenological fitting model based on direct band-to-band transition theory is developed to extract the electron-hole-plasma density and temperature as a function of time from TRS measurements of single nanowires, which have complex valence band structures. We find that the thermalization dynamics of hot carriers depends strongly on material (GaAs NW vs InP NW) and less strongly on crystal structure (ZB vs WZ). The thermalization dynamics of ZB and WZ InP NWs are similar. But a comparison of the thermalization dynamics in ZB and WZ InP NWs with ZB GaAs NWs reveals more than an order of magnitude slower relaxation for the InP NWs. We interpret these results as reflecting their distinctive phonon band structures that lead to different hot phonon effects. Knowledge of hot carrier thermalization dynamics is an essential component for effective incorporation of nanowire materials into electronic devices.

  19. Self-Catalyzed Growth of Axial GaAs/GaAsSb Nanowires by Molecular Beam Epitaxy for Photodetectors

    Science.gov (United States)

    2015-06-01

    MOVPE Metal organic vapor phase epitaxy NCA Nano Channel Aluminum NW Nanowire PL Photoluminescence PMMA Poly methyl methacrylate...GaAs (111) B substrate. The NWs were grown using a nanochannel alumina ( NCA ) template. It was later shown by Dubrovskii et al. [16], that the NWs... cathode gun. The type of signals produced are secondary electron (SE), back scattered electron (BSE), characteristic X- rays, specimen current and

  20. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode

    Directory of Open Access Journals (Sweden)

    Wen-Chung Chang

    2016-06-01

    Full Text Available Vertically aligned p-type silicon nanowire (SiNW arrays were fabricated through metal-assisted chemical etching (MACE of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM, X-ray diffraction (XRD, and current−voltage (I−V measurements. Nonlinear and rectifying I−V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions.

  1. Dynamical theory and experiments on GaAs nanowire growth for photovoltaic applications

    DEFF Research Database (Denmark)

    Krogstrup, Peter

    process is described in terms of a dynamic liquid-solid growth system which continuously seeks to lower the excess Gibbs free energy originating from the adatoms and gas states. Nucleation statistics and the nucleation limited growth at the topfacet which force the solid-liquid growth system far from......The geometry of nanowire solar cells provides many potential advantages compared to planar solar cells, such as reduced reflection, built-in light concentration due to absorption resonances, improved band gap tuning for multi-junction devices and an increased defect tolerance. Moreover, the use...... of nanowires reduces the quantity of material necessary to approach the limits of light to electric power conversion efficiency, allowing for substantial cost reductions if they are grown on a cheap substrate. However, it is far from straightforward to achieve optimum design of bottom up grown nanowire solar...

  2. Effect of growth temperature on photoluminescence and piezoelectric characteristics of ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Water, Walter [Institute of Electro-Optical and Materials Science, National Formosa University, Yunlin 632, Taiwan (China); Fang, T.-H. [Institute of Electro-Optical and Materials Science, National Formosa University, Yunlin 632, Taiwan (China); Institute of Mechanical and Electromechanical Engineering, National Formosa University, Yunlin 632, Taiwan (China)], E-mail: fang.tehua@msa.hinet.net; Ji, L.-W.; Lee, C.-C. [Institute of Electro-Optical and Materials Science, National Formosa University, Yunlin 632, Taiwan (China)

    2009-02-25

    ZnO nanowire arrays were synthesized on Au-coated silicon (1 0 0) substrates by using vapour-liquid-solid process in this work. The effect of growth temperatures on the crystal structure and the surface morphology of ZnO nanowires were investigated by X-ray diffraction and scanning electron microscope. The absorption and optical characteristics of the nanowires were examined by Ultraviolet/Visible spectroscopy, and photoluminescence, respectively. The photoluminescence results exhibited ZnO nanowires had an ultraviolet and blue emission at 383 and 492 nm. Then a nanogenerator with ZnO nanowire arrays was fabricated and demonstrated Schottky-like current-voltage characteristics.

  3. Coaxial silver nanowire network core molybdenum oxide shell supercapacitor electrodes

    International Nuclear Information System (INIS)

    Yuksel, Recep; Coskun, Sahin; Unalan, Husnu Emrah

    2016-01-01

    We present a new hybrid material composed of molybdenum (IV) oxide (MoO 2 ) shell on highly conducting silver nanowire (Ag NW) core in the network form for the realization of coaxial Ag NW/MoO 2 nanocomposite supercapacitor electrodes. Ag NWs were simply spray coated onto glass substrates to form conductive networks and conformal MoO 2 layer was electrodeposited onto the Ag NW network to create binder-free coaxial supercapacitor electrodes. Combination of Ag NWs and pseudocapacitive MoO 2 generated an enhanced electrochemical energy storage capacity and a specific capacitance of 500.7 F/g was obtained at a current density of 0.25 A/g. Fabricated supercapacitor electrodes showed excellent capacity retention after 5000 cycles. The methods and the design investigated herein open a wide range of opportunities for nanowire based coaxial supercapacitors.

  4. In Situ Study of Noncatalytic Metal Oxide Nanowire Growth

    DEFF Research Database (Denmark)

    Rackauskas, Simas; Jiang, Hua; Wagner, Jakob Birkedal

    2014-01-01

    a catalyst is still widely disputed and unclear. Here, we show that the nanowire growth during metal oxidation is limited by a nucleation of a new layer. On the basis of in situ transmission electron microscope investigations we found that the growth occurs layer by layer at the lowest specific surface...

  5. Size-Induced Switching of Nanowire Growth Direction: a New Approach Toward Kinked Nanostructures

    KAUST Repository

    Shen, Youde

    2016-04-26

    Exploring self-assembled nanostructures with controllable architectures has been a central theme in nanoscience and nanotechnology because of the tantalizing perspective of directly integrating such bottom-up nanostructures into functional devices. Here, the growth of kinked single-crystal In2O3 nanostructures consisting of a nanocone base and a nanowire tip with an epitaxial and defect-free transition is demonstrated for the first time. By tailoring the growth conditions, a reliable switching of the growth direction from [111] to [110] or [112] is observed when the Au catalyst nanoparticles at the apexes of the nanocones shrink below ≈100 nm. The natural formation of kinked nanoarchitectures at constant growth pressures is related to the size-dependent free energy that changes for different orientations of the nanowires. The results suggest that the mechanism of forming such kinked nanocone-nanowire nanostructures in well-controlled growth environment may be universal for a wide range of functional materials. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Size-Induced Switching of Nanowire Growth Direction: a New Approach Toward Kinked Nanostructures

    KAUST Repository

    Shen, Youde; Lebedev, Oleg I.; Turner, Stuart; Van Tendeloo, Gustaaf; Song, Xiaohui; Yu, Xuechao; Wang, Qijie; Chen, Hongyu; Dayeh, Shadi A.; Wu, Tao

    2016-01-01

    Exploring self-assembled nanostructures with controllable architectures has been a central theme in nanoscience and nanotechnology because of the tantalizing perspective of directly integrating such bottom-up nanostructures into functional devices. Here, the growth of kinked single-crystal In2O3 nanostructures consisting of a nanocone base and a nanowire tip with an epitaxial and defect-free transition is demonstrated for the first time. By tailoring the growth conditions, a reliable switching of the growth direction from [111] to [110] or [112] is observed when the Au catalyst nanoparticles at the apexes of the nanocones shrink below ≈100 nm. The natural formation of kinked nanoarchitectures at constant growth pressures is related to the size-dependent free energy that changes for different orientations of the nanowires. The results suggest that the mechanism of forming such kinked nanocone-nanowire nanostructures in well-controlled growth environment may be universal for a wide range of functional materials. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. TiO2-Anatase Nanowire Dispersed Composite Electrode for Dye-Sensitized Solar Cells

    International Nuclear Information System (INIS)

    Asagoe, K; Suzuki, Y; Ngamsinlapasathian, S; Yoshikawa, S

    2007-01-01

    TiO 2 anatase nanowires have been prepared by a hydrothermal process followed by post-heat treatment in air. TiO 2 nanoparticle/TiO 2 nanowire composite electrodes were prepared for dye-sensitized solar cells (DSC) in order to improve light-to-electricity conversion efficiency. The TiO 2 NP/TiO 2 NW composite cells showed higher DSC performance than ordinary nanoparticle cells and fully nanowire cells: efficiency (η = 6.53 % for DSC with 10% nanowire, whereas 5.59% for 0% nanowire, and 2.42% for 100% nanowire

  8. Phonon Confinement Induced Non-Concomitant Near-Infrared Emission along a Single ZnO Nanowire: Spatial Evolution Study of Phononic and Photonic Properties

    Directory of Open Access Journals (Sweden)

    Po-Hsun Shih

    2017-10-01

    Full Text Available The impact of mixed defects on ZnO phononic and photonic properties at the nanoscale is only now being investigated. Here we report an effective strategy to study the distribution of defects along the growth direction of a single ZnO nanowire (NW, performed qualitatively as well as quantitatively using energy dispersive spectroscopy (EDS, confocal Raman-, and photoluminescence (PL-mapping technique. A non-concomitant near-infrared (NIR emission of 1.53 ± 0.01 eV was observed near the bottom region of 2.05 ± 0.05 μm along a single ZnO NW and could be successfully explained by the radiative recombination of shallowly trapped electrons V_O^(** with deeply trapped holes at V_Zn^''. A linear chain model modified from a phonon confinement model was used to describe the growth of short-range correlations between the mean distance of defects and its evolution with spatial position along the axial growth direction by fitting the E2H mode. Our results are expected to provide new insights into improving the study of the photonic and photonic properties of a single nanowire.

  9. Bipolar resistive switching behaviors of ITO nanowire networks

    Directory of Open Access Journals (Sweden)

    Qiang Li

    2016-02-01

    Full Text Available We have fabricated indium tin oxide (ITO nanowire (NW networks on aluminum electrodes using electron beam evaporation. The Ag/ITO-NW networks/Al capacitor exhibits bipolar resistive switching behavior. The resistive switching characteristics of ITO-NW networks are related to the morphology of NWs. The x-ray photoelectron spectroscopy was used to obtain the chemical nature from the NWs surface, investigating the oxygen vacancy state. A stable switching voltages and a clear memory window were observed in needle-shaped NWs. The ITO-NW networks can be used as a new two-dimensional metal oxide material for the fabrication of high-density memory devices.

  10. Growth of defect-free GaAsSbN axial nanowires via self-catalyzed molecular beam epitaxy

    Science.gov (United States)

    Sharma, Manish; Deshmukh, Prithviraj; Kasanaboina, Pavan; Reynolds, C. Lewis, Jr.; Liu, Yang; Iyer, Shanthi

    2017-12-01

    Bandgap reduction of 10% by incorporation of a dilute amount of N is reported for the first time, in axial GaAsSb nanowires (NWs) grown on Si (111) via Ga-assisted molecular beam epitaxy. Impact of N incorporation on the surface morphology, NW growth kinetics, and their structural and optical properties were examined. Dilute nitride NWs with Sb composition of 7 at% did not exhibit any noticeable planar defects, as revealed by the absence of satellite twin peaks in the selected-area diffraction pattern and high-resolution transmission electron microscopy imaging. Point defects were also minimal in as-grown dilute nitride NWs, as ascertained from the comparison of low-temperature photoluminescence spectra as well as the shape and shift of Raman modes, with in situ annealed NWs in different ambients. Evidence of enhanced incorporation of N was found in the NWs in situ annealed in N ambient, but with deteriorated optical quality due to simultaneous creation of N-induced defects. The lack of any noticeable defects in the as-grown GaAsSbN NWs demonstrates the advantage of the vapor-liquid-solid mechanism responsible for growth of axial configuration over the vapor-solid growth mechanism for core-shell NWs as well as their thin film counterpart, which commonly exhibit N-induced point defects.

  11. Growth of antimony doped P-type zinc oxide nanowires for optoelectronics

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Zhong Lin; Pradel, Ken

    2016-09-27

    In a method of growing p-type nanowires, a nanowire growth solution of zinc nitrate (Zn(NO.sub.3).sub.2), hexamethylenetetramine (HMTA) and polyethylenemine (800 M.sub.w PEI) is prepared. A dopant solution to the growth solution, the dopant solution including an equal molar ration of sodium hydroxide (NaOH), glycolic acid (C.sub.2H.sub.4O.sub.3) and antimony acetate (Sb(CH.sub.3COO).sub.3) in water is prepared. The dopant solution and the growth solution combine to generate a resulting solution that includes antimony to zinc in a ratio of between 0.2% molar to 2.0% molar, the resulting solution having a top surface. An ammonia solution is added to the resulting solution. A ZnO seed layer is applied to a substrate and the substrate is placed into the top surface of the resulting solution with the ZnO seed layer facing downwardly for a predetermined time until Sb-doped ZnO nanowires having a length of at least 5 .mu.m have grown from the ZnO seed layer.

  12. Wafer-scale fabrication of uniform Si nanowire arrays using the Si wafer with UV/Ozone pretreatment

    International Nuclear Information System (INIS)

    Bai, Fan; Li, Meicheng; Huang, Rui; Yu, Yue; Gu, Tiansheng; Chen, Zhao; Fan, Huiyang; Jiang, Bing

    2013-01-01

    The electroless etching technique combined with the process of UV/Ozone pretreatment is presented for wafer-scale fabrication of the silicon nanowire (SiNW) arrays. The high-level uniformity of the SiNW arrays is estimated by the value below 0.2 of the relative standard deviation of the reflection spectra on the 4-in. wafer. Influence of the UV/Ozone pretreatment on the formation of SiNW arrays is investigated. It is seen that a very thin SiO 2 produced by the UV/Ozone pretreatment improves the uniform nucleation of Ag nanoparticles (NPs) on the Si surface because of the effective surface passivation. Meanwhile, the SiO 2 located among the adjacent Ag NPs can obstruct the assimilation growth of Ag NPs, facilitating the deposition of the uniform and dense Ag NPs catalysts, which induces the formation of the SiNW arrays with good uniformity and high filling ratio. Furthermore, the remarkable antireflective and hydrophobic properties are observed for the SiNW arrays which display great potential in self-cleaning antireflection applications

  13. Low temperature and self catalytic growth of ultrafine ITO nanowires by electron beam evaporation method and their optical and electrical properties

    International Nuclear Information System (INIS)

    Kumar, R. Rakesh; Rao, K. Narasimha; Rajanna, K.; Phani, A.R.

    2014-01-01

    Highlights: • ITO nanowires were grown by e-beam evaporation method. • ITO nanowires growth done at low substrate temperature of 350 °C. • Nanowires growth was carried out without use of catalyst and reactive oxygen gas. • Nanowires growth proceeds via self catalytic VLS growth. • Grown nanowires have diameter 10–20 nm and length 1–4 μm long. • ITO nanowire films have shown good antireflection property. - Abstract: We report the self catalytic growth of Sn-doped indium oxide (ITO) nanowires (NWs) over a large area glass and silicon substrates by electron beam evaporation method at low substrate temperatures of 250–400 °C. The ITO NWs growth was carried out without using an additional reactive oxygen gas and a metal catalyst particle. Ultrafine diameter (∼10–15 nm) and micron long ITO NWs growth was observed in a temperature window of 300–400 °C. Transmission electron microscope studies confirmed single crystalline nature of the NWs and energy dispersive spectroscopy studies on the NWs confirmed that the NWs growth proceeds via self catalytic vapor-liquid-solid (VLS) growth mechanism. ITO nanowire films grown on glass substrates at a substrate temperature of 300–400 °C have shown ∼2–6% reflection and ∼70–85% transmission in the visible region. Effect of deposition parameters was systematically investigated. The large area growth of ITO nanowire films would find potential applications in the optoelectronic devices

  14. Highly effective field-effect mobility amorphous InGaZnO TFT mediated by directional silver nanowire arrays.

    Science.gov (United States)

    Liu, Hung-Chuan; Lai, Yi-Chun; Lai, Chih-Chung; Wu, Bing-Shu; Zan, Hsiao-Wen; Yu, Peichen; Chueh, Yu-Lun; Tsai, Chuang-Chuang

    2015-01-14

    In this work, we demonstrate sputtered amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a record high effective field-effect mobility of 174 cm(2)/V s by incorporating silver nanowire (AgNW) arrays to channel electron transport. Compared to the reference counterpart without nanowires, the over 5-fold enhancement in the effective field-effect mobility exhibits clear dependence on the orientation as well as the surface coverage ratio of silver nanowires. Detailed material and device analyses reveal that during the room-temperature IGZO sputtering indium and oxygen diffuse into the nanowire matrix while the nanowire morphology and good contact between IGZO and nanowires are maintained. The unchanged morphology and good interfacial contact lead to high mobility and air-ambient-stable characteristics up to 3 months. Neither hysteresis nor degraded bias stress reliability is observed. The proposed AgNW-mediated a-IGZO TFTs are promising for development of large-scale, flexible, transparent electronics.

  15. Control of morphology and crystal purity of InP nanowires by variation of phosphine flux during selective area MOMBE

    Science.gov (United States)

    Kelrich, A.; Dubrovskii, V. G.; Calahorra, Y.; Cohen, S.; Ritter, D.

    2015-02-01

    We present experimental results showing how the growth rate, morphology and crystal structure of Au-catalyzed InP nanowires (NWs) fabricated by selective area metal organic molecular beam epitaxy can be tuned by the growth parameters: temperature and phosphine flux. The InP NWs with 20-65 nm diameters are grown at temperatures of 420 and 480 °C with the PH3 flow varying from 1 to 9 sccm. The NW tapering is suppressed at a higher temperature, while pure wurtzite crystal structure is preferred at higher phosphine flows. Therefore, by combining high temperature and high phosphine flux, we are able to fabricate non-tapered and stacking fault-free InP NWs with the quality that other methods rarely achieve. We also develop a model for NW growth and crystal structure which explains fairly well the observed experimental tendencies.

  16. Effect of Silicon Nanowire on Crystalline Silicon Solar Cell Characteristics

    Directory of Open Access Journals (Sweden)

    Zahra Ostadmahmoodi Do

    2016-06-01

    Full Text Available Nanowires (NWs are recently used in several sensor or actuator devices to improve their ordered characteristics. Silicon nanowire (Si NW is one of the most attractive one-dimensional nanostructures semiconductors because of its unique electrical and optical properties. In this paper, silicon nanowire (Si NW, is synthesized and characterized for application in photovoltaic device. Si NWs are prepared using wet chemical etching method which is commonly used as a simple and low cost method for producing nanowires of the same substrate material. The process conditions are adjusted to find the best quality of Si NWs. Morphology of Si NWs is studied using a field emission scanning electron microscopic technique. An energy dispersive X-Ray analyzer is also used to provide elemental identification and quantitative compositional information. Subsequently, Schottky type solar cell samples are fabricated on Si and Si NWs using ITO and Ag contacts. The junction properties are calculated using I-V curves in dark condition and the solar cell I-V characteristics are obtained under incident of the standardized light of AM1.5. The results for the two mentioned Schottky solar cell samples are compared and discussed. An improvement in short circuit current and efficiency of Schottky solar cell is found when Si nanowires are employed.

  17. Polarity driven simultaneous growth of free-standing and lateral GaAsP epitaxial nanowires on GaAs (001) substrate

    International Nuclear Information System (INIS)

    Sun, Wen; Xu, Hongyi; Guo, Yanan; Gao, Qiang; Hoe Tan, Hark; Jagadish, Chennupati; Zou, Jin

    2013-01-01

    Simultaneous growth of 〈111〉 B free-standing and ±[110] lateral GaAsP epitaxial nanowires on GaAs (001) substrates were observed and investigated by electron microscopy and crystallographic analysis. It was found that the growth of both free-standing and lateral ternary nanowires via Au catalysts was driven by the fact that Au catalysts prefer to maintain low-energy (111) B interfaces with surrounding GaAs(P) materials: in the case of free-standing nanowires, Au catalysts maintain (111) B interfaces with their underlying GaAsP nanowires; while in the case of lateral nanowires, each Au catalyst remain their side (111) B interfaces with the surrounding GaAs(P) material during the lateral nanowire growth

  18. Theoretical analysis of the axial growth of nanowires starting with a binary eutectic droplet via vapor-liquid-solid mechanism

    Science.gov (United States)

    Liu, Qing; Li, Hejun; Zhang, Yulei; Zhao, Zhigang

    2018-06-01

    A series of theoretical analysis is carried out for the axial vapor-liquid-solid (VLS) growth of nanowires starting with a binary eutectic droplet. The growth model considering the entire process of axial VLS growth is a development of the approaches already developed by previous studies. In this model, the steady and unsteady state growth are considered both. The amount of solute species in a variable liquid droplet, the nanowire length, radius, growth rate and all other parameters during the entire axial growth process are treated as functions of growth time. The model provides theoretical predictions for the formation of nanowire shape, the length-radius and growth rate-radius dependences. It is also suggested by the model that the initial growth of single nanowire is significantly affected by Gibbs-Thompson effect due to the shape change. The model was applied on predictions of available experimental data of Si and Ge nanowires grown from Au-Si and Au-Ge systems respectively reported by other works. The calculations with the proposed model are in satisfactory agreement with the experimental results of the previous works.

  19. Fabrication and simulation of single crystal p-type Si nanowire using SOI technology

    International Nuclear Information System (INIS)

    Dehzangi, Arash; Larki, Farhad; Naseri, Mahmud G.; Navasery, Manizheh; Majlis, Burhanuddin Y.; Razip Wee, Mohd F.; Halimah, M.K.; Islam, Md. Shabiul; Md Ali, Sawal H.; Saion, Elias

    2015-01-01

    Highlights: • Single crystal silicon nanowire is fabricated on Si on insulator substrate, using atomic force microscope (AFM) nanolithography and KOH + IPA chemical wet etching. • Some of major parameters in fabrication process, such as writing speed and applied voltage along with KOH etching depth are investigated, and then the I–V characteristic of Si nanowires is measured. • For better understanding of the charge transmission through the nanowire, 3D-TCAD simulation is performed to simulate the Si nanowires with the same size of the fabricated ones, and variation of majority and minority carriers, hole quasi-Fermi level and generation/recombination rate are investigated. - Abstract: Si nanowires (SiNWs) as building blocks for nanostructured materials and nanoelectronics have attracted much attention due to their major role in device fabrication. In the present work a top-down fabrication approach as atomic force microscope (AFM) nanolithography was performed on Si on insulator (SOI) substrate to fabricate a single crystal p-type SiNW. To draw oxide patterns on top of the SOI substrate local anodic oxidation was carried out by AFM in contact mode. After the oxidation procedure, an optimized solution of 30 wt.% KOH with 10 vol.% IPA for wet etching at 63 °C was applied to extract the nanostructure. The fabricated SiNW had 70–85 nm full width at half maximum width, 90 nm thickness and 4 μm length. The SiNW was simulated using Sentaurus 3D software with the exact same size of the fabricated device. I–V characterization of the SiNW was measured and compared with simulation results. Using simulation results variation of carrier's concentrations, valence band edge energy and recombination generation rate for different applied voltage were investigated

  20. Fabrication and simulation of single crystal p-type Si nanowire using SOI technology

    Energy Technology Data Exchange (ETDEWEB)

    Dehzangi, Arash, E-mail: arashd53@hotmail.com [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Larki, Farhad [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Naseri, Mahmud G. [Department of Physics, Faculty of Science, Malayer University, Malayer, Hamedan (Iran, Islamic Republic of); Navasery, Manizheh [Department of Physics, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Majlis, Burhanuddin Y.; Razip Wee, Mohd F. [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Halimah, M.K. [Department of Physics, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Islam, Md. Shabiul; Md Ali, Sawal H. [Institute of Microengineering and Nanoelectronics (IMEN), Universiti Kebangsaan Malaysia, 43600 Bangi, Selangor (Malaysia); Saion, Elias [Department of Physics, Faculty of Science, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia)

    2015-04-15

    Highlights: • Single crystal silicon nanowire is fabricated on Si on insulator substrate, using atomic force microscope (AFM) nanolithography and KOH + IPA chemical wet etching. • Some of major parameters in fabrication process, such as writing speed and applied voltage along with KOH etching depth are investigated, and then the I–V characteristic of Si nanowires is measured. • For better understanding of the charge transmission through the nanowire, 3D-TCAD simulation is performed to simulate the Si nanowires with the same size of the fabricated ones, and variation of majority and minority carriers, hole quasi-Fermi level and generation/recombination rate are investigated. - Abstract: Si nanowires (SiNWs) as building blocks for nanostructured materials and nanoelectronics have attracted much attention due to their major role in device fabrication. In the present work a top-down fabrication approach as atomic force microscope (AFM) nanolithography was performed on Si on insulator (SOI) substrate to fabricate a single crystal p-type SiNW. To draw oxide patterns on top of the SOI substrate local anodic oxidation was carried out by AFM in contact mode. After the oxidation procedure, an optimized solution of 30 wt.% KOH with 10 vol.% IPA for wet etching at 63 °C was applied to extract the nanostructure. The fabricated SiNW had 70–85 nm full width at half maximum width, 90 nm thickness and 4 μm length. The SiNW was simulated using Sentaurus 3D software with the exact same size of the fabricated device. I–V characterization of the SiNW was measured and compared with simulation results. Using simulation results variation of carrier's concentrations, valence band edge energy and recombination generation rate for different applied voltage were investigated.

  1. Chemical bath deposition of ZnO nanowire-nanoparticle composite electrodes for use in dye-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ku, C-H; Wu, J-J [Department of Chemical Engineering, National Cheng Kung University, Tainan 701, Taiwan (China)

    2007-12-19

    ZnO nanowire (NW)-layered basic zinc acetate (LBZA)/ZnO nanoparticle (NP) composite electrodes with different NP occupying extents have been synthesized using a simple wet-chemical route for use in dye-sensitized solar cells (DSSCs). By employing mercurochrome as the sensitizer, superior efficiencies ({eta}) of 1.27-2.37% are obtained using the ZnO NW-LBZA/ZnO NP composite electrodes composed of a 5.5 {mu}m thick NW array with different NP occupying extents in comparison with the ZnO NW DSSC ({eta} = 0.45%). It suggests that the ZnO NW-LBZA/ZnO NP composite films which possess a considerable enlarged surface area by NPs growth, without sacrificing electron transport efficiency of single-crystalline ZnO NWs at the same time, are promising photoanodes for use in DSSCs. In addition to the extent of NP occupation, the overall efficiency of the ZnO NW-LBZA/ZnO NP composite DSSC is also influenced by the thickness of the composite film as well as the LBZA fraction and the cracks within the composite. The fraction of LBZA affected by the NP growth period and post-annealing conditions is found to play a crucial role in electron transport through the composite anode. Up to now, a high efficiency DSSC of 3.2% is achieved using a mercurochrome-sensitized and 6.2 {mu}m thick NW-NP composite film.

  2. Solution-Based Epitaxial Growth of Magnetically Responsive Cu@Ni Nanowires

    KAUST Repository

    Zhang, Shengmao; Zeng, Hua Chun

    2010-01-01

    An experiment was conducted to show the solution-based epitaxial growth of magnetically responsive Cu@Ni nanowires. The Ni-sheathed Cu nanowires were synthesized with a one-pot approach. 30 mL of high concentration NaOH, Cu(NO3)2. 3H2O, Cu(NO3)2. 3H2O and 0.07-0.30 mL of Ni(NO3)2. 6H 2O aqueous solutions were added into a plastic reactor with a capacity of 50.0 mL. A varying amount of ethylenediamine (EDA) and hydrazine were also added sequentially, followed by thorough mixing of all reagents. The dimension, morphology, and chemical composition of the products were examined with scanning electron microscopy with energy dispersive X-ray spectroscopy. The XPS analysis on the as formed Cu nanowires confirms that there is indeed no nickel inclusion in the nanowires prior to the formation of nickel overcoat, which rules out the possibility of Cu-Ni alloy formation.

  3. Solution-Based Epitaxial Growth of Magnetically Responsive Cu@Ni Nanowires

    KAUST Repository

    Zhang, Shengmao

    2010-02-23

    An experiment was conducted to show the solution-based epitaxial growth of magnetically responsive Cu@Ni nanowires. The Ni-sheathed Cu nanowires were synthesized with a one-pot approach. 30 mL of high concentration NaOH, Cu(NO3)2. 3H2O, Cu(NO3)2. 3H2O and 0.07-0.30 mL of Ni(NO3)2. 6H 2O aqueous solutions were added into a plastic reactor with a capacity of 50.0 mL. A varying amount of ethylenediamine (EDA) and hydrazine were also added sequentially, followed by thorough mixing of all reagents. The dimension, morphology, and chemical composition of the products were examined with scanning electron microscopy with energy dispersive X-ray spectroscopy. The XPS analysis on the as formed Cu nanowires confirms that there is indeed no nickel inclusion in the nanowires prior to the formation of nickel overcoat, which rules out the possibility of Cu-Ni alloy formation.

  4. Threshold Switching Induced by Controllable Fragmentation in Silver Nanowire Networks.

    Science.gov (United States)

    Wan, Tao; Pan, Ying; Du, Haiwei; Qu, Bo; Yi, Jiabao; Chu, Dewei

    2018-01-24

    Silver nanowire (Ag NW) networks have been widely studied because of a great potential in various electronic devices. However, nanowires usually undergo a fragmentation process at elevated temperatures due to the Rayleigh instability that is a result of reduction of surface/interface energy. In this case, the nanowires become completely insulating due to the formation of randomly distributed Ag particles with a large distance and further applications are hindered. Herein, we demonstrate a novel concept based on the combination of ultraviolet/ozone irradiation and a low-temperature annealing process to effectively utilize and control the fragmentation behavior to realize the resistive switching performances. In contrast to the conventional fragmentation, the designed Ag/AgO x interface facilitates a unique morphology of short nanorod-like segments or chains of tiny Ag nanoparticles with a very small spacing distance, providing conduction paths for achieving the tunneling process between the isolated fragments under the electric field. On the basis of this specific morphology, the Ag NW network has a tunable resistance and shows volatile threshold switching characteristics with a high selectivity, which is the ON/OFF current ratio in selector devices. Our concept exploits a new function of Ag NW network, i.e., resistive switching, which can be developed by designing a controllable fragmentation.

  5. Why droplet dimension can be larger than, equal to, or smaller than the nanowire dimension

    Science.gov (United States)

    Mohammad, S. Noor

    2009-11-01

    Droplets play central roles in the nanowire (NW) growth by vapor phase mechanisms. These mechanisms include vapor-liquid-solid (VLS), vapor-solid-solid or vapor-solid (VSS), vapor-quasisolid-solid or vapor-quasiliquid-solid (VQS), oxide-assisted growth (OAG), and self-catalytic growth (SCG) mechanisms. Fundamentals of the shape, size, characteristics, and dynamics of droplets and the impacts of them on the NW growth, have been studied. The influence of growth techniques, growth parameters (e.g., growth temperature, partial pressure, gas flow rates, etc.), thermodynamic conditions, surface and interface energy, molar volume, chemical potentials, etc. have been considered on the shapes and sizes of droplets. A model has been presented to explain why droplets can be larger than, equal to, or smaller than the associated NWs. Various growth techniques have been analyzed to understand defects created in NWs. Photoluminescence characteristics have been presented to quantify the roles of droplets in the creation of NW defects. The study highlights the importance of the purity of the droplet material. It attests to the superiority of the SCG mechanism, and clarifies the differences between the VSS, VQS, VLS, and SCG mechanisms. It explains why droplets produced by some mechanisms are visible but droplets produced by some other mechanisms are not visible. It elucidates the formation mechanisms of very large and very small droplets, and discusses the ground rules for droplets creating necked NWs. It puts forth reasons to demonstrate that very large droplets may not behave as droplets.

  6. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    Science.gov (United States)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  7. SAW Humidity Sensor Sensitivity Enhancement via Electrospraying of Silver Nanowires

    Directory of Open Access Journals (Sweden)

    Farid Sayar Irani

    2016-11-01

    Full Text Available In this research, we investigated the influence of the surface coatings of silver nanowires on the sensitivity of surface acoustic wave (SAW humidity sensors. Silver nanowires, with poly(vinylpyrrolidone (PVP, which is a hydrophilic capping agent, were chemically synthesized, with an average length of 15 µm and an average diameter of 60 nm. Humidity sensors, with 433 MHz frequency dual-port resonator Rayleigh-SAW devices, were coated by silver nanowires (AgNWs using the electrospray coating method. It was demonstrated that increasing thickness of coated AgNW on the surfaces of SAW devices results in increased sensitivity. The highest frequency shift (262 kHz in these SAW devices was obtained with an injection of 0.5 mL of the AgNW solution with a concentration of 0.5 mg/mL at an injection rate of 1 mL/h. It also showed the highest humidity sensitivity among the other prepared SAW devices.

  8. Steps towards a GaN nanowire based light emitting diode and its integration with Si-MOS technology

    Energy Technology Data Exchange (ETDEWEB)

    Limbach, Friederich

    2012-06-22

    This work is concerned with the realization and investigation of a light emitting diode (LED) structure within single GaN nanowires (NWs) and its integration with Si technology. To this end first a general understanding of the GaN NW growth is given. This is followed by investigations of the influence which doping species, such as Mg and Si, have on the growth of the NWs. The experience gathered in these studies set the basis for the synthesis of nominal p-i-n and n-i-p junctions in GaN NWs. Investigations of these structures resulted in the technologically important insight, that p-type doping with Mg is achieved best if it is done in the later NW growth stage. This implies that it is beneficial for a NW LED to place the p-type segment on the NW top. Another important component of an LED is the active zone where electron-hole recombination takes place. In the case of planar GaN LEDs, this is usually achieved by alloying Ga and In to form InGaN. In order to be able to control the growth under a variety of conditions, we investigate the growth of InGaN in the form of extended segments on top of GaN NWs, as well as multi quantum wells (MQWs) in GaN NWs. All the knowledge gained during these preliminary studies is harnessed to reach the overall goal: The realization of a GaN NW LED. Such structures are fabricated, investigated and processed into working LEDs. Finally, a report on the efforts of integrating III-nitride NW LEDs and Si based metaloxide-semiconductor field effect transistor (MOSFET) technology is given. This demonstrates the feasibility of the monolithic integration of both devices on the same wafer at the same time.

  9. High-performance silicon nanowire bipolar phototransistors

    Science.gov (United States)

    Tan, Siew Li; Zhao, Xingyan; Chen, Kaixiang; Crozier, Kenneth B.; Dan, Yaping

    2016-07-01

    Silicon nanowires (SiNWs) have emerged as sensitive absorbing materials for photodetection at wavelengths ranging from ultraviolet (UV) to the near infrared. Most of the reports on SiNW photodetectors are based on photoconductor, photodiode, or field-effect transistor device structures. These SiNW devices each have their own advantages and trade-offs in optical gain, response time, operating voltage, and dark current noise. Here, we report on the experimental realization of single SiNW bipolar phototransistors on silicon-on-insulator substrates. Our SiNW devices are based on bipolar transistor structures with an optically injected base region and are fabricated using CMOS-compatible processes. The experimentally measured optoelectronic characteristics of the SiNW phototransistors are in good agreement with simulation results. The SiNW phototransistors exhibit significantly enhanced response to UV and visible light, compared with typical Si p-i-n photodiodes. The near infrared responsivities of the SiNW phototransistors are comparable to those of Si avalanche photodiodes but are achieved at much lower operating voltages. Compared with other reported SiNW photodetectors as well as conventional bulk Si photodiodes and phototransistors, the SiNW phototransistors in this work demonstrate the combined advantages of high gain, high photoresponse, low dark current, and low operating voltage.

  10. Structural and morphological TEM characterization of GaAs based nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Soda, Marcello

    2012-02-03

    The question of a structural and morphological characterization of GaAs based nanowires is the research interest of this thesis. For this purpose standard and analytical transmission electron microscopy techniques were employed. New investigation methodologies are introduced in order to obtain a reliable interpretation of the results. The principal motivation on developing a new investigation method is the necessity to relate the results of crystal structure and morphology characterizations to microscopic and NW-specific parameters and not to macroscopic and general growth parameters. This allows a reliable comparison of NW characteristics and enhances the comprehension of their growth mechanism.The analysis of the results on crystal structure investigations, assuming this new perspective, delivers the fundamental finding that the axial growth of Au-assisted GaAs NWs can change in a pseudo Ga-assisted growth due to a non steady-state regime of the Ga accumulation process in the liquid droplet. The attempt to associate the observed crystal structures to one of these two growth modes reveals that zinc blende segments are most probably generated when a pseudo Ga-assisted growth occurs. This experimental evidence is in accordance with investigations developed by Glas et al. and Spirkoska et al. and with the current understanding of the NW growth mechanism and unifies the interpretation of catalytic growth of GaAs NWs. A Mn doped GaAs shell deposited at low temperature on core GaAs NWs is characterized for the first time. The growth is found to be epitaxial and to confer the quality of the core crystal to the shell crystal. As a consequence a high stacking fault density of the core NW limits the temperature of the shell growth due to the formation of clusters. Cross sections of (Ga,Mn)As shells are investigated. Simple kinetic and thermodynamical considerations lead to the conclusion of morphological instability of the low temperature radial growth. Analytical

  11. VLS growth of alternating InAsP/InP heterostructure nanowires for multiple-quantum-dot structures.

    Science.gov (United States)

    Tateno, Kouta; Zhang, Guoqiang; Gotoh, Hideki; Sogawa, Tetsuomi

    2012-06-13

    We investigated the Au-assisted growth of alternating InAsP/InP heterostructures in wurtzite InP nanowires on InP(111)B substrates for constructing multiple-quantum-dot structures. Vertical InP nanowires without stacking faults were obtained at a high PH(3)/TMIn mole flow ratio of 300-1000. We found that the growth rate changed largely when approximately 40 min passed. Ten InAsP layers were inserted in the InP nanowire, and it was found that both the InP growth rate and the background As level increased after the As supply. We also grew the same structure using TBAs/TBP and could reduce the As level in the InP segments. A simulation using a finite-difference time-domain method suggests that the nanowire growth was dominated by the diffusion of the reaction species with long residence time on the surface. For TBAs/TBP, when the source gases were changed, the formed surface species showed a short diffusion length so as to reduce the As background after the InAsP growth.

  12. In Situ TEM Creation of Nanowire Devices

    DEFF Research Database (Denmark)

    Alam, Sardar Bilal

    Integration of silicon nanowires (SiNWs) as active components in devices requires that desired mechanical, thermal and electrical interfaces can be established between the nanoscale geometry of the SiNW and the microscale architecture of the device. In situ transmission electron microscopy (TEM),...

  13. GaN Nanowire Arrays for High-Output Nanogenerators

    KAUST Repository

    Huang, Chi-Te

    2010-04-07

    Three-fold symmetrically distributed GaN nanowire (NW) arrays have been epitaxially grown on GaN/sapphire substrates. The GaN NW possesses a triangular cross section enclosed by (0001), (2112), and (2112) planes, and the angle between the GaN NW and the substrate surface is ∼62°. The GaN NW arrays produce negative output voltage pulses when scanned by a conductive atomic force microscope in contact mode. The average of piezoelectric output voltage was about -20 mV, while 5-10% of the NWs had piezoelectric output voltages exceeding -(0.15-0.35) V. The GaN NW arrays are highly stable and highly tolerate to moisture in the atmosphere. The GaN NW arrays demonstrate an outstanding potential to be utilized for piezoelectric energy generation with a performance probably better than that of ZnO NWs. © 2010 American Chemical Society.

  14. Selective growth of silica nanowires using an Au catalyst for optical recognition of interleukin-10

    Energy Technology Data Exchange (ETDEWEB)

    Sekhar, Praveen K; Ramgir, Niranjan S; Joshi, Rakesh K; Bhansali, Shekhar [Bio-MEMS and Microfabrication Laboratory, Department of Electrical Engineering, University of South Florida, 4202 E Fowler Avenue, ENB 118, Tampa, FL 33620 (United States)], E-mail: bhansali@eng.usf.edu

    2008-06-18

    The vapor-liquid-solid (VLS) growth procedure has been extended for the selective growth of silica nanowires on SiO{sub 2} layer by using Au as a catalyst. The nanowires were grown in an open tube furnace at 1100 deg. C for 60 min using Ar as a carrier gas. The average diameter of these bottom-up nucleated wires was found to be 200 nm. Transmission electron microscopy analysis indicates the amorphous nature of these nanoscale wires and suggests an Si-silica heterostructure. The localized silica nanowires have been used as an immunoassay template in the detection of interleukin-10 which is a lung cancer biomarker. Such a nanostructured platform offered a tenfold enhancement in the optical response, aiding the recognition of IL-10 in comparison to a bare silica substrate. The role of nanowires in the immunoassay was verified through the quenching behavior in the photoluminescence (PL) spectra. Two orders of reduction in PL intensity have been observed after completion of the immunoassay with significant quenching after executing every step of the protocol. The potential of this site-specific growth of silica nanowires on SiO{sub 2} as a multi-modal biosensing platform has been discussed.

  15. Transparently wrap-gated semiconductor nanowire arrays for studies of gate-controlled photoluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Nylund, Gustav; Storm, Kristian; Torstensson, Henrik; Wallentin, Jesper; Borgström, Magnus T.; Hessman, Dan; Samuelson, Lars [Solid State Physics, Nanometer Structure Consortium, Lund University, Box 118, S-221 00 Lund (Sweden)

    2013-12-04

    We present a technique to measure gate-controlled photoluminescence (PL) on arrays of semiconductor nanowire (NW) capacitors using a transparent film of Indium-Tin-Oxide (ITO) wrapping around the nanowires as the gate electrode. By tuning the wrap-gate voltage, it is possible to increase the PL peak intensity of an array of undoped InP NWs by more than an order of magnitude. The fine structure of the PL spectrum reveals three subpeaks whose relative peak intensities change with gate voltage. We interpret this as gate-controlled state-filling of luminescing quantum dot segments formed by zincblende stacking faults in the mainly wurtzite NW crystal structure.

  16. Ballistic One-Dimensional InAs Nanowire Cross-Junction Interconnects.

    Science.gov (United States)

    Gooth, Johannes; Borg, Mattias; Schmid, Heinz; Schaller, Vanessa; Wirths, Stephan; Moselund, Kirsten; Luisier, Mathieu; Karg, Siegfried; Riel, Heike

    2017-04-12

    Coherent interconnection of quantum bits remains an ongoing challenge in quantum information technology. Envisioned hardware to achieve this goal is based on semiconductor nanowire (NW) circuits, comprising individual NW devices that are linked through ballistic interconnects. However, maintaining the sensitive ballistic conduction and confinement conditions across NW intersections is a nontrivial problem. Here, we go beyond the characterization of a single NW device and demonstrate ballistic one-dimensional (1D) quantum transport in InAs NW cross-junctions, monolithically integrated on Si. Characteristic 1D conductance plateaus are resolved in field-effect measurements across up to four NW-junctions in series. The 1D ballistic transport and sub-band splitting is preserved for both crossing-directions. We show that the 1D modes of a single injection terminal can be distributed into multiple NW branches. We believe that NW cross-junctions are well-suited as cross-directional communication links for the reliable transfer of quantum information as required for quantum computational systems.

  17. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    Science.gov (United States)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  18. Growth, structure and phase transitions of epitaxial nanowires of III-V semiconductors

    International Nuclear Information System (INIS)

    Glas, F; Patriarche, G; Harmand, J C

    2010-01-01

    We review and illustrate the impact of TEM on the study of nanowires of non-nitride III-V semiconductors, with particular emphasis on the understanding of the thermodynamics and kinetics of their formation assisted by nano-sized catalyst particles. Besides providing basic information about the morphology of the nanowires and their growth rate as a function of diameter, TEM offers insights into the peculiar crystalline structure that they adopt. We discuss the formation of the unusual wurtzite hexagonal crystalline phase and that of planar stacking defects in these nanowires and show that they are kinetically controlled. We also demonstrate the transformation of wurtzite into cubic sphalerite upon epitaxial burying of the nanowires. Nanowires are particularly interesting in that they allow the fabrication of precisely positioned quantum dots with well-defined geometries. In this respect, we discuss the formation of strained quantum-size inclusions in nanowires, their critical dimensions and the kinetic and thermodynamic factors governing the changes of the crystalline structure that sometimes occur around a hetero-interface.

  19. IC Compatible Wafer Level Fabrication of Silicon Nanowire Field Effect Transistors for Biosensing Applications

    NARCIS (Netherlands)

    Moh, T.S.Y.

    2013-01-01

    In biosensing, nano-devices such as Silicon Nanowire Field Effect Transistors (SiNW FETs) are promising components/sensors for ultra-high sensitive detection, especially when samples are low in concentration or a limited volume is available. Current processing of SiNW FETs often relies on expensive

  20. Synthesis of hexagonal ultrathin tungsten oxide nanowires with diameters below 5 nm for enhanced photocatalytic performance

    Science.gov (United States)

    Lu, Huidan; Zhu, Qin; Zhang, Mengying; Yan, Yi; Liu, Yongping; Li, Ming; Yang, Zhishu; Geng, Peng

    2018-04-01

    Semiconductor with one dimension (1D) ultrathin nanostructure has been proved to be a promising nanomaterial in photocatalytic field. Great efforts were made on preparation of monoclinic ultrathin tungsten oxide nanowires. However, non-monoclinic phase tungsten oxides with 1D ultrathin structure, especially less than 5 nm width, have not been reported. Herein, we report the synthesis of hexagonal ultrathin tungsten oxide nanowires (U-WOx NW) by modified hydrothermal method. Microstructure characterization showed that U-WOx NW have the diameters of 1-3 nm below 5 nm and are hexagonal phase sub-stoichiometric WOx. U-WOx NW show absorption tail in the visible and near infrared region due to oxygen vacancies. For improving further photocatalytic performance, Ag co-catalyst was grown directly onto U-WOx NW surface by in situ redox reaction. Photocatalytic measurements revealed hexagonal U-WOx NW have better photodegradation activity, compared with commercial WO3(C-WO3) and oxidized U-WOx NW, ascribe to larger surface area, short diffusion length of photo-generated charge carriers and visible absorption of oxygen-vacancy-rich hexagonal ultrathin nanostructures. Moreover, the photocatalytic activity and stability of U-WOx NW using Ag co-catalyst were further improved.

  1. Highly flexible peeled-off silver nanowire transparent anode using in organic light-emitting devices

    Energy Technology Data Exchange (ETDEWEB)

    Duan, Ya-Hui; Duan, Yu, E-mail: duanyu@jlu.edu.cn; Wang, Xiao; Yang, Dan; Yang, Yong-Qiang; Chen, Ping; Sun, Feng-Bo; Xue, Kai-Wen; Zhao, Yi

    2015-10-01

    Graphical abstract: - Highlights: • An ultra-smooth AgNW film on a flexible photopolymer substrate has been fabricated. • The AgNW film has a low sheet resistance with high transparency and flexibility. • OLEDs based on AgNW:NOA63 substrate can be bent at a radius of curvature of 2 mm. - Abstract: Materials to replace indium tin oxide (ITO) for high transmittance and electrical conductivity are urgently needed. In this paper, we adopted a silver nanowire (AgNW)-photopolymer (NOA63) film as a new platform for flexible optoelectronic devices. This design combined a transparent electrode and a flexible substrate. We utilized this application to obtain flexible organic light-emitting devices (FOLEDs). A peel-off process combined with a spin-coating process created an ultra-smooth silver nanowire anode on a photopolymer substrate. The performance of the device was achieved via the perfect morphology of the AgNW anode, the optimal 5 mg/ml concentration of AgNW solution, and the 45.7 Ω/□ sheet resistance of the AgNW film. The maximum current efficiency of the FOLED is 13 cd/A with stable mechanical flexibility even when bent to a radius of curvature of 2 mm. The outstanding performance of the FOLED with peeled off AgNW anode shows that this approach is a promising alternative to ITO for FOLEDs.

  2. MOCVD growth and structural characterization of In-Sb-Te nanowires

    International Nuclear Information System (INIS)

    Selmo, S.; Fanciulli, M.; Cecchi, S.; Cecchini, R.; Wiemer, C.; Longo, M.; Rotunno, E.; Lazzarini, L.

    2016-01-01

    In this work, the self-assembly of In 3 Sb 1 Te 2 and In-doped Sb 4 Te 1 nanowires (NWs) for phase change memories application was achieved by metal organic chemical vapor deposition, coupled with vapor-liquid-solid (VLS) mechanism, catalyzed by Au nanoparticles. Single crystal In 3 Sb 1 Te 2 and In-doped Sb 4 Te 1 NWs were obtained for different reactor pressures at 325 C. The parameters influencing the NW self-assembly were studied and the compositional, morphological, and structural analysis of the grown structures was performed, also comparing the effect of the used substrate (crystalline Si and SiO 2 ). In both cases, NWs of several micrometer in length and with diameters as small as 15 nm were obtained. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Nitrogen doped graphene - Silver nanowire hybrids: An excellent anode material for lithium ion batteries

    Science.gov (United States)

    Nair, Anju K.; Elizabeth, Indu; S, Gopukumar; Thomas, Sabu; M. S, Kala; Kalarikkal, Nandakumar

    2018-01-01

    We present an in-situ polyol assisted synthesis approach for the preparation of silver nanowires (AgNW) over the nitrogen doped graphene (NG) sheets and has been tested as a viable LIBs anode material for the first time. The use of NG serves as nucleation sites, thereby facilitating the growth of AgNWs. The specific material design of the as-prepared NG-AgNW hybrids involves some advantages, including a continuous AgNW-graphene conducting network. Since AgNWs are electrically conductive, it provides an electrical contact with NG sheets which can effectively help the charge transport process and limit the variations in volume during the lithiation/de-lithiation processes. Apart from this, the insertion of metallic Ag nanowires into a percolated NG network increases the interlayer distance of NG sheets and prevent its restacking. Moreover, the more porous nature of the hybrid structure accommodating the large volume changes of AgNWs. As an anode material for LIBs, the NG-AgNW hybrid displays a remarkable initial discharge capacity of 1215 mAh g-1 and attains a stable capacity of 724 mAh g-1 at a current density of 100 mA g-1 after 50 cycles. The electrode exhibits a stable reversible capacity of 714, 634, 550 and 464 mA h g-1 at 0.1, 0.2, 0.5, 1 Ag-1 respectively. The reversible capacity (710 mAh g-1) at 0.1 Ag-1 is recovered after the cycling at various current densities confirming outstanding rate performance of the material. In addition, the coulombic efficiency, the NG-AgNW anode retains nearly 99% after the second cycle, further indicating its excellent reversibility. The hybrid material exhibits better cycling stability, greater rate capability, capacity retention and superior reversible capacity than that of bare AgNW and NG sheets. Our smart design will pave way for the development of efficient electrode materials for high capacity and long cycle life LIBs.

  4. Sr-doped nanowire modification of Ca-Si-based coatings for improved osteogenic activities and reduced inflammatory reactions

    Science.gov (United States)

    Li, Kai; Hu, Dandan; Xie, Youtao; Huang, Liping; Zheng, Xuebin

    2018-02-01

    Biomedical coatings for orthopedic implants should facilitate osseointegration and mitigate implant-induced inflammatory reactions. In our study, Ca-Si coatings with Sr-containing nanowire-like structures (NW-Sr-CS) were achieved via hydrothermal treatment. In order to identify the effect of nanowire-like topography and Sr dopant on the biological properties of Ca-Si-based coatings, the original Ca-Si coating, Ca-Si coatings modified with nanoplate (NP-CS) and similar nanowire-like structure (NW-CS) were fabricated as the control. Surface morphology, phase composition, surface area, zeta potential and ion release of these coatings were characterized. The in vitro osteogenic activities and immunomodulatory properties were evaluated with bone marrow stromal cells (BMSCs) and RAW 264.7 cells, a mouse macrophage cell line. Compared with the CS and NP-CS coatings, the NW-CS coating possessed a larger surface area and pore volume, beneficial protein adsorption, up-regulated the expression levels of integrin β1, Vinculin and focal adhesion kinase and promoted cell spreading. Furthermore, the NW-CS coating significantly enhanced the osteogenic differentiation and mineralization as indicated by the up-regulation of ALP activity, mineralized nodule formation and osteoblastogenesis-related gene expression. With the introduction of Sr, the NW-Sr-CS coatings exerted a greater effect on the BMSC proliferation rate, calcium sensitive receptor gene expression as well as PKC and ERK1/2 phosphorylation. In addition, the Sr-doped coatings significantly up-regulated the ratio of OPG/RANKL in the BMSCs. The NW-Sr-CS coatings could modulate the polarization of macrophages towards the wound-healing M2 phenotype, reduce the mRNA expression levels of pro-inflammatory cytokines (TNF-α, IL-1β, IL-6) and enhance anti-inflammatory cytokines (IL-1ra, IL-10). The Sr-doped nanowire modification may be a valuable approach to enhance osteogenic activities and reduce inflammatory reactions.

  5. Effect of e-beam dose on the fractional density of Au-catalyzed GaAs nanowire growth

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jeung Hun, E-mail: jeunghunpark@gmail.com [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States); Gambin, Vincent [Northrop Grumman Aerospace Systems, Redondo Beach, CA 90278 (United States); Kodambaka, Suneel, E-mail: kodambaka@ucla.edu [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2016-05-31

    Using Au/GaAs as a model system, the effect of initial catalyst patterning conditions on the growth of nanowire was studied. Resulting morphologies and fractional surface densities are determined as a function of e-beam dose, dot size, and inter-dot spacing using scanning and transmission electron microscopies. The majority of resulting nanowires grow randomly oriented with respect to the substrate. The nanowires are tapered with narrow tops, wider bases, and catalysts at the wire tips — characteristics of vapor–liquid–solid process. The base diameters of the wires are larger than the dot size, which is likely due to the non-catalyzed vapor–solid deposition along the sidewalls. The higher dose rate used in pattering leads to the formation of higher aspect ratio nanowires with narrower bases. The fractional surface density is found to increase linearly with the clearing dose and the critical dose for nanowire growth increases with decreasing catalyst pattern size and spacing. At a given dose, the fractional density increases with increasing Au dot size and with decreasing inter-dot spacing. Our results may provide new insights into the role of catalyst preparing conditions on the high density, wafer-scale growth of nanowires. - Highlights: • Initial Au catalyst layers are prepared using electron beam lithography. • GaAs nanowires are grown on GaAs(111)B using molecular beam epitaxy. • Effect of dose, size and spacing of Au dots on morphology and density is studied. • Density of nanowires is controlled by changing exposed dose on Au catalyst.

  6. Vertically grown Ge nanowire Schottky diodes on Si and Ge substrates

    Science.gov (United States)

    Chandra, Nishant; Tracy, Clarence J.; Cho, Jeong-Hyun; Picraux, S. T.; Hathwar, Raghuraj; Goodnick, Stephen M.

    2015-07-01

    The processing and performance of Schottky diodes formed from arrays of vertical Ge nanowires (NWs) grown on Ge and Si substrates are reported. The goal of this work is to investigate CMOS compatible processes for integrating NWs as components of vertically scaled integrated circuits, and elucidate transport in vertical Schottky NWs. Vertical phosphorus (P) doped Ge NWs were grown using vapor-liquid-solid epitaxy, and nickel (Ni)-Ge Schottky contacts were made to the tops of the NWs. Current-voltage (I-V) characteristics were measured for variable ranges of NW diameters and numbers of nanowires in the arrays, and the I-V characteristics were fit using modified thermionic emission theory to extract the barrier height and ideality factor. As grown NWs did not show rectifying behavior due to the presence of heavy P side-wall doping during growth, resulting in a tunnel contact. After sidewall etching using a dilute peroxide solution, rectifying behavior was obtained. Schottky barrier heights of 0.3-0.4 V and ideality factors close to 2 were extracted using thermionic emission theory, although the model does not give an accurate fit across the whole bias range. Attempts to account for enhanced side-wall conduction due to non-uniform P doping profile during growth through a simple shunt resistance improve the fit, but are still insufficient to provide a good fit. Full three-dimensional numerical modeling using Silvaco Atlas indicates that at least part of this effect is due to the presence of fixed charge and acceptor like traps on the NW surface, which leads to effectively high ideality factors.

  7. The influence of passivation and photovoltaic properties of α-Si:H coverage on silicon nanowire array solar cells

    Science.gov (United States)

    2013-01-01

    Silicon nanowire (SiNW) arrays for radial p-n junction solar cells offer potential advantages of light trapping effects and quick charge collection. Nevertheless, lower open circuit voltages (Voc) lead to lower energy conversion efficiencies. In such cases, the performance of the solar cells depends critically on the quality of the SiNW interfaces. In this study, SiNW core-shell solar cells have been fabricated by growing crystalline silicon (c-Si) nanowires via the metal-assisted chemical etching method and by depositing hydrogenated amorphous silicon (α-Si:H) via the plasma-enhanced chemical vapor deposition (PECVD) method. The influence of deposition parameters on the coverage and, consequently, the passivation and photovoltaic properties of α-Si:H layers on SiNW solar cells have been analyzed. PMID:24059343

  8. Effects of Precursor-Substrate Distances on the Growth of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Hongbin Cheng

    2015-01-01

    Full Text Available GaN nanowires were synthesized through the Ni-catalyzed chemical vapor deposition (CVD method using Ga2O3/GaN mixtures as gallium sources, and precursor-substrate distances were investigated as the important factor for the growth of GaN nanowires. The microstructure, composition, and photoluminescence property were characterized by X-ray diffraction, field emission scanning electron microscopy, high-resolution transmission electron microscopy, and photoluminescence spectra. The results showed that single crystalline GaN nanowires with the diameter of about 90 nm and the length up to tens of micrometers had been grown thickly across Si (100 substrates with uniform density. Moreover, the variations of the GaN nanowire morphology, density, and size were largely attributed to substrate positions which would influence Ga precursor density in the carrier gas, the saturation degree of gaseous reactants, and the catalyst activity, respectively, in the fabrication of GaN nanowires by the vapour liquid solid mechanism.

  9. Recovery Based Nanowire Field-Effect Transistor Detection of Pathogenic Avian Influenza DNA

    Science.gov (United States)

    Lin, Chih-Heng; Chu, Chia-Jung; Teng, Kang-Ning; Su, Yi-Jr; Chen, Chii-Dong; Tsai, Li-Chu; Yang, Yuh-Shyong

    2012-02-01

    Fast and accurate diagnosis is critical in infectious disease surveillance and management. We proposed a DNA recovery system that can easily be adapted to DNA chip or DNA biosensor for fast identification and confirmation of target DNA. This method was based on the re-hybridization of DNA target with a recovery DNA to free the DNA probe. Functionalized silicon nanowire field-effect transistor (SiNW FET) was demonstrated to monitor such specific DNA-DNA interaction using high pathogenic strain virus hemagglutinin 1 (H1) DNA of avian influenza (AI) as target. Specific electric changes were observed in real-time for AI virus DNA sensing and device recovery when nanowire surface of SiNW FET was modified with complementary captured DNA probe. The recovery based SiNW FET biosensor can be further developed for fast identification and further confirmation of a variety of influenza virus strains and other infectious diseases.

  10. Site-Specific Growth and in Situ Integration of Different Nanowire Material Networks on a Single Chip: Toward a Nanowire-Based Electronic Nose for Gas Detection.

    Science.gov (United States)

    Hrachowina, Lukas; Domènech-Gil, Guillem; Pardo, Antonio; Seifner, Michael S; Gràcia, Isabel; Cané, Carles; Romano-Rodríguez, Albert; Barth, Sven

    2018-03-23

    A new method for the site-selective synthesis of nanowires has been developed to enable material growth with defined morphology and, at the same time, different composition on the same chip surface. The chemical vapor deposition approach for the growth of these nanowire-based resistive devices using micromembranes can be easily modified and represents a simple, adjustable fabrication process for the direct integration of nanowire meshes in multifunctional devices. This proof-of-concept study includes the deposition of SnO 2 , WO 3 , and Ge nanowires on the same chip. The individual resistors exhibit adequate gas sensing responses toward changing gas concentrations of CO, NO 2 , and humidity diluted in synthetic air. The data have been processed by principal component analysis with cluster responses that can be easily separated, and thus, the devices described herein are in principle suitable for environmental monitoring.

  11. Demonstration of GaAsSb/InAs nanowire backward diodes grown using position-controlled vapor-liquid-solid method

    Science.gov (United States)

    Kawaguchi, Kenichi; Takahashi, Tsuyoshi; Okamoto, Naoya; Sato, Masaru

    2018-02-01

    p-GaAsSb/n-InAs type-II nanowire (NW) diodes were fabricated using the position-controlled vapor-liquid-solid growth method. InAs and GaAsSb NW segments were grown vertically on GaAs(111)B substrates with the assistance of Au catalysts. Transmission electron microscopy-energy-dispersive X-ray spectroscopy analysis revealed that the GaAsSb segments have an Sb content of 40%, which is sufficient to form a tunnel heterostructure. Scanning capacitance microscope images clearly indicated the formation of a p-n junction in the NWs. Backward diode characteristics, that is, current flow toward negative bias originating from a tunnel current and current suppression toward positive bias by a heterobarrier, were demonstrated.

  12. Single nanowire green InGaN/GaN light emitting diodes

    Science.gov (United States)

    Zhang, Guogang; Li, Ziyuan; Yuan, Xiaoming; Wang, Fan; Fu, Lan; Zhuang, Zhe; Ren, Fang-Fang; Liu, Bin; Zhang, Rong; Tan, Hark Hoe; Jagadish, Chennupati

    2016-10-01

    Single nanowire (NW) green InGaN/GaN light-emitting diodes (LEDs) were fabricated by top-down etching technology. The electroluminescence (EL) peak wavelength remains approximately constant with an increasing injection current in contrast to a standard planar LED, which suggests that the quantum-confined Stark effect is significantly reduced in the single NW device. The strain relaxation mechanism is studied in the single NW LED using Raman scattering analysis. As compared to its planar counterpart, the EL peak of the NW LED shows a redshift, due to electric field redistribution as a result of changes in the cavity mode pattern after metallization. Our method has important implication for single NW optoelectronic device applications.

  13. Epitaxy-enabled vapor-liquid-solid growth of tin-doped indium oxide nanowires with controlled orientations

    KAUST Repository

    Shen, Youde; Turner, Stuart G.; Yang, Ping; Van Tendeloo, Gustaaf; Lebedev, Oleg I.; Wu, Tao

    2014-01-01

    challenges in reliably achieving these goals of orientation-controlled nanowire synthesis and assembly. Here we report that growth of planar, vertical and randomly oriented tin-doped indium oxide (ITO) nanowires can be realized on yttria-stabilized zirconia

  14. Shape Engineering Driven by Selective Growth of SnO2 on Doped Ga2O3 Nanowires.

    Science.gov (United States)

    Alonso-Orts, Manuel; Sánchez, Ana M; Hindmarsh, Steven A; López, Iñaki; Nogales, Emilio; Piqueras, Javier; Méndez, Bianchi

    2017-01-11

    Tailoring the shape of complex nanostructures requires control of the growth process. In this work, we report on the selective growth of nanostructured tin oxide on gallium oxide nanowires leading to the formation of SnO 2 /Ga 2 O 3 complex nanostructures. Ga 2 O 3 nanowires decorated with either crossing SnO 2 nanowires or SnO 2 particles have been obtained in a single step treatment by thermal evaporation. The reason for this dual behavior is related to the growth direction of trunk Ga 2 O 3 nanowires. Ga 2 O 3 nanowires grown along the [001] direction favor the formation of crossing SnO 2 nanowires. Alternatively, SnO 2 forms rhombohedral particles on [110] Ga 2 O 3 nanowires leading to skewer-like structures. These complex oxide structures were grown by a catalyst-free vapor-solid process. When pure Ga and tin oxide were used as source materials and compacted powders of Ga 2 O 3 acted as substrates, [110] Ga 2 O 3 nanowires grow preferentially. High-resolution transmission electron microscopy analysis reveals epitaxial relationship lattice matching between the Ga 2 O 3 axis and SnO 2 particles, forming skewer-like structures. The addition of chromium oxide to the source materials modifies the growth direction of the trunk Ga 2 O 3 nanowires, growing along the [001], with crossing SnO 2 wires. The SnO 2 /Ga 2 O 3 junctions does not meet the lattice matching condition, forming a grain boundary. The electronic and optical properties have been studied by XPS and CL with high spatial resolution, enabling us to get both local chemical and electronic information on the surface in both type of structures. The results will allow tuning optical and electronic properties of oxide complex nanostructures locally as a function of the orientation. In particular, we report a dependence of the visible CL emission of SnO 2 on its particular shape. Orange emission dominates in SnO 2 /Ga 2 O 3 crossing wires while green-blue emission is observed in SnO 2 particles attached to Ga 2

  15. Silicon nanowires for photovoltaic solar energy conversion.

    Science.gov (United States)

    Peng, Kui-Qing; Lee, Shuit-Tong

    2011-01-11

    Semiconductor nanowires are attracting intense interest as a promising material for solar energy conversion for the new-generation photovoltaic (PV) technology. In particular, silicon nanowires (SiNWs) are under active investigation for PV applications because they offer novel approaches for solar-to-electric energy conversion leading to high-efficiency devices via simple manufacturing. This article reviews the recent developments in the utilization of SiNWs for PV applications, the relationship between SiNW-based PV device structure and performance, and the challenges to obtaining high-performance cost-effective solar cells.

  16. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  17. Analysis of surface states in ZnO nanowire field effect transistors

    International Nuclear Information System (INIS)

    Shao, Ye; Yoon, Jongwon; Kim, Hyeongnam; Lee, Takhee; Lu, Wu

    2014-01-01

    Highlights: • The electron transport in ZnO nanowire FETs is space charged limited below a trap temperature. • Metallic contacts to ZnO nanowires exhibit non-linear behavior with a Schottky barrier height of ∼0.35 eV. • The surface state density is in the range of 1.04 × 10 10 –1.24 × 10 10 /cm 2 . • The trap activation energy is ∼0.26 eV. - Abstract: Nanowires (NWs) have attracted considerable interests for scaled electronic and optoelectronic device applications. However, NW based semiconductor devices normally suffer from surface states due to the existence of dangling bonds or surface reconstruction. Because of their large surface-to-volume ratio, surface states in NWs can easily affect the metallic contacts to NWs and electron transport in NW. Here, we present ZnO NW surface analysis by performing current–voltage characterization on ZnO NW Schottky barrier field effect transistors with different metal contacts (Ti, Al, Au) at both room temperature and cryogenic temperature. Our results show that three metal contacts are all Schottky contacts to ZnO NWs due to surface states. Our further study reveals: (a) the surface states related Schottky barrier height (SBH) can be extracted from a back to back Schottky diodes model and the SBH values are in the range of 0.34–0.37 eV for three metal contacts; (b) the trap activation energy determined from the Arrhenius plots of different Schottky metal contacts is in the range of 0.23–0.29 eV, which is oxygen vacancies related; and (c) based on the space-charge-limited model, the surface state density of ZnO NW is in the range of 1.04 × 10 10 –1.24 × 10 10 /cm 2

  18. Electrohydrodynamic printing of silver nanowires for flexible and stretchable electronics.

    Science.gov (United States)

    Cui, Zheng; Han, Yiwei; Huang, Qijin; Dong, Jingyan; Zhu, Yong

    2018-04-19

    A silver nanowire (AgNW) based conductor is a promising component for flexible and stretchable electronics. A wide range of flexible/stretchable devices using AgNW conductors has been demonstrated recently. High-resolution, high-throughput printing of AgNWs remains a critical challenge. Electrohydrodynamic (EHD) printing has been developed as a promising technique to print different materials on a variety of substrates with high resolution. Here, AgNW ink was developed for EHD printing. The printed features can be controlled by several parameters including AgNW concentration, ink viscosity, printing speed, stand-off distance, etc. With this method, AgNW patterns can be printed on a range of substrates, e.g. paper, polyethylene terephthalate (PET), glass, polydimethylsiloxane (PDMS), etc. First, AgNW samples on PDMS were characterized under bending and stretching. Then AgNW heaters and electrocardiogram (ECG) electrodes were fabricated to demonstrate the potential of this printing technique for AgNW-based flexible and stretchable devices.

  19. Phosphorus Doped Zn 1- x Mg x O Nanowire Arrays

    KAUST Repository

    Lin, S. S.

    2009-11-11

    We demonstrate the growth of phosphorus doped Zn 1-xMg xO nanowire (NW) using pulsed laser deposition. For the first time, p-type Zn 0.92Mg 0.08O:P NWs are likely obtained In reference to atomic force microscopy based piezoelectric output measurements, X-ray photoelectron spectroscopy, and the transport property between the NWs and a n-type ZnO film. A shallow acceptor level of ∼140 meV Is identified by temperaturedependent photoluminescence. A piezoelectric output of 60 mV on average has been received using the doped NWs. Besides a control on NW aspect ratio and density, band gap engineering has also been achieved by alloying with Mg to a content of x = 0.23. The alloyed NWs with controllable conductivity type have potential application In high-efficiency all-ZnO NWs based LED, high-output ZnO nanogenerator, and other optical or electrical devices. © 2009 American Chemical Society.

  20. Engineering in-plane silicon nanowire springs for highly stretchable electronics

    Science.gov (United States)

    Xue, Zhaoguo; Dong, Taige; Zhu, Zhimin; Zhao, Yaolong; Sun, Ying; Yu, Linwei

    2018-01-01

    Crystalline silicon (c-Si) is unambiguously the most important semiconductor that underpins the development of modern microelectronics and optoelectronics, though the rigid and brittle nature of bulk c-Si makes it difficult to implement directly for stretchable applications. Fortunately, the one-dimensional (1D) geometry, or the line-shape, of Si nanowire (SiNW) can be engineered into elastic springs, which indicates an exciting opportunity to fabricate highly stretchable 1D c-Si channels. The implementation of such line-shape-engineering strategy demands both a tiny diameter of the SiNWs, in order to accommodate the strains under large stretching, and a precise growth location, orientation and path control to facilitate device integration. In this review, we will first introduce the recent progresses of an in-plane self-assembly growth of SiNW springs, via a new in-plane solid-liquid-solid (IPSLS) mechanism, where mono-like but elastic SiNW springs are produced by surface-running metal droplets that absorb amorphous Si thin film as precursor. Then, the critical growth control and engineering parameters, the mechanical properties of the SiNW springs and the prospects of developing c-Si based stretchable electronics, will be addressed. This efficient line-shape-engineering strategy of SiNW springs, accomplished via a low temperature batch-manufacturing, holds a strong promise to extend the legend of modern Si technology into the emerging stretchable electronic applications, where the high carrier mobility, excellent stability and established doping and passivation controls of c-Si can be well inherited. Project supported by the National Basic Research 973 Program (No. 2014CB921101), the National Natural Science Foundation of China (No. 61674075), the National Key Research and Development Program of China (No. 2017YFA0205003), the Jiangsu Excellent Young Scholar Program (No. BK20160020), the Scientific and Technological Support Program in Jiangsu Province (No. BE

  1. Facile Five-Step Heteroepitaxial Growth of GaAs Nanowires on Silicon Substrates and the Twin Formation Mechanism.

    Science.gov (United States)

    Yao, Maoqing; Sheng, Chunyang; Ge, Mingyuan; Chi, Chun-Yung; Cong, Sen; Nakano, Aiichiro; Dapkus, P Daniel; Zhou, Chongwu

    2016-02-23

    Monolithic integration of III-V semiconductors with Si has been pursued for some time in the semiconductor industry. However, the mismatch of lattice constants and thermal expansion coefficients represents a large technological challenge for the heteroepitaxial growth. Nanowires, due to their small lateral dimension, can relieve strain and mitigate dislocation formation to allow single-crystal III-V materials to be grown on Si. Here, we report a facile five-step heteroepitaxial growth of GaAs nanowires on Si using selective area growth (SAG) in metalorganic chemical vapor deposition, and we further report an in-depth study on the twin formation mechanism. Rotational twin defects were observed in the nanowire structures and showed strong dependence on the growth condition and nanowire size. We adopt a model of faceted growth to demonstrate the formation of twins during growth, which is well supported by both a transmission electron microscopy study and simulation based on nucleation energetics. Our study has led to twin-free segments in the length up to 80 nm, a significant improvement compared to previous work using SAG. The achievements may open up opportunities for future functional III-V-on-Si heterostructure devices.

  2. Relaxing the electrostatic screening effect by patterning vertically-aligned silicon nanowire arrays into bundles for field emission application

    Energy Technology Data Exchange (ETDEWEB)

    Hung, Yung-Jr, E-mail: yungjrhung@gmail.com [Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Department of Photonics, National Sun Yat-sen University, No. 70, Lienhai Rd., Kaohsiung 80424, Taiwan, ROC (China); Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Lee, San-Liang [Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Beng, Looi Choon [Faculty of Engineering, Multimedia University, Jalan Multimedia, 63100 Cyberjaya, Selangor (Malaysia); Chang, Hsuan-Chen [Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Huang, Yung-Jui [Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Lee, Kuei-Yi; Huang, Ying-Sheng [Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China)

    2014-04-01

    Top-down fabrication strategies are proposed and demonstrated to realize arrays of vertically-aligned silicon nanowire bundles and bundle arrays of carbon nanotube–silicon nanowire (CNT–SiNW) heterojunctions, aiming for releasing the electrostatic screening effect and improving the field emission characteristics. The trade-off between the reduction in the electrostatic screening effect and the decrease of emission sites leads to an optimal SiNW bundle arrangement which enables the lowest turn-on electric field of 1.4 V/μm and highest emission current density of 191 μA/cm{sup 2} among all testing SiNW samples. Benefiting from the superior thermal and electrical properties of CNTs and the flexible patterning technologies available for SiNWs, bundle arrays of CNT–SiNW heterojunctions show improved and highly-uniform field emission with a lower turn-on electric field of 0.9 V/μm and higher emission current density of 5.86 mA/cm{sup 2}. The application of these materials and their corresponding fabrication approaches is not limited to the field emission but can be used for a variety of emerging fields like nanoelectronics, lithium-ion batteries, and solar cells. - Highlights: • Aligned silicon nanowire (SiNW) bundle arrays are realized with top-down methods. • Growing carbon nanotubes atop SiNW bundle arrays enable uniform field emission. • A turn-on field of 0.9 V/μm and an emission current of > 5 mA/cm{sup 2} are achieved.

  3. Three-dimensional vertical Si nanowire MOS capacitor model structure for the study of electrical versus geometrical Si nanowire characteristics

    Science.gov (United States)

    Hourdakis, E.; Casanova, A.; Larrieu, G.; Nassiopoulou, A. G.

    2018-05-01

    Three-dimensional (3D) Si surface nanostructuring is interesting towards increasing the capacitance density of a metal-oxidesemiconductor (MOS) capacitor, while keeping reduced footprint for miniaturization. Si nanowires (SiNWs) can be used in this respect. With the aim of understanding the electrical versus geometrical characteristics of such capacitors, we fabricated and studied a MOS capacitor with highly ordered arrays of vertical Si nanowires of different lengths and thermal silicon oxide dielectric, in comparison to similar flat MOS capacitors. The high homogeneity and ordering of the SiNWs allowed the determination of the single SiNW capacitance and intrinsic series resistance, as well as other electrical characteristics (density of interface states, flat-band voltage and leakage current) in relation to the geometrical characteristics of the SiNWs. The SiNW capacitors demonstrated increased capacitance density compared to the flat case, while maintaining a cutoff frequency above 1 MHz, much higher than in other reports in the literature. Finally, our model system has been shown to constitute an excellent platform for the study of SiNW capacitors with either grown or deposited dielectrics, as for example high-k dielectrics for further increasing the capacitance density. This will be the subject of future work.

  4. In situ passivation of GaAsP nanowires.

    Science.gov (United States)

    Himwas, C; Collin, S; Rale, P; Chauvin, N; Patriarche, G; Oehler, F; Julien, F H; Travers, L; Harmand, J-C; Tchernycheva, M

    2017-12-08

    We report on the structural and optical properties of GaAsP nanowires (NWs) grown by molecular-beam epitaxy. By adjusting the alloy composition in the NWs, the transition energy was tuned to the optimal value required for tandem III-V/silicon solar cells. We discovered that an unintentional shell was also formed during the GaAsP NW growth. The NW surface was passivated by an in situ deposition of a radial Ga(As)P shell. Different shell compositions and thicknesses were investigated. We demonstrate that the optimal passivation conditions for GaAsP NWs (with a gap of 1.78 eV) are obtained with a 5 nm thick GaP shell. This passivation enhances the luminescence intensity of the NWs by 2 orders of magnitude and yields a longer luminescence decay. The luminescence dynamics changes from single exponential decay with a 4 ps characteristic time in non-passivated NWs to a bi-exponential decay with characteristic times of 85 and 540 ps in NWs with GaP shell passivation.

  5. In situ passivation of GaAsP nanowires

    Science.gov (United States)

    Himwas, C.; Collin, S.; Rale, P.; Chauvin, N.; Patriarche, G.; Oehler, F.; Julien, F. H.; Travers, L.; Harmand, J.-C.; Tchernycheva, M.

    2017-12-01

    We report on the structural and optical properties of GaAsP nanowires (NWs) grown by molecular-beam epitaxy. By adjusting the alloy composition in the NWs, the transition energy was tuned to the optimal value required for tandem III-V/silicon solar cells. We discovered that an unintentional shell was also formed during the GaAsP NW growth. The NW surface was passivated by an in situ deposition of a radial Ga(As)P shell. Different shell compositions and thicknesses were investigated. We demonstrate that the optimal passivation conditions for GaAsP NWs (with a gap of 1.78 eV) are obtained with a 5 nm thick GaP shell. This passivation enhances the luminescence intensity of the NWs by 2 orders of magnitude and yields a longer luminescence decay. The luminescence dynamics changes from single exponential decay with a 4 ps characteristic time in non-passivated NWs to a bi-exponential decay with characteristic times of 85 and 540 ps in NWs with GaP shell passivation.

  6. Influence of the Hydrothermal Method Growth Parameters on the Zinc Oxide Nanowires Deposited on Several Substrates

    Directory of Open Access Journals (Sweden)

    Concepción Mejía-García

    2014-01-01

    Full Text Available We report the synthesis of ZnO nanowires grown on several substrates (PET, glass, and Si using a two-step process: (a preparation of the seed layer on the substrate by spin coating, from solutions of zinc acetate dihydrate and 1-propanol, and (b growth of the ZnO nanostructures by dipping the substrate in an equimolar solution of zinc nitrate hexahydrate and hexamethylenetetramine. Subsequently, films were thermally treated with a commercial microwave oven (350 and 700 W for 5, 20, and 35 min. The ZnO nanowires obtained were characterized structurally, morphologically, and optically using XRD, SEM, and UV-VIS transmission, respectively. XRD patterns spectra revealed the presence of Zn(OH2 on the films grown on glass and Si substrates. A preferential orientation along c-axis directions for films grown on PET substrate was observed. An analysis by SEM revealed that the growth of the ZnO nanowires on PET and glass is better than the growth on Si when the same growth parameters are used. On glass substrates, ZnO nanowires less than 50 nm in diameter and between 200 nm and 1200 nm in length were obtained. The ZnO nanowires band gap energy for the films grown on PET and glass was obtained from optical transmission spectra.

  7. Scalable Coating and Properties of Transparent, Flexible, Silver Nanowire Electrodes

    KAUST Repository

    Hu, Liangbing

    2010-05-25

    We report a comprehensive study of transparent and conductive silver nanowire (Ag NW) electrodes, including a scalable fabrication process, morphologies, and optical, mechanical adhesion, and flexibility properties, and various routes to improve the performance. We utilized a synthesis specifically designed for long and thin wires for improved performance in terms of sheet resistance and optical transmittance. Twenty Ω/sq and ∼ 80% specular transmittance, and 8 ohms/sq and 80% diffusive transmittance in the visible range are achieved, which fall in the same range as the best indium tin oxide (ITO) samples on plastic substrates for flexible electronics and solar cells. The Ag NW electrodes show optical transparencies superior to ITO for near-infrared wavelengths (2-fold higher transmission). Owing to light scattering effects, the Ag NW network has the largest difference between diffusive transmittance and specular transmittance when compared with ITO and carbon nanotube electrodes, a property which could greatly enhance solar cell performance. A mechanical study shows that Ag NW electrodes on flexible substrates show excellent robustness when subjected to bending. We also study the electrical conductance of Ag nanowires and their junctions and report a facile electrochemical method for a Au coating to reduce the wire-to-wire junction resistance for better overall film conductance. Simple mechanical pressing was also found to increase the NW film conductance due to the reduction of junction resistance. The overall properties of transparent Ag NW electrodes meet the requirements of transparent electrodes for many applications and could be an immediate ITO replacement for flexible electronics and solar cells. © 2010 American Chemical Society.

  8. Scalable Coating and Properties of Transparent, Flexible, Silver Nanowire Electrodes

    KAUST Repository

    Hu, Liangbing; Kim, Han Sun; Lee, Jung-Yong; Peumans, Peter; Cui, Yi

    2010-01-01

    We report a comprehensive study of transparent and conductive silver nanowire (Ag NW) electrodes, including a scalable fabrication process, morphologies, and optical, mechanical adhesion, and flexibility properties, and various routes to improve the performance. We utilized a synthesis specifically designed for long and thin wires for improved performance in terms of sheet resistance and optical transmittance. Twenty Ω/sq and ∼ 80% specular transmittance, and 8 ohms/sq and 80% diffusive transmittance in the visible range are achieved, which fall in the same range as the best indium tin oxide (ITO) samples on plastic substrates for flexible electronics and solar cells. The Ag NW electrodes show optical transparencies superior to ITO for near-infrared wavelengths (2-fold higher transmission). Owing to light scattering effects, the Ag NW network has the largest difference between diffusive transmittance and specular transmittance when compared with ITO and carbon nanotube electrodes, a property which could greatly enhance solar cell performance. A mechanical study shows that Ag NW electrodes on flexible substrates show excellent robustness when subjected to bending. We also study the electrical conductance of Ag nanowires and their junctions and report a facile electrochemical method for a Au coating to reduce the wire-to-wire junction resistance for better overall film conductance. Simple mechanical pressing was also found to increase the NW film conductance due to the reduction of junction resistance. The overall properties of transparent Ag NW electrodes meet the requirements of transparent electrodes for many applications and could be an immediate ITO replacement for flexible electronics and solar cells. © 2010 American Chemical Society.

  9. The fabrication of ZnO nanowire field-effect transistors combining dielectrophoresis and hot-pressing

    International Nuclear Information System (INIS)

    Chang, Y-K; Chau-N H, Franklin

    2009-01-01

    Zinc oxide nanowire field-effect transistors (NW-FETs) were fabricated combining the dielectrophoresis (DEP) and the hot-pressing methods. DEP was used to position both ends of the nanowires on top of the source and the drain electrodes, respectively. Hot-pressing of nanowires on the electrodes was then employed to ensure good contacts between the nanowires and the electrodes. The good device performance achieved with our method of fabrication indicates that DEP combined with hot-pressing has the potential to be applied to the fabrication of flexible electronics on a roll-to-roll basis.

  10. Direct observation of doping incorporation pathways in self-catalytic GaMnAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Kasama, T., E-mail: tk@cen.dtu.dk; Yazdi, S. [Center for Electron Nanoscopy, Technical University of Denmark, DK-2800 Kongens Lyngby (Denmark); Thuvander, M. [Department of Applied Physics, Chalmers University of Technology, SE-41296 Gothenburg (Sweden); Siusys, A. [Institute of Physics, Polish Academy of Sciences, al. Lotników 32/46, PL-02-668 Warszawa (Poland); Gontard, L. C. [Instituto de Ciencia de Materiales de Sevilla (CSIC-US), C/Américo Vespucio 49, 41092 Seville (Spain); Kovács, A.; Duchamp, M.; Dunin-Borkowski, R. E. [Ernst Ruska-Centre for Microscopy and Spectroscopy with Electrons and Peter Grünberg Institute, Forschungszentrum Jülich, D-52425 Jülich (Germany); Gustafsson, A. [Solid State Physics and the Nanometer Structure Consortium, Lund University, P.O. Box 118, SE-221 00 Lund (Sweden); Sadowski, J. [Institute of Physics, Polish Academy of Sciences, al. Lotników 32/46, PL-02-668 Warszawa (Poland); MAX-IV Laboratory, Lund University, P.O. Box 118, SE-221 00 Lund (Sweden)

    2015-08-07

    Doping mechanisms of Mn in GaAs nanowires (NWs) that have been grown self-catalytically at 600 °C by molecular beam epitaxy (MBE) are investigated using advanced electron microscopy techniques and atom probe tomography. Mn is found to be incorporated primarily in the form of non-magnetic tetragonal Ga{sub 0.82}Mn{sub 0.18} nanocrystals in Ga catalyst droplets at the ends of the NWs, while trace amounts of Mn (22 ± 4 at. ppm) are also distributed randomly in the NW bodies without forming clusters or precipitates. The nanocrystals are likely to form after switching off the reaction in the MBE chamber, since they are partially embedded in neck regions of the NWs. The Ga{sub 0.82}Mn{sub 0.18} nanocrystals and the low Mn concentration in the NW bodies are insufficient to induce a ferromagnetic phase transition, suggesting that it is difficult to have high Mn contents in GaAs even in 1-D NW growth via the vapor-liquid-solid process.

  11. MOCVD growth and structural characterization of In-Sb-Te nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Selmo, S.; Fanciulli, M. [Laboratorio MDM, IMM-CNR, Unita di Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, University of Milano Bicocca, Milano (Italy); Cecchi, S.; Cecchini, R.; Wiemer, C.; Longo, M. [Laboratorio MDM, IMM-CNR, Unita di Agrate Brianza (Italy); Rotunno, E.; Lazzarini, L. [IMEM-CNR, Parma (Italy)

    2016-02-15

    In this work, the self-assembly of In{sub 3}Sb{sub 1}Te{sub 2} and In-doped Sb{sub 4}Te{sub 1} nanowires (NWs) for phase change memories application was achieved by metal organic chemical vapor deposition, coupled with vapor-liquid-solid (VLS) mechanism, catalyzed by Au nanoparticles. Single crystal In{sub 3}Sb{sub 1}Te{sub 2} and In-doped Sb{sub 4}Te{sub 1} NWs were obtained for different reactor pressures at 325 C. The parameters influencing the NW self-assembly were studied and the compositional, morphological, and structural analysis of the grown structures was performed, also comparing the effect of the used substrate (crystalline Si and SiO{sub 2}). In both cases, NWs of several micrometer in length and with diameters as small as 15 nm were obtained. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Formation of ultralong copper nanowires by hydrothermal growth for transparent conducting applications

    Science.gov (United States)

    Balela, Mary Donnabelle L.; Tan, Michael

    2017-07-01

    Transparent conducting electrodes are key components of optoelectronic devices, such as touch screens, organic light emitting diodes (OLEDs) and solar cells. Recent market surveys have shown that the demands for these devices are rapidly growing at a tremendous rate. Semiconducting oxides, in particular indium tin oxide (ITO) are the material of choice for transparent conducting electrodes. However, these conventional oxides are typically brittle, which limits their applicability in flexible electronics. Metal nanowires, e.g. copper (Cu) nanowires, are considered as the best candidate as substitute for ITO due to their excellent mechanical and electrical properties. In this paper, ultralong copper (Cu) nanowires with were successfully prepared by hydrothermal growth at 50-80°C for 1 h. Ethylenediamine was employed as the structure-directing agents, while hydrazine was used as the reductant. In situ mixed potential measurement was also carried out to monitor Cu deposition. Higher temperature shifted the mixed potential negatively, leading to thicker Cu nanowires. Transparent conducting electrode, with a sheet resistance of 197 Ω sq-1 at an optical transmittance of around 61 %, was fabricated with the Cu nanowire ink.

  13. Hierarchical ZnO Nanowires-loaded Sb-doped SnO2-ZnO Micrograting Pattern via Direct Imprinting-assisted Hydrothermal Growth and Its Selective Detection of Acetone Molecules

    Science.gov (United States)

    Choi, Hak-Jong; Choi, Seon-Jin; Choo, Soyoung; Kim, Il-Doo; Lee, Heon

    2016-01-01

    We propose a novel synthetic route by combining imprinting transfer of a Sb-doped SnO2 (ATO)-ZnO composite micrograting pattern (MP), i.e., microstrip lines, on a sensor substrate and subsequent hydrothermal growth of ZnO nanowires (NWs) for producing a hierarchical ZnO NW-loaded ATO-ZnO MP as an improved chemo-resistive sensing layer. Here, ATO-ZnO MP structure with 3-μm line width, 9-μm pitch, and 6-μm height was fabricated by direct transfer of mixed ATO and ZnO nanoparticle (NP)-dispersed resists, which are pre-patterned on a polydimethylsiloxane (PDMS) mold. ZnO NWs with an average diameter of less than 50 nm and a height of 250 nm were quasi-vertically grown on the ATO-ZnO MP, leading to markedly enhanced surface area and heterojunction composites between each ATO NP, ZnO NP, and ZnO NW. A ZnO NW-loaded MP sensor with a relative ratio of 1:9 between ATO and ZnO (1:9 ATO-ZnO), exhibited highly sensitive and selective acetone sensing performance with 2.84-fold higher response (Rair/Rgas = 12.8) compared to that (Rair/Rgas = 4.5) of pristine 1:9 ATO-ZnO MP sensor at 5 ppm. Our results demonstrate the processing advantages of direct imprinting-assisted hydrothermal growth for large-scale homogeneous coating of hierarchical oxide layers, particularly for applications in highly sensitive and selective chemical sensors.

  14. Growth mechanism of titanium dioxide nanowires for dye-sensitized solar cells

    International Nuclear Information System (INIS)

    Boercker, J E; Enache-Pommer, E; Aydil, E S

    2008-01-01

    Mesoporous films made of titanium dioxide nanowires are desirable for dye-sensitized solar cells because nanowires provide direct conduction pathways for photogenerated electrons. Anatase titanium dioxide nanowires with polycrystalline microstructure were synthesized on titanium foil using a three-step process. First, the top surface of the titanium foil was transformed to Na 2 Ti 2 O 4 (OH) 2 nanotubes through hydrothermal oxidation in NaOH. Next, the Na 2 Ti 2 O 4 (OH) 2 nanotubes were converted to H 2 Ti 2 O 4 (OH) 2 nanotubes by ion exchange. Finally, the H 2 Ti 2 O 4 (OH) 2 nanotubes were converted to polycrystalline anatase nanowires through a topotactic transformation. The film morphology evolution, crystal structure transformations and growth mechanism are described in detail. Titanium foil reacts with NaOH to form Na 2 Ti 2 O 4 (OH) 2 sheets, which exfoliate and spiral into nanotubes. The Na 2 Ti 2 O 4 (OH) 2 nanotubes are immersed in HCl solution to replace the Na + ions with H + ions. During the topotactic transformation of H 2 Ti 2 O 4 (OH) 2 nanotubes to anatase TiO 2 nanowires, the sheets made of edge bonded TiO 6 octahedra in the H 2 Ti 2 O 4 (OH) 2 nanotubes dehydrate and move towards each other to form anatase crystals oriented along the nanotube axis which creates a polycrystalline nanowire. These mesoporous TiO 2 nanowire films were suitable for use as dye-sensitized solar cell photoanodes

  15. Bismuth-catalyzed and doped silicon nanowires for one-pump-down fabrication of radial junction solar cells.

    Science.gov (United States)

    Yu, Linwei; Fortuna, Franck; O'Donnell, Benedict; Jeon, Taewoo; Foldyna, Martin; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2012-08-08

    Silicon nanowires (SiNWs) are becoming a popular choice to develop a new generation of radial junction solar cells. We here explore a bismuth- (Bi-) catalyzed growth and doping of SiNWs, via vapor-liquid-solid (VLS) mode, to fabricate amorphous Si radial n-i-p junction solar cells in a one-pump-down and low-temperature process in a single chamber plasma deposition system. We provide the first evidence that catalyst doping in the SiNW cores, caused by incorporating Bi catalyst atoms as n-type dopant, can be utilized to fabricate radial junction solar cells, with a record open circuit voltage of V(oc) = 0.76 V and an enhanced light trapping effect that boosts the short circuit current to J(sc) = 11.23 mA/cm(2). More importantly, this bi-catalyzed SiNW growth and doping strategy exempts the use of extremely toxic phosphine gas, leading to significant procedure simplification and cost reduction for building radial junction thin film solar cells.

  16. Electrical and Optical Characterization of Nanowire based Semiconductor Devices

    Science.gov (United States)

    Ayvazian, Talin

    This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand and optimize the electrical and optical properties of two types of nanoscale devices; in first type lithographically patterned nanowire electrodeposition (LPNE) method has been utilized to fabricate nanowire field effect transistors (NWFET) and second type involved the development of light emitting semiconductor nanowire arrays (NWLED). Field effect transistors (NWFETs) have been prepared from arrays of polycrystalline cadmium selenide (pc-CdSe) nanowires using a back gate configuration. pc-CdSe nanowires were fabricated using the lithographically patterned nanowire electrode- position (LPNE) process on SiO2 /Si substrates. After electrodeposition, pc-CdSe nanowires were thermally annealed at 300 °C x 4 h either with or without exposure to CdCl 2 in methanol a grain growth promoter. The influence of CdCl2 treatment was to increase the mean grain diameter as determined by X-ray diffraction pattern and to convert the crystal structure from cubic to wurtzite. Transfer characteristics showed an increase of the field effect mobility (mu eff) by an order of magnitude and increase of the Ion/I off ratio by a factor of 3-4. Light emitting devices (NW-LED) based on lithographically patterned pc-CdSe nanowire arrays have been investigated. Electroluminescence (EL) spectra of CdSe nanowires under various biases exhibited broad emission spectra centered at 750 nm close to the band gap of CdSe (1.7eV). To enhance the intensity of the emitted light and the external quantum efficiency (EQE), the distance between the contacts were reduced from 5 mum to less than 1 mum which increased the efficiency by an order of magnitude. Also, increasing the annealing temperature of nanowires from 300 °C x4 h to 450 This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand

  17. Formation of stacking faults and the screw dislocation-driven growth: a case study of aluminum nitride nanowires.

    Science.gov (United States)

    Meng, Fei; Estruga, Marc; Forticaux, Audrey; Morin, Stephen A; Wu, Qiang; Hu, Zheng; Jin, Song

    2013-12-23

    Stacking faults are an important class of crystal defects commonly observed in nanostructures of close packed crystal structures. They can bridge the transition between hexagonal wurtzite (WZ) and cubic zinc blende (ZB) phases, with the most known example represented by the "nanowire (NW) twinning superlattice". Understanding the formation mechanisms of stacking faults is crucial to better control them and thus enhance the capability of tailoring physical properties of nanomaterials through defect engineering. Here we provide a different perspective to the formation of stacking faults associated with the screw dislocation-driven growth mechanism of nanomaterials. With the use of NWs of WZ aluminum nitride (AlN) grown by a high-temperature nitridation method as the model system, dislocation-driven growth was first confirmed by transmission electron microscopy (TEM). Meanwhile numerous stacking faults and associated partial dislocations were also observed and identified to be the Type I stacking faults and the Frank partial dislocations, respectively, using high-resolution TEM. In contrast, AlN NWs obtained by rapid quenching after growth displayed no stacking faults or partial dislocations; instead many of them had voids that were associated with the dislocation-driven growth. On the basis of these observations, we suggest a formation mechanism of stacking faults that originate from dislocation voids during the cooling process in the syntheses. Similar stacking fault features were also observed in other NWs with WZ structure, such as cadmium sulfide (CdS) and zinc oxide (ZnO).

  18. Guided Growth of Horizontal ZnSe Nanowires and their Integration into High-Performance Blue-UV Photodetectors.

    Science.gov (United States)

    Oksenberg, Eitan; Popovitz-Biro, Ronit; Rechav, Katya; Joselevich, Ernesto

    2015-07-15

    Perfectly aligned horizontal ZnSe nano-wires are obtained by guided growth, and easily integrated into high-performance blue-UV photodetectors. Their crystal phase and crystallographic orientation are controlled by the epitaxial relations with six different sapphire planes. Guided growth paves the way for the large-scale integration of nanowires into optoelectronic devices. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. The growth of silica and silica-clad nanowires using a solid-state reaction mechanism on Ti, Ni and SiO2 layers

    International Nuclear Information System (INIS)

    Sharma, Parul; Anguita, J V; Stolojan, V; Henley, S J; Silva, S R P

    2010-01-01

    A large area compatible and solid-state process for growing silica nanowires is reported using nickel, titanium and silicon dioxide layers on silicon. The silica nanowires also contain silicon, as indicated by Raman spectroscopy. The phonon confinement model is employed to measure the diameter of the Si rich tail for our samples. The measured Raman peak shift and full width at half-maximum variation with the nanowire diameter qualitatively match with data available in the literature. We have investigated the effect of the seedbed structure on the nanowires, and the effect of using different gas conditions in the growth stages. From this, we have obtained the growth mechanism, and deduced the role of each individual substrate seedbed layer in the growth of the nanowires. We report a combined growth mechanism, where the growth is initiated by a solid-liquid-solid process, which is then followed by a vapour-liquid-solid process. We also report on the formation of two distinct structures of nanowires (type I and type II). The growth of these can be controlled by the use of titanium in the seedbed. We also observe that the diameter of the nanowires exhibits an inverse relation with the catalyst thickness.

  20. Chalcogen passivation: an in-situ method to manipulate the morphology and electrical property of GaAs nanowires.

    Science.gov (United States)

    Yang, Zai-Xing; Yin, Yanxue; Sun, Jiamin; Bian, Luozhen; Han, Ning; Zhou, Ziyao; Shu, Lei; Wang, Fengyun; Chen, Yunfa; Song, Aimin; Ho, Johnny C

    2018-05-02

    Recently, owing to the large surface-area-to-volume ratio of nanowires (NWs), manipulation of their surface states becomes technologically important and being investigated for various applications. Here, an in-situ surfactant-assisted chemical vapor deposition is developed with various chalcogens (e.g. S, Se and Te) as the passivators to enhance the NW growth and to manipulate the controllable p-n conductivity switching of fabricated NW devices. Due to the optimal size effect and electronegativity matching, Se is observed to provide the best NW surface passivation in diminishing the space charge depletion effect induced by the oxide shell and yielding the less p-type (i.e. inversion) or even insulating conductivity, as compared with S delivering the intense p-type conductivity for thin NWs with the diameter of ~30 nm. Te does not only provide the surface passivation, but also dopes the NW surface into n-type conductivity by donating electrons. All of the results can be extended to other kinds of NWs with similar surface effects, resulting in careful device design considerations with appropriate surface passivation for achieving the optimal NW device performances.

  1. The Conductive Silver Nanowires Fabricated by Two-beam Laser Direct Writing on the Flexible Sheet

    Science.gov (United States)

    He, Gui-Cang; Zheng, Mei-Ling; Dong, Xian-Zi; Jin, Feng; Liu, Jie; Duan, Xuan-Ming; Zhao, Zhen-Sheng

    2017-02-01

    Flexible electrically conductive nanowires are now a key component in the fields of flexible devices. The achievement of metal nanowire with good flexibility, conductivity, compact and smooth morphology is recognized as one critical milestone for the flexible devices. In this study, a two-beam laser direct writing system is designed to fabricate AgNW on PET sheet. The minimum width of the AgNW fabricated by this method is 187 ± 34 nm with the height of 84 ± 4 nm. We have investigated the electrical resistance under different voltages and the applicable voltage per meter range is determined to be less than 7.5 × 103 V/m for the fabricated AgNW. The flexibility of the AgNW is very excellent, since the resistance only increases 6.63% even after the stretched bending of 2000 times at such a small bending radius of 1.0 mm. The proposed two-beam laser direct writing is an efficient method to fabricate AgNW on the flexible sheet, which could be applied in flexible micro/nano devices.

  2. Investigation of growth parameters influence on self-catalyzed ITO nanowires by high RF-power sputtering.

    Science.gov (United States)

    Li, Qiang; Zhang, Yuantao; Feng, Lungang; Wang, Zuming; Wang, Tao; Yun, Feng

    2018-02-15

    ITO nanowires have been successfully fabricated using a radio-frequency sputtering technique with a high RF-power of 250W. The fabrication of the ITO nanowires has been optimized through the study of oxygen flow rates, temperatures and RF-power. The difference in the morphology of the ITO nanowires prepared by using a new target and a used target has been first observed and the mechanism for the difference has been discussed in detail. A hollow structure and air voids within the nanowires are formed during the process of the nanowire growth. The ITO nanowires fabricated by this method has demonstrated good conductivity (15Ω/sq) and a transmittance of more than 64% at a wavelength longer than 550nm after annealing. Furthermore, detailed microstructure studies show that the ITO nanowires exhibit a large number of oxygen vacancies. As a result, it is expected that they can be useful for the fabrication of gas sensor devices. © 2018 IOP Publishing Ltd.

  3. Novel low-temperature growth of SnO2 nanowires and their gas-sensing properties

    International Nuclear Information System (INIS)

    Kumar, R. Rakesh; Parmar, Mitesh; Narasimha Rao, K.; Rajanna, K.; Phani, A.R.

    2013-01-01

    Graphical abstract: -- A simple thermal evaporation method is presented for the growth of crystalline SnO 2 nanowires at a low substrate temperature of 450 °C via an gold-assisted vapor–liquid–solid mechanism. The as-grown nanowires were characterized by scanning electron microscopy, transmission electron microscopy and X-ray diffraction, and were also tested for methanol vapor sensing. Transmission electron microscopy studies revealed the single-crystalline nature of the each nanowire. The fabricated sensor shows good response to methanol vapor at an operating temperature of 450 °C.

  4. Flexible, silver nanowire network nickel hydroxide core-shell electrodes for supercapacitors

    Science.gov (United States)

    Yuksel, Recep; Coskun, Sahin; Kalay, Yunus Eren; Unalan, Husnu Emrah

    2016-10-01

    We present a novel one-dimensional coaxial architecture composed of silver nanowire (Ag NW) network core and nickel hydroxide (Ni(OH)2) shell for the realization of coaxial nanocomposite electrode materials for supercapacitors. Ag NWs are formed conductive networks via spray coating onto polyethylene terephthalate (PET) substrates and Ni(OH)2 is gradually electrodeposited onto the Ag NW network to fabricate core-shell electrodes for supercapacitors. Synergy of highly conductive Ag NWs and high capacitive Ni(OH)2 facilitate ion and electron transport, enhance electrochemical properties and result in a specific capacitance of 1165.2 F g-1 at a current density of 3 A g-1. After 3000 cycles, fabricated nanocomposite electrodes show 93% capacity retention. The rational design explored in this study points out the potential of nanowire based coaxial energy storage devices.

  5. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  6. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  7. Double-gated Si NW FET sensors: Low-frequency noise and photoelectric properties

    International Nuclear Information System (INIS)

    Gasparyan, F.; Khondkaryan, H.; Arakelyan, A.; Zadorozhnyi, I.; Pud, S.; Vitusevich, S.

    2016-01-01

    The transport, noise, and photosensitivity properties of an array of silicon nanowire (NW) p"+-p-p"+ field-effect transistors (FETs) are investigated. The peculiarities of photosensitivity and detectivity are analyzed over a wide spectrum range. The absorbance of p-Si NW shifts to the short wavelength region compared with bulk Si. The photocurrent and photosensitivity reach increased values in the UV range of the spectrum at 300 K. It is shown that sensitivity values can be tuned by the drain-source voltage and may reach record values of up to 2–4 A/W at a wavelength of 300 nm at room temperature. Low-frequency noise studies allow calculating the photodetectivity values, which increase with decreasing wavelength down to 300 nm. We show that the drain current of Si NW biochemical sensors substantially depends on pH value and the signal-to-noise ratio reaches the high value of 10"5. Increasing pH sensitivity with gate voltage is revealed for certain source-drain currents of pH-sensors based on Si NW FETs. The noise characteristic index decreases from 1.1 to 0.7 with the growth of the liquid gate voltage. Noise behavior is successfully explained in the framework of the correlated number-mobility unified fluctuation model. pH sensitivity increases as a result of the increase in liquid gate voltage, thus giving the opportunity to measure very low proton concentrations in the electrolyte medium at certain values of the liquid gate voltage.

  8. Piezoelectric Nanogenerator Using p-Type ZnO Nanowire Arrays

    KAUST Repository

    Lu, Ming-Pei

    2009-03-11

    Using phosphorus-doped ZnO nanowire (NW) arrays grown on silicon substrate, energy conversion using the p-type ZnO NWs has been demonstrated for the first time. The p-type ZnO NWs produce positive output voltage pulses when scanned by a conductive atomic force microscope (AFM) in contact mode. The output voltage pulse is generated when the tip contacts the stretched side (positive piezoelectric potential side) of the NW. In contrast, the n-type ZnO NW produces negative output voltage when scanned by the AFM tip, and the output voltage pulse is generated when the tip contacts the compressed side (negative potential side) of the NW. In reference to theoretical simulation, these experimentally observed phenomena have been systematically explained based on the mechanism proposed for a nanogenerator. © 2009 American Chemical Society.

  9. Size-controlled growth of ZnO nanowires by catalyst-free high-pressure pulsed laser deposition and their optical properties

    Directory of Open Access Journals (Sweden)

    W. Z. Liu

    2011-06-01

    Full Text Available Single crystalline ZnO nanowires were fabricated on Si (100 substrates by catalyst-free high-pressure pulsed laser deposition. It is found that the nanowires start to form when the substrate temperature and growth pressure exceed the critical values of 700 oC and 700 Pa, and their size strongly depends on these growth conditions. That is, the aspect ratio of the nanowires decreases with increasing temperature or decreasing pressure. Such a size dependence on growth conditions was discussed in terms of surface migration and scattering of ablated atoms. Room-temperature photoluminescence spectrum of ZnO nanowires shows a dominant near-band-edge emission peak at 3.28 eV and a visible emission band centered at 2.39 eV. Temperature-dependent photoluminescence studies reveal that the former consists of the acceptor-bound exciton and free exciton emissions; while the latter varies in intensity with the aspect ratio of the nanowires and is attributed to the surface-mediated deep level emission.

  10. Simple Synthesis and Growth Mechanism of Core/Shell CdSe/SiOx Nanowires

    Directory of Open Access Journals (Sweden)

    Guozhang Dai

    2010-01-01

    Full Text Available Core-shell-structured CdSe/SiOx nanowires were synthesized on an equilateral triangle Si (111 substrate through a simple one-step thermal evaporation process. SEM, TEM, and XRD investigations confirmed the core-shell structure; that is, the core zone is single crystalline CdSe and the shell zone is SiOx amorphous layer and CdSe core was grown along (001 direction. Two-stage growth process was present to explain the growth mechanism of the core/shell nanwires. The silicon substrate of designed equilateral triangle providing the silicon source is the key factor to form the core-shell nanowires, which is significant for fabrication of nanowire-core sheathed with a silica system. The PL of the product studied at room temperature showed two emission bands around 715 and 560 nm, which originate from the band-band transition of CdSe cores and the amorphous SiOx shells, respectively.

  11. Growth control, structure, chemical state, and photoresponse of CuO-CdS core-shell heterostructure nanowires.

    Science.gov (United States)

    El Mel, A A; Buffière, M; Bouts, N; Gautron, E; Tessier, P Y; Henzler, K; Guttmann, P; Konstantinidis, S; Bittencourt, C; Snyders, R

    2013-07-05

    The growth of single-crystal CuO nanowires by thermal annealing of copper thin films in air is studied. We show that the density, length, and diameter of the nanowires can be controlled by tuning the morphology and structure of the copper thin films deposited by DC magnetron sputtering. After identifying the optimal conditions for the growth of CuO nanowires, chemical bath deposition is employed to coat the CuO nanowires with CdS in order to form p-n nanojunction arrays. As revealed by high-resolution TEM analysis, the thickness of the polycrystalline CdS shell increases when decreasing the diameter of the CuO core for a given time of CdS deposition. Near-edge x-ray absorption fine-structure spectroscopy combined with transmission x-ray microscopy allows the chemical analysis of isolated nanowires. The absence of modification in the spectra at the Cu L and O K edges after the deposition of CdS on the CuO nanowires indicates that neither Cd nor S diffuse into the CuO phase. We further demonstrate that the core-shell nanowires exhibit the I-V characteristic of a resistor instead of a diode. The electrical behavior of the device was found to be photosensitive, since increasing the incident light intensity induces an increase in the collected electrical current.

  12. Preparation and Properties of Silver Nanowire-Based Transparent Conductive Composite Films

    Science.gov (United States)

    Tian, Ji-Li; Zhang, Hua-Yu; Wang, Hai-Jun

    2016-06-01

    Silver nanowire-based transparent conductive composite films with different structures were successfully prepared using various methods, including liquid polyol, magnetron sputtering and spin coating. The experimental results revealed that the optical transmittance of all different structural composite films decreased slightly (1-3%) compared to pure films. However, the electrical conductivity of all composite films had a great improvement. Under the condition that the optical transmittance was greater than 78% over the wavelength range of 400-800 nm, the AgNW/PVA/AgNW film became a conductor, while the AZO/AgNW/AZO film and the ITO/AgNW/ITO film showed 88.9% and 94% reductions, respectively, for the sheet resistance compared with pure films. In addition, applying a suitable mechanical pressure can improve the conductivity of AgNW-based composite films.

  13. Piezoelectric Nanogenerator Using p-Type ZnO Nanowire Arrays

    KAUST Repository

    Lu, Ming-Pei; Song, Jinhui; Lu, Ming-Yen; Chen, Min-Teng; Gao, Yifan; Chen, Lih-Juann; Wang, Zhong Lin

    2009-01-01

    Using phosphorus-doped ZnO nanowire (NW) arrays grown on silicon substrate, energy conversion using the p-type ZnO NWs has been demonstrated for the first time. The p-type ZnO NWs produce positive output voltage pulses when scanned by a conductive

  14. Examination Of Si-Ge Heterostructure Nanowire Growth Using Monte Carlo Simulation

    International Nuclear Information System (INIS)

    Nastovjak, A. G.; Neizvestny, I. G.; Shwartz, N. L.

    2011-01-01

    The process of Si-Ge heterostructures formation in nanowires (NWs) grown by vapor-liquid-solid mechanism was investigated using Monte Carlo simulation. Dependences of catalyst drop composition on temperature, flux intensity and nanowire diameter were obtained. Periodical oscillations of drop composition near mean value were observed. Oscillation results from layer-by-layer growth at the drop-whisker interface and necessity of supersaturation onset to start new layer formation. It was demonstrated that it is impossible to grow atomically abrupt axial heterojunctions via classical vapor-liquid-solid mechanism due to gradual change of catalyst drop composition when switching the fluxes. This phenomenon is the main reason of heterojunction blurriness. Junction abruptness was found to be dependent on nanowhisker diameter: in adsorption-induced growth mode abruptness of heterojunction decreases with diameter and in diffusion-induced mode it increases.

  15. Simulations of backgate sandwich nanowire MOSFETs with improved device performance

    International Nuclear Information System (INIS)

    Zhao Hengliang; Zhu Huilong; Zhong Jian; Ma Xiaolong; Wei Xing; Zhao Chao; Chen Dapeng; Ye Tianchun

    2014-01-01

    We propose a novel backgate sandwich nanowire MOSFET (SNFET), which offers the advantages of ETSOI (dynamic backgate voltage controllability) and nanowire FETs (good short channel effect). A backgate is used for threshold voltage (V t ) control of the SNFET. Compared with a backgate FinFET with a punch-through stop layer (PTSL), the SNFET possesses improved device performance. 3D device simulations indicate that the SNFET has a three times larger overdrive current, a ∼75% smaller off leakage current, and reduced subthreshold swing (SS) and DIBL than those of a backgate FinFET when the nanowire (NW) and the fin are of equal width. A new process flow to fabricate the backgate SNFET is also proposed in this work. Our analytical model suggests that V t control by the backgate can be attributed to the capacitances formed by the frontgate, NW, and backgate. The SNFET devices are compatible with the latest state-of-the-art high-k/metal gate CMOS technology with the unique capability of independent backgate control for nFETs and pFETs, which is promising for sub-22 nm scaling down. (semiconductor devices)

  16. Bismuth nanowire growth under low deposition rate and its ohmic contact free of interface damage

    Directory of Open Access Journals (Sweden)

    Ye Tian

    2012-03-01

    Full Text Available High quality bismuth (Bi nanowire and its ohmic contact free of interface damage are quite desired for its research and application. In this paper, we propose one new way to prepare high-quality single crystal Bi nanowires at a low deposition rate, by magnetron sputtering method without the assistance of template or catalyst. The slow deposition growth mechanism of Bi nanowire is successfully explained by an anisotropic corner crossing effect, which is very different from existing explanations. A novel approach free of interface damage to ohmic contact of Bi nanowire is proposed and its good electrical conductivity is confirmed by I-V characteristic measurement. Our method provides a quick and convenient way to produce high-quality Bi nanowires and construct ohmic contact for desirable devices.

  17. Pattern formation of nanoflowers during the vapor-liquid-solid growth of silicon nanowires

    International Nuclear Information System (INIS)

    Bae, Joonho; Thompson-Flagg, Rebecca; Ekerdt, John G.; Shih, C.-K.

    2008-01-01

    Pattern formation of nanoflowers during the vapor-liquid-solid growth of Si nanowires is reported. Using transmission electron microscopy, scanning electron microscopy, and energy dispersive spectrometer analysis, we show that the flower consists of an Au/SiO x core-shell structure. Moreover, the growth of flower starts at the interface between the gold catalyst and the silicon nanowire, presumably by enhanced oxidation at this interface. The pattern formation can be classified as dense branching morphology (DBM). It is the first observation of DBM in a spherical geometry and at the nanoscale. The analysis of the average branching distance of this pattern shows that the pattern is most likely formed during the growth process, not the cooling process, and that the curvature of the gold droplet plays a crucial role in the frequency of branching

  18. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  19. Transparent Electrodes Based on Silver Nanowire Networks: From Physical Considerations towards Device Integration.

    Science.gov (United States)

    Bellet, Daniel; Lagrange, Mélanie; Sannicolo, Thomas; Aghazadehchors, Sara; Nguyen, Viet Huong; Langley, Daniel P; Muñoz-Rojas, David; Jiménez, Carmen; Bréchet, Yves; Nguyen, Ngoc Duy

    2017-05-24

    The past few years have seen a considerable amount of research devoted to nanostructured transparent conducting materials (TCM), which play a pivotal role in many modern devices such as solar cells, flexible light-emitting devices, touch screens, electromagnetic devices, and flexible transparent thin film heaters. Currently, the most commonly used TCM for such applications (ITO: Indium Tin oxide) suffers from two major drawbacks: brittleness and indium scarcity. Among emerging transparent electrodes, silver nanowire (AgNW) networks appear to be a promising substitute to ITO since such electrically percolating networks exhibit excellent properties with sheet resistance lower than 10 Ω/sq and optical transparency of 90%, fulfilling the requirements of most applications. In addition, AgNW networks also exhibit very good mechanical flexibility. The fabrication of these electrodes involves low-temperature processing steps and scalable methods, thus making them appropriate for future use as low-cost transparent electrodes in flexible electronic devices. This contribution aims to briefly present the main properties of AgNW based transparent electrodes as well as some considerations relating to their efficient integration in devices. The influence of network density, nanowire sizes, and post treatments on the properties of AgNW networks will also be evaluated. In addition to a general overview of AgNW networks, we focus on two important aspects: (i) network instabilities as well as an efficient Atomic Layer Deposition (ALD) coating which clearly enhances AgNW network stability and (ii) modelling to better understand the physical properties of these networks.

  20. Bi-Sn alloy catalyst for simultaneous morphology and doping control of silicon nanowires in radial junction solar cells

    International Nuclear Information System (INIS)

    Yu, Zhongwei; Lu, Jiawen; Qian, Shengyi; Xu, Jun; Xu, Ling; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Misra, Soumyadeep; Roca i Cabarrocas, Pere; Yu, Linwei

    2015-01-01

    Low-melting point metals such as bismuth (Bi) and tin (Sn) are ideal choices for mediating a low temperature growth of silicon nanowires (SiNWs) for radial junction thin film solar cells. The incorporation of Bi catalyst atoms leads to sufficient n-type doping in the SiNWs core that exempts the use of hazardous dopant gases, while an easy morphology control with pure Bi catalyst has never been demonstrated so far. We here propose a Bi-Sn alloy catalyst strategy to achieve both a beneficial catalyst-doping and an ideal SiNW morphology control. In addition to a potential of further growth temperature reduction, we show that the alloy catalyst can remain quite stable during a vapor-liquid-solid growth, while providing still sufficient n-type catalyst-doping to the SiNWs. Radial junction solar cells constructed over the alloy-catalyzed SiNWs have demonstrated a strongly enhanced photocurrent generation, thanks to optimized nanowire morphology, and largely improved performance compared to the reference samples based on the pure Bi or Sn-catalyzed SiNWs

  1. Growth mechanism of titanium dioxide nanowires for dye-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Boercker, J E; Enache-Pommer, E; Aydil, E S [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Avenue SE, Minneapolis, MN 55455 (United States)], E-mail: aydil@umn.edu

    2008-03-05

    Mesoporous films made of titanium dioxide nanowires are desirable for dye-sensitized solar cells because nanowires provide direct conduction pathways for photogenerated electrons. Anatase titanium dioxide nanowires with polycrystalline microstructure were synthesized on titanium foil using a three-step process. First, the top surface of the titanium foil was transformed to Na{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes through hydrothermal oxidation in NaOH. Next, the Na{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes were converted to H{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes by ion exchange. Finally, the H{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes were converted to polycrystalline anatase nanowires through a topotactic transformation. The film morphology evolution, crystal structure transformations and growth mechanism are described in detail. Titanium foil reacts with NaOH to form Na{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} sheets, which exfoliate and spiral into nanotubes. The Na{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes are immersed in HCl solution to replace the Na{sup +} ions with H{sup +} ions. During the topotactic transformation of H{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes to anatase TiO{sub 2} nanowires, the sheets made of edge bonded TiO{sub 6} octahedra in the H{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes dehydrate and move towards each other to form anatase crystals oriented along the nanotube axis which creates a polycrystalline nanowire. These mesoporous TiO{sub 2} nanowire films were suitable for use as dye-sensitized solar cell photoanodes.

  2. The effect of a distinct diameter variation on the thermoelectric properties of individual Bi0.39Te0.61 nanowires

    International Nuclear Information System (INIS)

    Kojda, D; Mitdank, R; Fischer, S F; Mogilatenko, A; Töllner, W; Nielsch, K; Wang, Z; Kröner, M; Woias, P

    2014-01-01

    The reduction of the thermal conductivity induced by nano-patterning is one of the major approaches for tailoring thermoelectric material properties. In particular, the role of surface roughness and morphology is under debate. Here, we choose two individual bismuth telluride nanowires (NWs), one with a strong diameter variation between 190 nm and 320 nm (NW1) and the other of 187 nm diameter with smooth sidewalls (NW2). Both serve as model systems for which bulk properties are expected if surface properties do not contribute. We investigate the role of the diameter variation by means of a combined full-thermoelectrical, structural and chemical characterization. By transmission electron microscopy the structure, chemical composition and morphology were determined after the thermoelectrical investigation. The NWs showed an oriented growth along the [110] direction and the same composition. The Seebeck coefficients of both NWs are comparable to each other. The electrical conductivity of both NWs exceeds the bulk value indicating the presence of a topological surface state. Whereas the thermal conductivity of NW2 compares to the bulk, the thermal conductivity of NW1 is about half of NW2 which is discussed with respect to its distinct diameter variation. (invited article)

  3. Effect of ambient on electrical transport properties of ultra-thin Au nanowires

    Science.gov (United States)

    Amin, Kazi Rafsanjani; Kundu, Subhajit; Biswas, Sangram; Roy, Ahin; Singh, Abhishek Kumar; Ravishankar, N.; Bid, Aveek

    2016-12-01

    In this letter we present systematic studies of the dynamics of surface adsorption of various chemicals on ultra-thin single crystalline gold nanowires (AuNW) through sensitive resistance fluctuation spectroscopy measurements coupled with ab initio simulations. We show that, contrary to expectations, the adsorption of common chemicals like methanol and acetone has a profound impact on the electrical transport properties of the AuNW. Our measurements and subsequent calculations establish conclusively that in AuNW, semiconductor-like sensitivity to the ambient arises because of changes induced in its local density of states by the surface adsorbed molecules. The extreme sensitivity of the resistance fluctuations of the AuNW to ambient suggests their possible use as solid-state sensors.

  4. Flow-Solution-Liquid-Solid Growth of Semiconductor Nanowires: A Novel Approach for Controlled Synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Hollingsworth, Jennifer A. [Los Alamos National Laboratory; Palaniappan, Kumaranand [Los Alamos National Laboratory; Laocharoensuk, Rawiwan [National Science and Technology Center, Thailand; Smith, Nickolaus A. [Los Alamos National Laboratory; Dickerson, Robert M. [Los Alamos National Laboratory; Casson, Joanna L. [Los Alamos National Laboratory; Baldwin, Jon K. [Los Alamos National Laboratory

    2012-06-07

    Semiconductor nanowires (SC-NWs) have potential applications in diverse technologies from nanoelectronics and photonics to energy harvesting and storage due to their quantum-confined opto-electronic properties coupled with their highly anisotropic shape. Here, we explore new approaches to an important solution-based growth method known as solution-liquid-solid (SLS) growth. In SLS, molecular precursors are reacted in the presence of low-melting metal nanoparticles that serve as molten fluxes to catalyze the growth of the SC-NWs. The mechanism of growth is assumed to be similar to that of vapor-liquid-solid (VLS) growth, with the clear distinctions of being conducted in solution in the presence of coordinating ligands and at relatively lower temperatures (<300 C). The resultant SC-NWs are soluble in common organic solvents and solution processable, offering advantages such as simplified processing, scale-up, ultra-small diameters for quantum-confinement effects, and flexible choice of materials from group III-V to groups II-VI, IV-VI, as well as truly ternary I-III-VI semiconductors as we recently demonstrates. Despite these advantages of SLS growth, VLS offers several clear opportunities not allowed by conventional SLS. Namely, VLS allows sequential addition of precursors for facile synthesis of complex axial heterostructures. In addition, growth proceeds relatively slowly compared to SLS, allowing clear assessments of growth kinetics. In order to retain the materials and processing flexibility afforded by SLS, but add the elements of controlled growth afforded by VLS, we transformed SLS into a flow based method by adapting it to synthesis in a microfluidic system. By this new method - so-called 'flow-SLS' (FSLS) - we have now demonstrated unprecedented fabrication of multi-segmented SC-NWs, e.g., 8-segmented CdSe/ZnSe defined by either compositionally abrupt or alloyed interfaces as a function of growth conditions. In addition, we have studied growth

  5. Site-specific nucleation and controlled growth of a vertical tellurium nanowire array for high performance field emitters

    International Nuclear Information System (INIS)

    Safdar, Muhammad; Zhan Xueying; Mirza, Misbah; Wang Zhenxing; Sun Lianfeng; He Jun; Niu Mutong; Zhang Jinping; Zhao Qing

    2013-01-01

    We report the controlled growth of highly ordered and well aligned one-dimensional tellurium nanostructure arrays via a one-step catalyst-free physical vapor deposition method. The density, size and fine structures of tellurium nanowires are systematically studied and optimized. Field emission measurement was performed to display notable dependence on nanostructure morphologies. The ordered nanowire array based field emitter has a turn-on field as low as 3.27 V μm −1 and a higher field enhancement factor of 3270. Our finding offers the possibility of controlling the growth of tellurium nanowire arrays and opens up new means for their potential applications in electronic devices and displays. (paper)

  6. Friction and shear strength at the nanowire-substrate interfaces.

    Science.gov (United States)

    Zhu, Yong; Qin, Qingquan; Gu, Yi; Wang, Zhonglin

    2009-11-28

    The friction and shear strength of nanowire (NW)-substrate interfaces critically influences the electrical/mechanical performance and life time of NW-based nanodevices. Yet, very few reports on this subject are available in the literature because of the experimental challenges involved and, more specifically no studies have been reported to investigate the configuration of individual NW tip in contact with a substrate. In this letter, using a new experimental method, we report the friction measurement between a NW tip and a substrate for the first time. The measurement was based on NW buckling in situ inside a scanning electron microscope. The coefficients of friction between silver NW and gold substrate and between ZnO NW and gold substrate were found to be 0.09-0.12 and 0.10-0.15, respectively. The adhesion between a NW and the substrate modified the true contact area, which affected the interfacial shear strength. Continuum mechanics calculation found that interfacial shear strengths between silver NW and gold substrate and between ZnO NW and gold substrate were 134-139 MPa and 78.9-95.3 MPa, respectively. This method can be applied to measure friction parameters of other NW-substrate systems. Our results on interfacial friction and shear strength could have implication on the AFM three-point bending tests used for nanomechanical characterisation.

  7. The control of the growth orientations of electrodeposited single-crystal nanowire arrays: a case study for hexagonal CdS

    Energy Technology Data Exchange (ETDEWEB)

    Sun Hongyu; Li Xiaohong; Chen Yan; Li Wei; Zhang Xiangyi [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, 066004 Qinhuangdao (China); Li Feng; Liu Baoting [College of Physics Science and Technology, Hebei University, 071002 Baoding (China)], E-mail: xyzh66@ysu.edu.cn

    2008-06-04

    The controllable growth of highly aligned and ordered semiconductor nanowire arrays is crucial for their potential applications in nanodevices. In the present study, both the growth orientation and the microstructure of hexagonal CdS nanowire arrays electrodeposited in a porous alumina template with 40 nm diameter pores have been controlled by simply tuning the deposition current density. An extremely low current density of 0.05 mA cm{sup -2} is favorable for the growth of single-crystal CdS nanowires along the normal direction of the intrinsic low-surface-energy (103) face. This can be understood well by a modified critical dimension model given in the present work.

  8. The control of the growth orientations of electrodeposited single-crystal nanowire arrays: a case study for hexagonal CdS

    International Nuclear Information System (INIS)

    Sun Hongyu; Li Xiaohong; Chen Yan; Li Wei; Zhang Xiangyi; Li Feng; Liu Baoting

    2008-01-01

    The controllable growth of highly aligned and ordered semiconductor nanowire arrays is crucial for their potential applications in nanodevices. In the present study, both the growth orientation and the microstructure of hexagonal CdS nanowire arrays electrodeposited in a porous alumina template with 40 nm diameter pores have been controlled by simply tuning the deposition current density. An extremely low current density of 0.05 mA cm -2 is favorable for the growth of single-crystal CdS nanowires along the normal direction of the intrinsic low-surface-energy (103) face. This can be understood well by a modified critical dimension model given in the present work

  9. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    Directory of Open Access Journals (Sweden)

    Huijie Li

    2016-10-01

    Full Text Available Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials.

  10. Plasmonic Properties of Vertically Aligned Nanowire Arrays

    Directory of Open Access Journals (Sweden)

    Hua Qi

    2012-01-01

    Full Text Available Nanowires (NWs/Ag sheath composites were produced to investigate plasmonic coupling between vertically aligned NWs for surface-enhanced Raman scattering (SERS applications. In this investigation, two types of vertical NW arrays were studied; those of ZnO NWs grown on nanosphere lithography patterned sapphire substrate via vapor-liquid-solid (VLS mechanism and Si NW arrays produced by wet chemical etching. Both types of vertical NW arrays were coated with a thin layer of silver by electroless silver plating for SERS enhancement studies. The experimental results show extremely strong SERS signals due to plasmonic coupling between the NWs, which was verified by COMSOL electric field simulations. We also compared the SERS enhancement intensity of aligned and random ZnO NWs, indicating that the aligned NWs show much stronger and repeatable SERS signal than those grown in nonaligned geometries.

  11. Nanocatalytic growth of Si nanowires from Ni silicate coated SiC nanoparticles on Si solar cell.

    Science.gov (United States)

    Parida, Bhaskar; Choi, Jaeho; Ji, Hyung Yong; Park, Seungil; Lim, Gyoungho; Kim, Keunjoo

    2013-09-01

    We investigated the nanocatalytic growth of Si nanowires on the microtextured surface of crystalline Si solar cell. 3C-SiC nanoparticles have been used as the base for formation of Ni silicate layer in a catalytic reaction with the Si melt under H2 atmosphere at an annealing temperature of 1100 degrees C. The 10-nm thick Ni film was deposited after the SiC nanoparticles were coated on the microtextured surface of the Si solar cell by electron-beam evaporation. SiC nanoparticles form a eutectic alloy surface of Ni silicate and provide the base for Si supersaturation as well as the Ni-Si alloy layer on Si substrate surface. This bottom reaction mode for the solid-liquid-solid growth mechanism using a SiC nanoparticle base provides more stable growth of nanowires than the top reaction mode growth mechanism in the absence of SiC nanoparticles. Thermally excited Ni nanoparticle forms the eutectic alloy and provides collectively excited electrons at the alloy surface, which reduces the activation energy of the nanocatalytic reaction for formation of nanowires.

  12. Nanowire Chemical/Biological Sensors: Status and a Roadmap for the Future.

    Science.gov (United States)

    Fennell, John F; Liu, Sophie F; Azzarelli, Joseph M; Weis, Jonathan G; Rochat, Sébastien; Mirica, Katherine A; Ravnsbæk, Jens B; Swager, Timothy M

    2016-01-22

    Chemiresistive sensors are becoming increasingly important as they offer an inexpensive option to conventional analytical instrumentation, they can be readily integrated into electronic devices, and they have low power requirements. Nanowires (NWs) are a major theme in chemosensor development. High surface area, interwire junctions, and restricted conduction pathways give intrinsically high sensitivity and new mechanisms to transduce the binding or action of analytes. This Review details the status of NW chemosensors with selected examples from the literature. We begin by proposing a principle for understanding electrical transport and transduction mechanisms in NW sensors. Next, we offer the reader a review of device performance parameters. Then, we consider the different NW types followed by a summary of NW assembly and different device platform architectures. Subsequently, we discuss NW functionalization strategies. Finally, we propose future developments in NW sensing to address selectivity, sensor drift, sensitivity, response analysis, and emerging applications. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Inspection of single CdSe nanowires by use of micro-focused X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Kurtulus, Oezguel [Dogus University, Istanbul (Turkey); Li, Zhen [University of Queensland, Brisbane (Australia); Arezki, Bahia; Biermanns, Andreas; Pietsch, Ullrich [University of Siegen (Germany)

    2010-07-01

    The morphology of CdSe nanowires (NW) can easily be controlled by various growth methods. In this study, CdSe NWs are prepared by solution-liquid-solid (SLS) approach providing needle-shaped wires of about 60nm in diameter and several microns in length. To make X-ray single NW inspection possible, the NWs were dispersed in toluene and hexadecylamine, homogenized by centrifugation and finally spin-coated on silicon substrate. SEM images revealed that the NWs are randomly oriented with length axis parallel to the substrate. However, at selected areas, the distance between neighboured NWs is in the order of one micron. These samples were investigated by X-ray diffraction using a 300 nm x 600 nm micro-focus at beamline ID1 of ESRF. Diffraction from 110W/2-20ZB basal plane was selected for single nanowire inspection. In order to measure various single objects subsequently, the sample was laterally scanned through the beam keeping the diffraction angle fixed. It was observed that the individual NWs differ slightly in peak position and peak width. From powder diffraction, it is known that NWs consist of an admixture of a wurtzite (W) and zinc-blende (ZB) structure units and the coherent illumination of sample by the micro-focus enables to visualize these zinc-blende and wurzite units separated by stacking faults.

  14. Spatial potential ripples of azimuthal surface modes in topological insulator Bi2Te3 nanowires.

    Science.gov (United States)

    Muñoz Rojo, Miguel; Zhang, Yingjie; Manzano, Cristina V; Alvaro, Raquel; Gooth, Johannes; Salmeron, Miquel; Martin-Gonzalez, Marisol

    2016-01-11

    Topological insulators (TI) nanowires (NW) are an emerging class of structures, promising both novel quantum effects and potential applications in low-power electronics, thermoelectrics and spintronics. However, investigating the electronic states of TI NWs is complicated, due to their small lateral size, especially at room temperature. Here, we perform scanning probe based nanoscale imaging to resolve the local surface potential landscapes of Bi2Te3 nanowires (NWs) at 300 K. We found equipotential rings around the NWs perimeter that we attribute to azimuthal 1D modes. Along the NW axis, these modes are altered, forming potential ripples in the local density of states, due to intrinsic disturbances. Potential mapping of electrically biased NWs enabled us to accurately determine their conductivity which was found to increase with the decrease of NW diameter, consistent with surface dominated transport. Our results demonstrate that TI NWs can pave the way to both exotic quantum states and novel electronic devices.

  15. Semiconductor nanowires and templates for electronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Ying, Xiang

    2009-07-15

    This thesis starts by developing a platform for the organized growth of nanowires directly on a planar substrate. For this, a method to fabricate horizontal porous alumina membranes is studied. The second part of the thesis focuses on the study of nanowires. It starts by the understanding of the growth mechanisms of germanium nanowires and follows by the structural and electrical properties at the single nanowire level. Horizontally aligned porous anodic alumina (PAA) was used as a template for the nanowire synthesis. Three PAA arrangements were studied: - high density membranes - micron-sized fingers - multi-contacts Membranes formed by a high density of nanopores were obtained by anodizing aluminum thin films. Metallic and semiconducting nanowires were synthesized into the PAA structures via DC deposition, pulsed electro-depostion and CVD growth. The presence of gold, copper, indium, nickel, tellurium, and silicon nanowires inside PAA templates was verified by SEM and EDX analysis. Further, room-temperature transport measurements showed that the pores are completely filled till the bottom of the pores. In this dissertation, single crystalline and core-shell germanium nanowires are synthesized using indium and bismuth as catalyst in a chemical vapor deposition procedure with germane (GeH{sub 4}) as growth precursor. A systematic growth study has been performed to obtain high aspect-ratio germanium nanowires. The influence of the growth conditions on the final morphology and the crystalline structure has been determined via scanning electron microscopy (SEM) and high-resolution transmission electron microscopy (HRTEM). In the case of indium catalyzed germanium nanowires, two different structures were identified: single crystalline and crystalline core-amorphous shell. The preferential growth axis of both kinds of nanowires is along the [110] direction. The occurrence of the two morphologies was found to only depend on the nanowire dimension. In the case of bismuth

  16. Growth and optical properties of CdTe quantum dots in ZnTe nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Wojnar, Piotr; Janik, Elzbieta; Baczewski, Lech T.; Kret, Slawomir; Karczewski, G.; Wojtowicz, Tomasz [Institute of Physics, Polish Academy of Sciences, Al Lotnikow 32/46, 02-668 Warsaw (Poland); Goryca, Mateusz; Kazimierczuk, Tomasz; Kossacki, Piotr [Institute of Experimental Physics, Faculty of Physics, University of Warsaw, ul Hoza 69, 00-681 Warsaw (Poland)

    2011-09-12

    We report on the formation of optically active CdTe quantum dots in ZnTe nanowires. The CdTe/ZnTe nanostructures have been grown by a gold nanocatalyst assisted molecular beam epitaxy in a vapor-liquid solid growth process. The presence of CdTe insertions in ZnTe nanowire results in the appearance of a strong photoluminescence band in the 2.0 eV-2.25 eV energy range. Spatially resolved photoluminescence measurements reveal that this broad emission consists of several sharp lines with the spectral width of about 2 meV. The large degree of linear polarization of these individual emission lines confirms their nanowire origin, whereas the zero-dimensional confinement is proved by photon correlation spectroscopy.

  17. Growth and Characterisation of GaAs/AlGaAs Core-shell Nanowires for Optoelectronic Device Applications

    Science.gov (United States)

    Jiang, Nian

    III-V semiconductor nanowires have been investigated as key components for future electronic and optoelectronic devices and systems due to their direct band gap and high electron mobility. Amongst the III-V semiconductors, the planar GaAs material system has been extensively studied and used in industries. Accordingly, GaAs nanowires are the prime candidates for nano-scale devices. However, the electronic performance of GaAs nanowires has yet to match that of state-of-the-art planar GaAs devices. The present deficiency of GaAs nanowires is typically attributed to the large surface-to- volume ratio and the tendency for non-radiative recombination centres to form at the surface. The favoured solution of this problem is by coating GaAs nanowires with AlGaAs shells, which replaces the GaAs surface with GaAs/AlGaAs interface. This thesis presents a systematic study of GaAs/AlGaAs core-shell nanowires grown by metal organic chemical vapour deposition (MOCVD), including understanding the growth, and characterisation of their structural and optical properties. The structures of the nanowires were mainly studied by scanning electron microscopy and transmis- sion electron microscopy (TEM). A procedure of microtomy was developed to prepare the cross-sectional samples for the TEM studies. The optical properties were charac- terised by photoluminescence (PL) spectroscopy. Carrier lifetimes were measured by time-resolved PL. The growth of AlGaAs shell was optimised to obtain the best optical properties, e.g. the strongest PL emission and the longest minority carrier lifetimes. (Abstract shortened by ProQuest.).

  18. Magnetization reversal and coercivity of Fe3Se4 nanowire arrays

    Science.gov (United States)

    Li, D.; Li, S. J.; Zhou, Y. T.; Bai, Y.; Zhu, Y. L.; Ren, W. J.; Long, G.; Zeng, H.; Zhang, Z. D.

    2015-05-01

    The microstructure and magnetic properties of Fe3Se4 nanowire (NW) arrays in anodic aluminum oxide (AAO) porous membrane are studied. Cross-sectional SEM and plane-view TEM images show that the mean wire diameter (dw) and the center-to-center spacing (D) of Fe3Se4 nanowires are about 220 nm and 330 nm, respectively. The field-cooled magnetization dependent on the temperature indicates a Curie temperature around 334 K for the Fe3Se4 nanowires. The coercivities of Fe3Se4 nanowires at 10 K, obtained from the in-plane and out-of-plane hysteresis loops, are as high as 22.4 kOe and 23.3 kOe, which can be understood from the magnetocrystalline anisotropy and the magnetization reversal process.

  19. Mechanical response of CH3NH3PbI3 nanowires

    Science.gov (United States)

    Ćirić, L.; Ashby, K.; Abadie, T.; Spina, M.; Duchamp, M.; Náfrádi, B.; Kollár, M.; Forró, L.; Horváth, E.

    2018-03-01

    We report a systematic study of the mechanical response of methylammonium lead triiodide CH3NH3PbI3 nanowires by employing bending measurements using atomic force microscope on suspended wires over photo-lithographically patterned channels. Force-deflection curves measured at room temperature give a Young's modulus between 2 and 14 GPa. This broad range of values is attributed to the variations in the microcrystalline texture of halide perovskite nanowires. The mechanical response of a highly crystalline nanowire is linear with force and has a brittle character. The braking modulus of 48 ± 20 MPa corresponds to 100 μm of radius of curvature of the nanowires, rendering them much better structures for flexible devices than spin coated films. The measured moduli decrease rapidly if the NW is exposed to water vapor.

  20. Growth of GaAs-nanowires on GaAs (111)B substrates induced by focused ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Schott, Ruediger; Reuter, Dirk; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany)

    2011-07-01

    Semiconductor nanowires are a promising system for applications in the areas of electronics and photonics and also for exploring phenomena at the nanoscale. There are several approaches to grow nanowires at arbitrary sites on the wafer. We report about growing GaAs-nanowires on GaAs(111)B substrates via the vapour-liquid-solid (VLS) mechanism in an ultra-high-vacuum (UHV)-cluster of a molecular beam epitaxy (MBE) and a focused ion beam (FIB) system. Our idea is to implant metal seeds (especially Au) for the nanowire growth by in situ patterning using FIB. Due to the UHV transfer between the FIB and the MBE chamber, no further cleaning step of the substrate surface is necessary. Formations of organized GaAs-nanowires and high aspect ratios are observed.

  1. The investigation of alloy formation during InAs nanowires growth on GaAs (111)B substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3, Siegen 57072 (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institute (PGI-9), Forschungzentrum Juelich, Juelich 52425 (Germany)

    2013-07-01

    A possible way to obtain nanowires is the growth in molecular beam epitaxy (MBE) on the (111) oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of two different series (etched and unetched) of NWs samples. Vertically aligned InAs nanowires (NWs) doped with Si were self-assisted grown by molecular beam epitaxy on GaAs [111]B substrates covered with a thin SiO{sub x} layer. Using a combination of symmetric and asymmetric X-ray diffraction we study the influence of Si supply on the growth process and nanostructure formation. We find that the number of parasitic crystallites grown between the NWs increases with increasing Si flux. In addition, we observe the formation of a Ga{sub 0.2}In{sub 0.8}As alloy if the growth is performed on samples covered by a defective (etched) oxide layer. This alloy formation is observed within the crystallites and not within the nanowires. The Gallium concentration is determined from the lattice mismatch of the crystallites relative to the InAs nanowires. No alloy formation is found for samples with faultless oxide layers.

  2. Understanding InP Nanowire Array Solar Cell Performance by Nanoprobe-Enabled Single Nanowire Measurements.

    Science.gov (United States)

    Otnes, Gaute; Barrigón, Enrique; Sundvall, Christian; Svensson, K Erik; Heurlin, Magnus; Siefer, Gerald; Samuelson, Lars; Åberg, Ingvar; Borgström, Magnus T

    2018-05-09

    III-V solar cells in the nanowire geometry might hold significant synthesis-cost and device-design advantages as compared to thin films and have shown impressive performance improvements in recent years. To continue this development there is a need for characterization techniques giving quick and reliable feedback for growth development. Further, characterization techniques which can improve understanding of the link between nanowire growth conditions, subsequent processing, and solar cell performance are desired. Here, we present the use of a nanoprobe system inside a scanning electron microscope to efficiently contact single nanowires and characterize them in terms of key parameters for solar cell performance. Specifically, we study single as-grown InP nanowires and use electron beam induced current characterization to understand the charge carrier collection properties, and dark current-voltage characteristics to understand the diode recombination characteristics. By correlating the single nanowire measurements to performance of fully processed nanowire array solar cells, we identify how the performance limiting parameters are related to growth and/or processing conditions. We use this understanding to achieve a more than 7-fold improvement in efficiency of our InP nanowire solar cells, grown from a different seed particle pattern than previously reported from our group. The best cell shows a certified efficiency of 15.0%; the highest reported value for a bottom-up synthesized InP nanowire solar cell. We believe the presented approach have significant potential to speed-up the development of nanowire solar cells, as well as other nanowire-based electronic/optoelectronic devices.

  3. Comparison of the top-down and bottom-up approach to fabricate nanowire-based Silicon/Germanium heterostructures

    International Nuclear Information System (INIS)

    Wolfsteller, A.; Geyer, N.; Nguyen-Duc, T.-K.; Das Kanungo, P.; Zakharov, N.D.; Reiche, M.; Erfurth, W.; Blumtritt, H.; Werner, P.; Goesele, U.

    2010-01-01

    Silicon nanowires (NWs) and vertical nanowire-based Si/Ge heterostructures are expected to be building blocks for future devices, e.g. field-effect transistors or thermoelectric elements. In principle two approaches can be applied to synthesise these NWs: the 'bottom-up' and the 'top-down' approach. The most common method for the former is the vapour-liquid-solid (VLS) mechanism which can also be applied to grow NWs by molecular beam epitaxy (MBE). Although MBE allows a precise growth control under highly reproducible conditions, the general nature of the growth process via a eutectic droplet prevents the synthesis of heterostructures with sharp interfaces and high Ge concentrations. We compare the VLS NW growth with two different top-down methods: The first is a combination of colloidal lithography and metal-assisted wet chemical etching, which is an inexpensive and fast method and results in large arrays of homogenous Si NWs with adjustable diameters down to 50 nm. The second top-down method combines the growth of Si/Ge superlattices by MBE with electron beam lithography and reactive ion etching. Again, large and homogeneous arrays of NWs were created, this time with a diameter of 40 nm and the Si/Ge superlattice inside.

  4. Molecular beam epitaxial growth and characterization of Al(Ga)N nanowire deep ultraviolet light emitting diodes and lasers

    International Nuclear Information System (INIS)

    Mi, Z; Zhao, S; Djavid, M; Liu, X; Kang, J; Woo, S Y; Bugnet, M; Botton, G A; Kong, X; Guo, H; Ji, W; Liu, Z

    2016-01-01

    We report on the detailed molecular beam epitaxial growth and characterization of Al(Ga)N nanowire heterostructures on Si and their applications for deep ultraviolet light emitting diodes and lasers. The nanowires are formed under nitrogen-rich conditions without using any metal catalyst. Compared to conventional epilayers, Mg-dopant incorporation is significantly enhanced in nearly strain- and defect-free Al(Ga)N nanowire structures, leading to efficient p -type conduction. The resulting Al(Ga)N nanowire LEDs exhibit excellent performance, including a turn-on voltage of ∼5.5 V for an AlN nanowire LED operating at 207 nm. The design, fabrication, and performance of an electrically injected AlGaN nanowire laser operating in the UV-B band is also presented. (paper)

  5. Surface Reduced CeO2 Nanowires for Direct Conversion of CO2 and Methanol to Dimethyl Carbonate: Catalytic Performance and Role of Oxygen Vacancy

    Directory of Open Access Journals (Sweden)

    Zhongwei Fu

    2018-04-01

    Full Text Available Ultralong 1D CeO2 nanowires were synthesized via an advanced solvothermal method, surface reduced under H2 atmosphere, and first applied in direct synthesis of dimethyl carbonate (DMC from CO2 and CH3OH. The micro morphologies, physical parameters of nanowires were fully investigated by transmission electron microscopy (TEM, X-ray diffraction (XRD, N2 adsorption, X-ray photoelectron spectrum (XPS, and temperature-programmed desorption of ammonia/carbon dioxide (NH3-TPD/CO2-TPD. The effects of surface oxygen vacancy and acidic/alkaline sites on the catalytic activity was explored. After reduction, the acidic/alkaline sites of CeO2 nanowires can be dramatically improved and evidently raised the catalytic performance. CeO2 nanowires reduced at 500 °C (CeO2_NW_500 exhibited notably superior activity with DMC yield of 16.85 mmol gcat−1. Furthermore, kinetic insights of initial rate were carried out and the apparent activation energy barrier of CeO2_NW_500 catalyst was found to be 41.9 kJ/mol, much tiny than that of CeO2_NW catalyst (74.7 KJ/mol.

  6. Diameter Dependence of Planar Defects in InP Nanowires.

    Science.gov (United States)

    Wang, Fengyun; Wang, Chao; Wang, Yiqian; Zhang, Minghuan; Han, Zhenlian; Yip, SenPo; Shen, Lifan; Han, Ning; Pun, Edwin Y B; Ho, Johnny C

    2016-09-12

    In this work, extensive characterization and complementary theoretical analysis have been carried out on Au-catalyzed InP nanowires in order to understand the planar defect formation as a function of nanowire diameter. From the detailed transmission electron microscopic measurements, the density of stacking faults and twin defects are found to monotonically decrease as the nanowire diameter is decreased to 10 nm, and the chemical analysis clearly indicates the drastic impact of In catalytic supersaturation in Au nanoparticles on the minimized planar defect formation in miniaturized nanowires. Specifically, during the chemical vapor deposition of InP nanowires, a significant amount of planar defects is created when the catalyst seed sizes are increased with the lower degree of In supersaturation as dictated by the Gibbs-Thomson effect, and an insufficient In diffusion (or Au-rich enhancement) would lead to a reduced and non-uniform In precipitation at the NW growing interface. The results presented here provide an insight into the fabrication of "bottom-up" InP NWs with minimized defect concentration which are suitable for various device applications.

  7. Investigation of the influence of growth parameters on self-catalyzed ITO nanowires by high RF-power sputtering

    Science.gov (United States)

    Li, Qiang; Zhang, Yuantao; Feng, Lungang; Wang, Zuming; Wang, Tao; Yun, Feng

    2018-04-01

    Tin-doped indium oxide (ITO) nanowires are successfully fabricated using a radio frequency (RF) sputtering technique with a high RF power of 250 W. The fabrication of the ITO nanowires is optimized through the study of oxygen flow rates, temperatures and RF power. The difference in the morphology of the ITO nanowires prepared by using a new target and a used target is observed and the mechanism for the difference is discussed in detail. A hollow structure and air voids within the nanowires are formed during the process of the nanowire growth. The ITO nanowires fabricated by this method demonstrated good conductivity (15 Ω sq-1) and a transmittance of more than 64% at a wavelength longer than 550 nm after annealing. Furthermore, detailed microstructure studies show that the ITO nanowires exhibit a large number of oxygen vacancies. As a result, it is expected that they can be useful for the fabrication of gas sensor devices.

  8. Defect level characterization of silicon nanowire arrays: Towards novel experimental paradigms

    Energy Technology Data Exchange (ETDEWEB)

    Carapezzi, Stefania; Castaldini, Antonio; Cavallini, Anna [Department of Physics and Astronomy, University of Bologna, V.le Berti Pichat 6/2, Bologna (Italy); Irrera, Alessia [IPCF CNR, Viale Stagno D' Alcontres n. 37-98158, Messina, Italy and MATIS IMM CNR, Viale Santa Sofia n. 64, 95123 Catania (Italy)

    2014-02-21

    The huge amount of knowledge, and infrastructures, brought by silicon (Si) technology, make Si Nanowires (NWs) an ideal choice for nano-electronic Si-based devices. This, in turn, challenges the scientific research to adapt the technical and theoretical paradigms, at the base of established experimental techniques, in order to probe the properties of these systems. Metal-assisted wet-Chemical Etching (MaCE) [1, 2] is a promising fast, easy and cheap method to grow high aspect-ratio aligned Si NWs. Further, contrary to other fabrication methods, this method avoids the possible detrimental effects related to Au diffusion into NWs. We investigated the bandgap level diagram of MaCE Si NW arrays, phosphorous-doped, by means of Deep Level Transient Spectroscopy. The presence of both shallow and deep levels has been detected. The results have been examined in the light of the specificity of the MaCE growth. The study of the electronic levels in Si NWs is, of course, of capital importance in view of the integration of Si NW arrays as active layers in actual devices.

  9. Observation of layered antiferromagnetism in self-assembled parallel NiSi nanowire arrays on Si(110) by spin-polarized scanning tunneling spectromicroscopy

    Science.gov (United States)

    Hong, Ie-Hong; Hsu, Hsin-Zan

    2018-03-01

    The layered antiferromagnetism of parallel nanowire (NW) arrays self-assembled on Si(110) have been observed at room temperature by direct imaging of both the topographies and magnetic domains using spin-polarized scanning tunneling microscopy/spectroscopy (SP-STM/STS). The topographic STM images reveal that the self-assembled unidirectional and parallel NiSi NWs grow into the Si(110) substrate along the [\\bar{1}10] direction (i.e. the endotaxial growth) and exhibit multiple-layer growth. The spatially-resolved SP-STS maps show that these parallel NiSi NWs of different heights produce two opposite magnetic domains, depending on the heights of either even or odd layers in the layer stack of the NiSi NWs. This layer-wise antiferromagnetic structure can be attributed to an antiferromagnetic interlayer exchange coupling between the adjacent layers in the multiple-layer NiSi NW with a B2 (CsCl-type) crystal structure. Such an endotaxial heterostructure of parallel magnetic NiSi NW arrays with a layered antiferromagnetic ordering in Si(110) provides a new and important perspective for the development of novel Si-based spintronic nanodevices.

  10. Rheological behavior of silver nanowire conductive inks during screen printing

    Science.gov (United States)

    Hemmati, Shohreh; Barkey, Dale P.; Gupta, Nivedita

    2016-08-01

    The rheological behavior of silver nanowire (AgNW) suspensions adapted for screen printing inks was investigated. Aqueous silver nanowire inks consisting of AgNW (length of 30 μm, and diameter of 40 and 90 nm), dispersant and binder were formulated. The effect of AgNW content on the rheological behavior of the ink and the build-up of ink structure after screen printing were examined as they depend on applied shear and temperature. Rheological measurements under conditions that mimic the screen printing process were done to assess viscoelastic properties induced by flow alignment of the wires and the subsequent recovery of the low shear structure. The Stretched Exponential model (SEmo) was used to model the recovery process after screen printing to obtain the characteristic time of the recovery or build-up process. The characteristic time was determined at several temperatures to obtain the activation energy of recovery. The domination of Brownian motion or non-Brownian motion behavior can be characterized by a Peclet number, which is the ratio of shear rate to the rotational diffusion coefficient. The Peclet number and the dimensionless concentration of wires were used to assess the recovery mechanism. The steady viscosity at low and high shear rates was also treated by an activation energy analysis.

  11. Rheological behavior of silver nanowire conductive inks during screen printing

    International Nuclear Information System (INIS)

    Hemmati, Shohreh; Barkey, Dale P.; Gupta, Nivedita

    2016-01-01

    The rheological behavior of silver nanowire (AgNW) suspensions adapted for screen printing inks was investigated. Aqueous silver nanowire inks consisting of AgNW (length of 30 μm, and diameter of 40 and 90 nm), dispersant and binder were formulated. The effect of AgNW content on the rheological behavior of the ink and the build-up of ink structure after screen printing were examined as they depend on applied shear and temperature. Rheological measurements under conditions that mimic the screen printing process were done to assess viscoelastic properties induced by flow alignment of the wires and the subsequent recovery of the low shear structure. The Stretched Exponential model (SEmo) was used to model the recovery process after screen printing to obtain the characteristic time of the recovery or build-up process. The characteristic time was determined at several temperatures to obtain the activation energy of recovery. The domination of Brownian motion or non-Brownian motion behavior can be characterized by a Peclet number, which is the ratio of shear rate to the rotational diffusion coefficient. The Peclet number and the dimensionless concentration of wires were used to assess the recovery mechanism. The steady viscosity at low and high shear rates was also treated by an activation energy analysis.

  12. Rheological behavior of silver nanowire conductive inks during screen printing

    Energy Technology Data Exchange (ETDEWEB)

    Hemmati, Shohreh; Barkey, Dale P., E-mail: dpb@unh.edu; Gupta, Nivedita [University of New Hampshire, Department of Chemical Engineering (United States)

    2016-08-15

    The rheological behavior of silver nanowire (AgNW) suspensions adapted for screen printing inks was investigated. Aqueous silver nanowire inks consisting of AgNW (length of 30 μm, and diameter of 40 and 90 nm), dispersant and binder were formulated. The effect of AgNW content on the rheological behavior of the ink and the build-up of ink structure after screen printing were examined as they depend on applied shear and temperature. Rheological measurements under conditions that mimic the screen printing process were done to assess viscoelastic properties induced by flow alignment of the wires and the subsequent recovery of the low shear structure. The Stretched Exponential model (SEmo) was used to model the recovery process after screen printing to obtain the characteristic time of the recovery or build-up process. The characteristic time was determined at several temperatures to obtain the activation energy of recovery. The domination of Brownian motion or non-Brownian motion behavior can be characterized by a Peclet number, which is the ratio of shear rate to the rotational diffusion coefficient. The Peclet number and the dimensionless concentration of wires were used to assess the recovery mechanism. The steady viscosity at low and high shear rates was also treated by an activation energy analysis.

  13. Controlled 1.1-1.6 μm luminescence in gold-free multi-stacked InAs/InP heterostructure nanowires.

    Science.gov (United States)

    Zhang, Guoqiang; Tateno, Kouta; Birowosuto, Muhammad Danang; Notomi, Masaya; Sogawa, Tetsuomi; Gotoh, Hideki

    2015-03-20

    We report controlled 1.1-1.6 μm luminescence in gold-free multi-stacked InAs/InP heterostructure nanowires (NWs). We realized the NWs by using an indium-particle-assisted vapor-liquid-solid synthesis approach. The growth temperature, as low as 320 °C, enables the formation of an atomically abrupt InP/InAs interface by supressing the diffusion and weakening the reservoir effect in the indium droplet. The low growth temperature also enables us to grow multi-stacked InAs/InP NWs in the axial direction without any growth on the NW side face. The high controllability of the growth technology ensures that the luminescence can be tailored by the thickness of InAs segment in InP NWs and cover the 1.3-1.5 μm telecommunication window range. By using the nanoscale-spatial-resolution technology combing cathodoluminescence with scanning electron microscopy, we directly correlated the site of different-thickness InAs segments with its luminescence property in a single NW and demonstrate the InAs-thickness-controlled energy of optical emission in 1.1-1.6 μm.

  14. Structural characterization of selective area growth GaN nanowires by non-destructive optical and electrical techniques

    International Nuclear Information System (INIS)

    Secco, Eleonora; Minj, Albert; Garro, Núria; Cantarero, Andrés; Colchero, Jaime; Urban, Arne; Oppo, Carla Ivana; Malindretos, Joerg; Rizzi, Angela

    2015-01-01

    The growth selectivity and structural quality of GaN nanowires obtained by plasma-assisted molecular beam epitaxy on pre-patterned GaN(0001) templates are investigated by means of non-destructive techniques. Optimum control over the nanowire arrangement and size requires a pitch between the mask apertures below twice the diffusion length of Ga atoms. Lower pitches, however, seem to slightly diminish the structural quality of the material, as revealed by the increase of the Raman peak linewidths. The photoluminescence spectra of the nanowires show a considerable presence of basal plane stacking faults, whose density increases for decreasing nanowire diameter. The capabilities of Kelvin probe force microscopy for imaging these kind of defects are also demonstrated. (paper)

  15. Structural characterization of selective area growth GaN nanowires by non-destructive optical and electrical techniques

    Science.gov (United States)

    Secco, Eleonora; Minj, Albert; Garro, Núria; Cantarero, Andrés; Colchero, Jaime; Urban, Arne; Ivana Oppo, Carla; Malindretos, Joerg; Rizzi, Angela

    2015-08-01

    The growth selectivity and structural quality of GaN nanowires obtained by plasma-assisted molecular beam epitaxy on pre-patterned GaN(0001) templates are investigated by means of non-destructive techniques. Optimum control over the nanowire arrangement and size requires a pitch between the mask apertures below twice the diffusion length of Ga atoms. Lower pitches, however, seem to slightly diminish the structural quality of the material, as revealed by the increase of the Raman peak linewidths. The photoluminescence spectra of the nanowires show a considerable presence of basal plane stacking faults, whose density increases for decreasing nanowire diameter. The capabilities of Kelvin probe force microscopy for imaging these kind of defects are also demonstrated.

  16. Optical absorption enhancement in silicon nanowire arrays with a large lattice constant for photovoltaic applications.

    Science.gov (United States)

    Lin, Chenxi; Povinelli, Michelle L

    2009-10-26

    In this paper, we use the transfer matrix method to calculate the optical absorptance of vertically-aligned silicon nanowire (SiNW) arrays. For fixed filling ratio, significant optical absorption enhancement occurs when the lattice constant is increased from 100 nm to 600 nm. The enhancement arises from an increase in field concentration within the nanowire as well as excitation of guided resonance modes. We quantify the absorption enhancement in terms of ultimate efficiency. Results show that an optimized SiNW array with lattice constant of 600 nm and wire diameter of 540 nm has a 72.4% higher ultimate efficiency than a Si thin film of equal thickness. The enhancement effect can be maintained over a large range of incidence angles.

  17. Controlled Growth of Rubrene Nanowires by Eutectic Melt Crystallization

    Science.gov (United States)

    Chung, Jeyon; Hyon, Jinho; Park, Kyung-Sun; Cho, Boram; Baek, Jangmi; Kim, Jueun; Lee, Sang Uck; Sung, Myung Mo; Kang, Youngjong

    2016-03-01

    Organic semiconductors including rubrene, Alq3, copper phthalocyanine and pentacene are crystallized by the eutectic melt crystallization. Those organic semiconductors form good eutectic systems with the various volatile crystallizable additives such as benzoic acid, salicylic acid, naphthalene and 1,3,5-trichlorobenzene. Due to the formation of the eutectic system, organic semiconductors having originally high melting point (Tm > 300 °C) are melted and crystallized at low temperature (Te = 40.8-133 °C). The volatile crystallizable additives are easily removed by sublimation. For a model system using rubrene, single crystalline rubrene nanowires are prepared by the eutectic melt crystallization and the eutectic-melt-assisted nanoimpinting (EMAN) technique. It is demonstrated that crystal structure and the growth direction of rubrene can be controlled by using different volatile crystallizable additives. The field effect mobility of rubrene nanowires prepared using several different crystallizable additives are measured and compared.

  18. Growth kinetics of racemic heptahelicene-2-carboxylic acid nanowires on calcite (104)

    Czech Academy of Sciences Publication Activity Database

    Einax, M.; Richter, T.; Nimmrich, M.; Rahe, P.; Stará, Irena G.; Starý, Ivo; Kühnle, A.; Maass, P.

    2016-01-01

    Roč. 145, č. 13 (2016), č. článku 134702. ISSN 0021-9606 Institutional support: RVO:61388963 Keywords : heptahelicene-2-carboxylic acid nanowires * nc-AFM * calcite * growth kinetics Subject RIV: CC - Organic Chemistry Impact factor: 2.965, year: 2016

  19. Ion-step method for surface potential sensing of silicon nanowires

    NARCIS (Netherlands)

    Chen, S.; van Nieuwkasteele, Jan William; van den Berg, Albert; Eijkel, Jan C.T.

    2016-01-01

    This paper presents a novel stimulus-response method for surface potential sensing of silicon nanowire (Si NW) field-effect transistors. When an "ion-step" from low to high ionic strength is given as a stimulus to the gate oxide surface, an increase of double layer capacitance is therefore expected.

  20. Droop-Free, Reliable, and High-Power InGaN/GaN Nanowire Light-Emitting Diodes for Monolithic Metal-Optoelectronics

    KAUST Repository

    Zhao, Chao

    2016-07-28

    A droop-free nitride light-emitting diode (LED) with the capacity to operate beyond the “green gap” has been a subject of intense scientific and engineering interest. While several properties of nanowires on silicon make them promising for use in LED development, the high aspect ratio of individual nanowires and their laterally discontinuous features limit phonon transport and device performance. Here, we report on the monolithic integration of metal heat-sink and droop-free InGaN/GaN quantum-disks-in-nanowire LEDs emitting at ∼710 nm. The reliable operation of our uncooled nanowire-LEDs (NW-LEDs) epitaxially grown on molybdenum was evident in the constant-current soft burn-in performed on a 380 μm × 380 μm LED. The square LED sustained 600 mA electrical stress over an 8 h period, providing stable light output at maturity without catastrophic failure. The absence of carrier and phonon transport barriers in NW-LEDs was further inferred from current-dependent Raman measurements (up to 700 mA), which revealed the low self-heating. The radiative recombination rates of NW-LEDs between room temperature and 40 °C was not limited by Shockley–Read–Hall recombination, Auger recombination, or carrier leakage mechanisms, thus realizing droop-free operation. The discovery of reliable, droop-free devices constitutes significant progress toward the development of nanowires for practical applications. Our monolithic approach realized a high-performance device that will revolutionize the way high power, low-junction-temperature LED lamps are manufactured for solid-state lighting and for applications in high-temperature harsh environment.

  1. Electronic transport through Si nanowires: Role of bulk and surface disorder

    DEFF Research Database (Denmark)

    Markussen, Troels; Rurali, R.; Brandbyge, Mads

    2006-01-01

    We calculate the resistance and mean free path in long metallic and semiconducting silicon nanowires (SiNW's) using two different numerical approaches: a real-space Kubo method and a recursive Green's-function method. We compare the two approaches and find that they are complementary: depending...

  2. Aligned nanowire growth using lithography-assisted bonding of a polycarbonate template for neural probe electrodes

    International Nuclear Information System (INIS)

    Yoon, Hargsoon; Deshpande, Devesh C; Ramachandran, Vasuda; Varadan, Vijay K

    2008-01-01

    This research presents a fabrication method of vertically aligned nanowires on substrates using lithography-assisted template bonding (LATB) towards developing highly efficient electrodes for biomedical applications at low cost. A polycarbonate template containing cylindrical nanopores is attached to a substrate and the nanopores are selectively opened with a modified lithography process. Vertically aligned nanowires are grown by electrochemical deposition through these open pores on polyimide film and silicon substrates. The process of opening the nanopores is optimized to yield uniform growth of nanowires. The morphological, crystalline, and electrochemical properties of the resulting vertically aligned nanowires are discussed using scanning electron microscopy (SEM), x-ray diffraction (XRD), and electrochemical analysis tools. The potential application of this simple and inexpensive fabrication technology is discussed in the development of neural probe electrodes

  3. Bias polarity-sensitive electrical failure characteristics of ZnSe nanowire in metal–semiconductor–metal nanostructure

    Directory of Open Access Journals (Sweden)

    Yu Tan

    2014-04-01

    Full Text Available The effect of bias polarity on the electrical breakdown behavior of the single ZnSe nanowire (NW in the metal–semiconductor–metal (M–S–M nanostructure under high current density and high bias conditions has been studied in the present paper. The experimental results show that the failure of the ZnSe NW in M–S–M nanostructure was sensitive to bias polarity since the NW commonly collapsed at the negatively biased Au metal electrode due to high Joule heat produced in NW at the reversely biased Schottky barrier. Thus, the electrical breakdown behavior of the ZnSe NW was highly dominated by the cathode-controlled mode due to the high resistance of the depletion region of ZnSe NW at the reversely biased Schottky contact.

  4. Functionalization of silicon nanowire surfaces with metal-organic frameworks

    KAUST Repository

    Liu, Nian

    2011-12-28

    Metal-organic frameworks (MOFs) and silicon nanowires (SiNWs) have been extensively studied due to their unique properties; MOFs have high porosity and specific surface area with well-defined nanoporous structure, while SiNWs have valuable one-dimensional electronic properties. Integration of the two materials into one composite could synergistically combine the advantages of both materials and lead to new applications. We report the first example of a MOF synthesized on surface-modified SiNWs. The synthesis of polycrystalline MOF-199 (also known as HKUST-1) on SiNWs was performed at room temperature using a step-by-step (SBS) approach, and X-ray photoelectron spectroscopy, X-ray diffraction, scanning electron microscopy, transmission electron microscopy, and energy dispersive spectroscopy elemental mapping were used to characterize the material. Matching of the SiNW surface functional groups with the MOF organic linker coordinating groups was found to be critical for the growth. Additionally, the MOF morphology can by tuned by changing the soaking time, synthesis temperature and precursor solution concentration. This SiNW/MOF hybrid structure opens new avenues for rational design of materials with novel functionalities. © 2011 Tsinghua University Press and Springer-Verlag Berlin Heidelberg.

  5. Nucleation and growth mechanism of Co–Pt alloy nanowires electrodeposited within alumina template

    Energy Technology Data Exchange (ETDEWEB)

    Srivastav, Ajeet K., E-mail: srivastav.ajeet.kumar@gmail.com, E-mail: mm09d004@smail.iitm.ac.in [Indian Institute of Technology Madras, Department of Metallurgical and Materials Engineering (India); Shekhar, Rajiv [Indian Institute of Technology Kanpur, Department of Materials Science and Engineering (India)

    2015-01-15

    Co–Pt alloy nanowires were electrodeposited by direct current electrodeposition within nanoporous alumina templates with varying deposition potentials. The effect of deposition potential on nucleation and growth mechanisms during electrodeposition of Co–Pt alloy nanowires was investigated. The less negative deposition potential (−0.9 V) favours the instantaneous nucleation mechanism. The positive deviation from theoretical instantaneous and progressive nucleation mechanisms occurs at higher negative deposition potentials. The hysteresis behaviour and magnetic properties of electrodeposited Co–Pt alloy nanowires altered with varying deposition potential. The easy magnetization direction was in direction perpendicular to the wire axis. The deposition potential dependent change in hysteresis behaviour with increased coercivity and scattered remanence ratio was observed. This is attributed to better crystallinity with reduced defect density and hydrogen evolution causing structural changes at more negative deposition potentials.

  6. Young’s modulus of [111] germanium nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Maksud, M.; Palapati, N. K. R.; Subramanian, A., E-mail: asubramanian@vcu.edu [Department of Mechanical and Nuclear Engineering, Virginia Commonwealth University, Richmond, Virginia 23284 (United States); Yoo, J. [Center for Integrated Nanotechnologies, Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States); Harris, C. T. [Center for Integrated Nanotechnologies, Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States)

    2015-11-01

    This paper reports a diameter-independent Young’s modulus of 91.9 ± 8.2 GPa for [111] Germanium nanowires (Ge NWs). When the surface oxide layer is accounted for using a core-shell NW approximation, the YM of the Ge core approaches a near theoretical value of 147.6 ± 23.4 GPa. The ultimate strength of a NW device was measured at 10.9 GPa, which represents a very high experimental-to-theoretical strength ratio of ∼75%. With increasing interest in this material system as a high-capacity lithium-ion battery anode, the presented data provide inputs that are essential in predicting its lithiation-induced stress fields and fracture behavior.

  7. Attraction of semiconductor nanowires: An in situ observation

    International Nuclear Information System (INIS)

    Chen, Bin; Gao, Qiang; Chang, Li; Wang, Yanbo; Chen, Zibin; Liao, Xiaozhou; Tan, Hark Hoe; Zou, Jin; Ringer, Simon P.; Jagadish, Chennupati

    2013-01-01

    Graphical abstract: -- Abstract: In situ deformation transmission electron microscopy was used to study the attraction behavior of GaAs semiconductor nanowires (NWs). The NWs demonstrated an interesting phenomenon of either head-to-head or body-to-body attraction at distances that depend on the NW diameters. The NWs with a diameter of ∼25 nm attracted at a distance of ∼25 nm, while large-diameter NWs of ∼55 nm showed no obvious attraction. The underlying mechanism governing the attraction of the NWs is proposed and discussed with a mechanistic model. The diameter dependence on the NW attraction behavior is discussed. The finding provides an understanding of the Ampère force in nanostructured materials caused by an electron-beam-induced current while technologically it provides useful hints for designing NW-based devices according to the diameter-dependent attraction behavior of NWs

  8. Photoresponsive properties of ultrathin silicon nanowires

    International Nuclear Information System (INIS)

    Tran, Duy P.; Macdonald, Thomas J.; Nann, Thomas; Thierry, Benjamin; Wolfrum, Bernhard; Stockmann, Regina; Offenhäusser, Andreas

    2014-01-01

    Functional silicon nanowires (SiNWs) are promising building blocks in the design of highly sensitive photodetectors and bio-chemical sensors. We systematically investigate the photoresponse properties of ultrathin SiNWs (20 nm) fabricated using a size-reduction method based on e-beam lithography and tetramethylammonium hydroxide wet-etching. The high-quality SiNWs were able to detect light from the UV to the visible range with excellent sensitivity (∼1 pW/array), good time response, and high photoresponsivity (R ∼ 2.5 × 10 4  A/W). Improvement of the ultrathin SiNWs' photoresponse has been observed in comparison to 40 nm counter-part nanowires. These properties are attributable to the predominance surface-effect due to the high surface-to-volume ratio of ultrathin SiNWs. Long-term measurements at different temperatures in both the forward and reverse bias directions demonstrated the stability and reliability of the fabricated device. By sensitizing the fabricated SiNW arrays with cadmium telluride quantum dots (QDs), hybrid QD SiNW devices displayed an improvement in photocurrent response under UV light, while preserving their performance in the visible light range. The fast, stable, and high photoresponse of these hybrid nanostructures is promising towards the development of optoelectronic and photovoltaic devices

  9. Photoresponsive properties of ultrathin silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Duy P.; Macdonald, Thomas J.; Nann, Thomas; Thierry, Benjamin, E-mail: a.offenhaeusser@fz-juelich.de, E-mail: benjamin.thierry@unisa.edu.au [Ian Wark Research Institute, University of South Australia, Mawson Lakes Campus, MM Bldg., Mawson Lakes Blvd., Mawson Lakes, South Australia 5095 (Australia); Wolfrum, Bernhard; Stockmann, Regina; Offenhäusser, Andreas, E-mail: a.offenhaeusser@fz-juelich.de, E-mail: benjamin.thierry@unisa.edu.au [Peter Grünberg Institute, Forschungszentrum Jülich GmbH, 2.4v Bldg., Wilhelm-Johnen St., Jülich 52428 (Germany)

    2014-12-08

    Functional silicon nanowires (SiNWs) are promising building blocks in the design of highly sensitive photodetectors and bio-chemical sensors. We systematically investigate the photoresponse properties of ultrathin SiNWs (20 nm) fabricated using a size-reduction method based on e-beam lithography and tetramethylammonium hydroxide wet-etching. The high-quality SiNWs were able to detect light from the UV to the visible range with excellent sensitivity (∼1 pW/array), good time response, and high photoresponsivity (R ∼ 2.5 × 10{sup 4 }A/W). Improvement of the ultrathin SiNWs' photoresponse has been observed in comparison to 40 nm counter-part nanowires. These properties are attributable to the predominance surface-effect due to the high surface-to-volume ratio of ultrathin SiNWs. Long-term measurements at different temperatures in both the forward and reverse bias directions demonstrated the stability and reliability of the fabricated device. By sensitizing the fabricated SiNW arrays with cadmium telluride quantum dots (QDs), hybrid QD SiNW devices displayed an improvement in photocurrent response under UV light, while preserving their performance in the visible light range. The fast, stable, and high photoresponse of these hybrid nanostructures is promising towards the development of optoelectronic and photovoltaic devices.

  10. Organic Nanowires

    DEFF Research Database (Denmark)

    Balzer, Frank; Schiek, Manuela; Al-Shamery, Katharina

    Single crystalline nanowires from fluorescing organic molecules like para-phenylenes or thiophenes are supposed to become key elements in future integrated optoelectronic devices [1]. For a sophisticated design of devices based on nanowires the basic principles of the nanowire formation have...... atomic force microscopy and from polarized far-field optical microscopy for various prototypical molecules are reproduced by electrostatic and Monte Carlo calculations. Based on the crystal structure, predictions on the growth habit from other conjugated molecules become in reach....

  11. Self-regulating and diameter-selective growth of GaN nanowires

    International Nuclear Information System (INIS)

    Kuo, C-K; Hsu, C-W; Wu, C-T; Lan, Z-H; Mou, C-Y; Chen, C-C; Yang, Y-J; Chen, L-C; Chen, K-H

    2006-01-01

    We report diameter-selective growth of GaN nanowires (NWs) by using mono-dispersed Au nanoparticles (NPs) on a ligand-modified Si substrate. The thiol-terminal silane was found to be effective in producing well-dispersed Au NPs in low density on Si substrates so that the agglomeration of Au NPs during growth could be avoided. The resultant GaN NWs exhibited a narrow diameter distribution and their mean diameter was always larger than, while keeping a deterministic relation with, the size of the Au NPs from which they were grown. A self-regulating steady growth model is proposed to account for the size-control process

  12. Electromagnetic field enhancement effects in group IV semiconductor nanowires. A Raman spectroscopy approach

    Science.gov (United States)

    Pura, J. L.; Anaya, J.; Souto, J.; Prieto, A. C.; Rodríguez, A.; Rodríguez, T.; Periwal, P.; Baron, T.; Jiménez, J.

    2018-03-01

    Semiconductor nanowires (NWs) are the building blocks of future nanoelectronic devices. Furthermore, their large refractive index and reduced dimension make them suitable for nanophotonics. The study of the interaction between nanowires and visible light reveals resonances that promise light absorption/scattering engineering for photonic applications. Micro-Raman spectroscopy has been used as a characterization tool for semiconductor nanowires. The light/nanowire interaction can be experimentally assessed through the micro-Raman spectra of individual nanowires. As compared to both metallic and dielectric nanowires, semiconductor nanowires add additional tools for photon engineering. In particular, one can grow heterostructured nanowires, both axial and radial, and also one could modulate the doping level and the surface condition among other factors than can affect the light/NW interaction. We present herein a study of the optical response of group IV semiconductor nanowires to visible photons. The study is experimentally carried out through micro-Raman spectroscopy of different group IV nanowires, both homogeneous and axially heterostructured (SiGe/Si). The results are analyzed in terms of the electromagnetic modelling of the light/nanowire interaction using finite element methods. The presence of axial heterostructures is shown to produce electromagnetic resonances promising new photon engineering capabilities of semiconductor nanowires.

  13. Autoclave growth, magnetic, and optical properties of GdB6 nanowires

    Science.gov (United States)

    Han, Wei; Wang, Zhen; Li, Qidong; Liu, Huatao; Fan, Qinghua; Dong, Youzhong; Kuang, Quan; Zhao, Yanming

    2017-12-01

    High-quality single crystalline gadolinium hexaboride (GdB6) nanowires have been successfully prepared at very low temperatures of 200-240 °C by a high pressure solid state (HPSS) method in an autoclave with a new chemical reaction route, where Gd, H3BO3, Mg and I2 were used as raw materials. The crystal structure, morphology, valence, magnetic and optical absorption properties were investigated using XRD, FESEM, HRTEM, XPS, SQUID magnetometry and optical measurements. HRTEM images and SAED patterns reveal that the GdB6 nanowires are single crystalline with a preferred growth direction along [001]. The XPS spectrum suggests that the valence of Gd ion in GdB6 is trivalent. The effective magnetic momentum per Gd3+ in GdB6 is about 6.26 μB. The optical properties exhibit weak absorption in the visible light range, but relatively strong absorbance in the NIR and UV range. Low work function and high NIR absorption can make GdB6 nanowires a potential solar radiation shielding material for solar cells or other NIR blocking applications.

  14. Vertical nanowire architectures

    DEFF Research Database (Denmark)

    Vlad, A.; Mátéfl-Tempfli, M.; Piraux, L.

    2010-01-01

    Nanowires and statistics: A statistical process for reading ultradense arrays of nanostructured materials is presented (see image). The experimental realization is achieved through selective nanowire growth using porous alumina templates. The statistical patterning approach is found to provide ri...

  15. M13 Bacteriophage/Silver Nanowire Surface-Enhanced Raman Scattering Sensor for Sensitive and Selective Pesticide Detection.

    Science.gov (United States)

    Koh, Eun Hye; Mun, ChaeWon; Kim, ChunTae; Park, Sung-Gyu; Choi, Eun Jung; Kim, Sun Ho; Dang, Jaejeung; Choo, Jaebum; Oh, Jin-Woo; Kim, Dong-Ho; Jung, Ho Sang

    2018-03-28

    A surface-enhanced Raman scattering (SERS) sensor comprising silver nanowires (AgNWs) and genetically engineered M13 bacteriophages expressing a tryptophan-histidine-tryptophan (WHW) peptide sequence (BPWHW) was fabricated by simple mixing of BPWHW and AgNW solutions, followed by vacuum filtration onto a glass-fiber filter paper (GFFP) membrane. The AgNWs stacked on the GFFP formed a high density of SERS-active hot spots at the points of nanowire intersections, and the surface-coated BPWHW functioned as a bioreceptor for selective pesticide detection. The BPWHW-functionalized AgNW (BPWHW/AgNW) sensor was characterized by scanning electron microscopy, confocal scanning fluorescence microscopy, atomic force microscopy, and Fourier transform infrared spectroscopy. The Raman signal enhancement and the selective pesticide SERS detection properties of the BPWHW/AgNW sensor were investigated in the presence of control substrates such as wild-type M13 bacteriophage-decorated AgNWs (BPWT/AgNW) and undecorated AgNWs (AgNW). The BPWHW/AgNW sensor exhibited a significantly higher capture capability for pesticides, especially paraquat (PQ), than the control SERS substrates, and it also showed a relatively higher selectivity for PQ than for other bipyridylium pesticides such as diquat and difenzoquat. Furthermore, as a field application test, PQ was detected on the surface of PQ-pretreated apple peels, and the results demonstrated the feasibility of using a paper-based SERS substrate for on-site residual pesticide detection. The developed M13 bacteriophage-functionalized AgNW SERS sensor might be applicable for the detection of various pesticides and chemicals through modification of the M13 bacteriophage surface peptide sequence.

  16. PREFACE: Synthesis and integration of nanowires

    Science.gov (United States)

    Samuelson, L.

    2006-06-01

    The field of semiconductor nanowires has attracted much attention in recent years, from the areas of basic materials science, advanced characterization and technology, as well as from the perspective of the applications of nanowires. Research on large-sized whiskers and wires had already begun in the 1960s with the pioneering work of Wagner, as well as by other researchers. It was, however, in the early 1990s that Kenji Hiruma at Hitachi Central Research Laboratories in Japan first succeeded in developing methods for the growth of nanowires with dimensions on the scale of 10-100 nm, thereby initiating the field of growth and applications of nanowires, with a strong emphasis on epitaxial nucleation of nanowires on a single-crystalline substrate. Starting from the mid-1990s, the field developed very rapidly with the number of papers on the subject growing from ten per year to several thousand papers on the subject published annually today, although with a rather generous definition of the concept of nanowires. With this rapid development we have seen many new and different approaches to the growth of nanowires, technological advances leading to a more well-controlled formation of nanowires, new innovative methods for the characterization of structures, as well as a wealth of approaches towards the use of nanowires in electronics, photonics and sensor applications. This issue contains contributions from many different laboratories, each adding significant detail to the development of the field of research. The contributions cover issues such as basic growth, advanced characterization and technology, and application of nanowires. I would like to acknowledge the shared responsibilities for this special issue of Nanotechnology on the synthesis and integration of nanowires with my co-Editors, S Tong Lee and M Sunkara, as well as the highly professional support from Dr Nina Couzin, Dr Ian Forbes and the Nanotechnology team from the Institute of Physics Publishing.

  17. Ab-initio study of thermodynamic properties of boron nanowire at atomic scale

    Science.gov (United States)

    Bhuyan, Prabal D.; Gupta, Sanjeev K.; Sonvane, Y.; Gajjar, P. N.

    2018-04-01

    In the present work, we have optimized ribbon like zigzag structure of boron (B) nanowire (NW) and investigated vibrational and thermodynamic properties using quasi-harmonic approximations (QHA). All positive phonon in the phonon dispersive curve have confirmed dynamical stability of ribbon B-NW. The thermodynamic properties, like Debye temperature, internal energy and specific heat, are calculated as a function of temperature. The variation of specific heat is proportional to T3 Debye law at lower temperature for B-NW, while it becomes constant above room temperature at 1200K; obeys Dulong-Petit's law. The high Debye temperature of 1120K is observed at ambient temperature, which can be attributed to high thermal conductivity. Our study shows that B-NW with high thermal conductivity could be the next generation electron connector for nanoscale electronic devices.

  18. Identifying individual n- and p-type ZnO nanowires by the output voltage sign of piezoelectric nanogenerator

    KAUST Repository

    Lin, S S

    2009-08-18

    Based on a comparative study between the piezoelectric outputs of n-type nanowires (NWs) and n-core/p-shell NWs along with the previous study (Lu et al 2009 Nano. Lett. 9 1223), we demonstrate a one-step technique for identifying the conductivity type of individual ZnO nanowires (NWs) based on the output of a piezoelectric nanogenerator without destroying the sample. A negative piezoelectric output voltage indicates an NW is n-type and it appears after the tip scans across the center of the NW, while a positive output voltage reveals p-type conductivity and it appears before the tip scans across the central line of the NW. This atomic force microscopy based technique is reliable for statistically mapping the majority carrier type in ZnO NWs arrays. The technique may also be applied to other wurtzite semiconductors, such as GaN, CdS and ZnS. © 2009 IOP Publishing Ltd.

  19. Three-dimensional cotton-like nickel nanowire@Ni-Co hydroxide nanosheet arrays as binder-free electrode for high-performance asymmetric supercapacitor

    Science.gov (United States)

    Wan, Houzhao; Li, Lang; Xu, Yang; Tan, Qiuyang; Liu, Xiang; Zhang, Jun; Wang, Hanbin; Wang, Hao

    2018-05-01

    Three-dimensional (3D) cotton-like Ni-Co layered double hydroxide nanosheet arrays/nickel nanowires (3D Ni-Co LDH/NiNw) were successfully fabricated through a facile chemical bath deposition method. The 3D nickel nanowires are used as a conductive substrate with robust adhesion for high-pseudocapacitance Ni-Co LDH. The 3D Ni-Co LDH/NiNw electrode shows a high areal specific capacitance of 14 F cm-2 at 5 mA cm-2 and quality specific capacitance of 466.6 F g-1 at 0.125 A g-1 with respect to the whole quality of the electrode. The fabricated asymmetric supercapacitor exhibits a remarkable energy density of 0.387 mWh cm-2 using Ni-Co LDH/NiNw as the negative electrode. This high-performance composite electrode presents a new and affordable general approach for supercapacitors.

  20. Engineering Silver Nanowire Networks: From Transparent Electrodes to Resistive Switching Devices.

    Science.gov (United States)

    Du, Haiwei; Wan, Tao; Qu, Bo; Cao, Fuyang; Lin, Qianru; Chen, Nan; Lin, Xi; Chu, Dewei

    2017-06-21

    Metal nanowires (NWs) networks with high conductance have shown potential applications in modern electronic components, especially the transparent electrodes over the past decade. In metal NW networks, the electrical connectivity of nanoscale NW junction can be modulated for various applications. In this work, silver nanowire (Ag NW) networks were selected to achieve the desired functions. The Ag NWs were first synthesized by a classic polyol process, and spin-coated on glass to fabricate transparent electrodes. The as-fabricated electrode showed a sheet resistance of 7.158 Ω □ -1 with an optical transmittance of 79.19% at 550 nm, indicating a comparable figure of merit (FOM, or Φ TC ) (13.55 × 10 -3 Ω -1 ). Then, two different post-treatments were designed to tune the Ag NWs for not only transparent electrode but also for threshold resistive switching (RS) application. On the one hand, the Ag NW film was mechanically pressed to significantly improve the conductance by reducing the junction resistance. On the other hand, an Ag@AgO x core-shell structure was deliberately designed by partial oxidation of Ag NWs through simple ultraviolet (UV)-ozone treatment. The Ag core can act as metallic interconnect and the insulating AgO x shell acts as a switching medium to provide a conductive pathway for Ag filament migration. By fabricating Ag/Ag@AgO x /Ag planar structure, a volatile threshold switching characteristic was observed and an on/off ratio of ∼100 was achieved. This work showed that through different post-treatments, Ag NW network can be engineered for diverse functions, transforming from transparent electrodes to RS devices.

  1. Fabrication of multilayer nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Kaur, Jasveer, E-mail: kaurjasveer89@gmail.com; Singh, Avtar; Kumar, Davinder [Department of Physics, Punjabi University Patiala, 147002, Punjab (India); Thakur, Anup; Kaur, Raminder, E-mail: raminder-k-saini@yahoo.com [Department of Basic and Applied Sciences, Punjabi University Patiala, 147002, Punjab (India)

    2016-05-06

    Multilayer nanowires were fabricated by potentiostate ectrodeposition template synthesis method into the pores of polycarbonate membrane. In present work layer by layer deposition of two different metals Ni and Cu in polycarbonate membrane having pore size of 600 nm were carried out. It is found that the growth of nanowires is not constant, it varies with deposition time. Scanning electron microscopy (SEM) is used to study the morphology of fabricated multilayer nanowires. An energy dispersive X-ray spectroscopy (EDS) results confirm the composition of multilayer nanowires. The result shows that multilayer nanowires formed is dense.

  2. Fabrication of multilayer nanowires

    International Nuclear Information System (INIS)

    Kaur, Jasveer; Singh, Avtar; Kumar, Davinder; Thakur, Anup; Kaur, Raminder

    2016-01-01

    Multilayer nanowires were fabricated by potentiostate ectrodeposition template synthesis method into the pores of polycarbonate membrane. In present work layer by layer deposition of two different metals Ni and Cu in polycarbonate membrane having pore size of 600 nm were carried out. It is found that the growth of nanowires is not constant, it varies with deposition time. Scanning electron microscopy (SEM) is used to study the morphology of fabricated multilayer nanowires. An energy dispersive X-ray spectroscopy (EDS) results confirm the composition of multilayer nanowires. The result shows that multilayer nanowires formed is dense.

  3. Radial Growth of Self-Catalyzed GaAs Nanowires and the Evolution of the Liquid Ga-Droplet Studied by Time-Resolved in Situ X-ray Diffraction.

    Science.gov (United States)

    Schroth, Philipp; Jakob, Julian; Feigl, Ludwig; Mostafavi Kashani, Seyed Mohammad; Vogel, Jonas; Strempfer, Jörg; Keller, Thomas F; Pietsch, Ullrich; Baumbach, Tilo

    2018-01-10

    We report on a growth study of self-catalyzed GaAs nanowires based on time-resolved in situ X-ray structure characterization during molecular-beam-epitaxy in combination with ex situ scanning-electron-microscopy. We reveal the evolution of nanowire radius and polytypism and distinguish radial growth processes responsible for tapering and side-wall growth. We interpret our results using a model for diameter self-stabilization processes during growth of self-catalyzed GaAs nanowires including the shape of the liquid Ga-droplet and its evolution during growth.

  4. All-(111) surface silicon nanowire field effect transistor devices: Effects of surface preparations

    NARCIS (Netherlands)

    Masood, M.N.; Carlen, Edwin; van den Berg, Albert

    2014-01-01

    Etching/hydrogen termination of All-(111) surface silicon nanowire field effect (SiNW-FET) devices developed by conventional photolithography and plane dependent wet etchings is studied with X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), atomic force microscopy (AFM) and

  5. Electrostatically Gated Graphene-Zinc Oxide Nanowire Heterojunction.

    Science.gov (United States)

    You, Xueqiu; Pak, James Jungho

    2015-03-01

    This paper presents an electrostatically gated graphene-ZnO nanowire (NW) heterojunction for the purpose of device applications for the first time. A sub-nanometer-thick energy barrier width was formed between a monatomic graphene layer and electrochemically grown ZnO NWs. Because of the narrow energy barrier, electrons can tunnel through the barrier when a voltage is applied across the junction. A near-ohmic current-voltage (I-V) curve was obtained from the graphene-electrochemically grown ZnO NW heterojunction. This near-ohmic contact changed to asymmetric I-V Schottky contact when the samples were exposed to an oxygen environment. It is believed that the adsorbed oxygen atoms or molecules on the ZnO NW surface capture free electrons of the ZnO NWs, thereby creating a depletion region in the ZnO NWs. Consequentially, the electron concentration in the ZnO NWs is dramatically reduced, and the energy barrier width of the graphene-ZnO NW heterojunction increases greatly. This increased energy barrier width reduces the electron tunneling probability, resulting in a typical Schottky contact. By adjusting the back-gate voltage to control the graphene-ZnO NW Schottky energy barrier height, a large modulation on the junction current (on/off ratio of 10(3)) was achieved.

  6. Catalyst-free, III-V nanowire photovoltaics

    Science.gov (United States)

    Davies, D. G.; Lambert, N.; Fry, P. W.; Foster, A.; Krysa, A. B.; Wilson, L. R.

    2014-05-01

    We report on room temperature, photovoltaic operation of catalyst-free GaAs p-i-n junction nanowire arrays. Growth studies were first performed to determine the optimum conditions for controlling the vertical and lateral growth of the nanowires. Following this, devices consisting of axial p-i-n junctions were fabricated by planarising the nanowire arrays with a hard baked polymer. We discuss the photovoltaic properties of this proof-of-concept device, and significant improvements to be made during the growth.

  7. An enhanced surface passivation effect in InGaN/GaN disk-in-nanowire light emitting diodes for mitigating Shockley-Read-Hall recombination.

    Science.gov (United States)

    Zhao, Chao; Ng, Tien Khee; Prabaswara, Aditya; Conroy, Michele; Jahangir, Shafat; Frost, Thomas; O'Connell, John; Holmes, Justin D; Parbrook, Peter J; Bhattacharya, Pallab; Ooi, Boon S

    2015-10-28

    We present a detailed study of the effects of dangling bond passivation and the comparison of different sulfide passivation processes on the properties of InGaN/GaN quantum-disk (Qdisk)-in-nanowire based light emitting diodes (NW-LEDs). Our results demonstrated the first organic sulfide passivation process for nitride nanowires (NWs). The results from Raman spectroscopy, photoluminescence (PL) measurements, and X-ray photoelectron spectroscopy (XPS) showed that octadecylthiol (ODT) effectively passivated the surface states, and altered the surface dynamic charge, and thereby recovered the band-edge emission. The effectiveness of the process with passivation duration was also studied. Moreover, we also compared the electro-optical performance of NW-LEDs emitting at green wavelength before and after ODT passivation. We have shown that the Shockley-Read-Hall (SRH) non-radiative recombination of NW-LEDs can be greatly reduced after passivation by ODT, which led to a much faster increasing trend of quantum efficiency and higher peak efficiency. Our results highlighted the possibility of employing this technique to further design and produce high performance NW-LEDs and NW-lasers.

  8. An enhanced surface passivation effect in InGaN/GaN disk-in-nanowire light emitting diodes for mitigating Shockley–Read–Hall recombination

    KAUST Repository

    Zhao, Chao

    2015-07-24

    We present a detailed study on the effects of dangling bond passivation and the comparison of different sulfides passivation process on the properties of InGaN/GaN quantum-disk (Qdisk)-in-nanowire based light emitting diodes (NW-LEDs). Our results demonstrated the first organic sulfide passivation process for nitride nanowires (NWs). The results from Raman spectroscopy, photoluminescence (PL) measurements, and X-ray photoelectron spectroscopy (XPS) showed octadecylthiol (ODT) effectively passivated the surface states, and altered the surface dynamic charge, thereby recovered the band-edge emission. The effectiveness of the process with passivation duration was also studied. Moreover, we also compared the electro-optical performance of NW-LEDs emitting at green wavelength before and after ODT passivation. We have shown that the Shockley-Read-Hall (SRH) non-radiative recombination of NW-LEDs can be greatly reduced after passivation by ODT, which led to a much faster increasing trend of quantum efficiency, and higher peak efficiency. Our results highlighted the research opportunity in employing this technique for further design and realization of high performance NW-LEDs and NW-lasers.

  9. Chemical bath deposited PbS thin films on ZnO nanowires for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Gertman, Ronen [Dept of Chemistry, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Osherov, Anna; Golan, Yuval [Dept of Materials Engineering, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Visoly-Fisher, Iris, E-mail: irisvf@bgu.ac.il [Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Department of Solar Energy and Environmental Physics, Swiss Institute for Dryland Environmental and Energy Research, Jacob Blaustein Institutes for Desert Research, Ben Gurion University of the Negev, Sede Boqer Campus 84990 (Israel)

    2014-01-01

    Photovoltaic devices usually exploit mid-range band-gap semiconductors which absorb in the visible range of the solar spectrum. However, much energy is lost in the IR and near-IR range. We combined the advantages of small band-gap, bulk-like PbS deposited by facile, cheap and direct chemical bath deposition (CBD), with the good electronic properties of ZnO and the large surface area of nanowires, towards low cost photovoltaic devices utilizing IR and near-IR light. Surprisingly, CBD of PbS on ZnO, and particularly on ZnO nanowires, was not studied hitherto. Therefore, the mechanism of PbS growth by chemical bath deposition on ZnO nanowires was studied in details. A visible proof is shown for a growth mechanism starting from amorphous Pb(OH){sub 2} layer, that evolved into the ‘ion-by-ion’ growth mechanism. The growth mechanism and the resulting morphology at low temperatures were controlled by the thiourea concentration. The grain size affected the magnitude of the band-gap and was controlled by the deposition temperatures. Deposition above 40 °C resulted in bulk-like PbS with an optical band-gap of 0.4 eV. Methods were demonstrated for achieving complete PbS coverage of the complex ZnO NW architecture, a crucial requirement in optoelectronic devices to prevent shorts. Measurements of photocurrents under white and near-IR (784 nm) illumination showed that despite a 200 meV barrier for electron transfer at the PbS/ZnO interface, extraction of photo-electrons from PbS to the ZnO was feasible. The ability to harvest electrons from a narrow band-gap semiconductor deposited on a large surface-area electrode can advance the field towards high efficiency, low cost IR and near-IR sensors and third generation solar cells. - Highlights: • PbS was deposited on ZnO nanowires using chemical bath deposition. • At 50 °C the growth mechanism starts from an amorphous Pb(OH){sub 2} layer. • At 5 °C the growth mechanism of PbS can be controlled by thiourea concentrations

  10. Controlling nanowire growth through electric field-induced deformation of the catalyst droplet

    DEFF Research Database (Denmark)

    Panciera, Federico; Norton, Michael M.; Alam, Sardar Bilal

    2016-01-01

    electron microscope show that the electric field modifies growth by changing the shape, position and contact angle of the catalytic droplet. This droplet engineering can be used to modify nanowires into three dimensional structures, relevant to a range of applications, and also to measure the droplet...

  11. Pulmonary Toxicity, Distribution, and Clearance of Intratracheally Instilled Silicon Nanowires in Rats

    Directory of Open Access Journals (Sweden)

    Jenny R. Roberts

    2012-01-01

    Full Text Available Silicon nanowires (Si NWs are being manufactured for use as sensors and transistors for circuit applications. The goal was to assess pulmonary toxicity and fate of Si NW using an in vivo experimental model. Male Sprague-Dawley rats were intratracheally instilled with 10, 25, 50, 100, or 250 μg of Si NW (~20–30 nm diameter; ~2–15 μm length. Lung damage and the pulmonary distribution and clearance of Si NW were assessed at 1, 3, 7, 28, and 91 days after-treatment. Si NW treatment resulted in dose-dependent increases in lung injury and inflammation that resolved over time. At day 91 after treatment with the highest doses, lung collagen was increased. Approximately 70% of deposited Si NW was cleared by 28 days with most of the Si NW localized exclusively in macrophages. In conclusion, Si NW induced transient lung toxicity which may be associated with an early rapid particle clearance; however, persistence of Si NW over time related to dose or wire length may lead to increased collagen deposition in the lung.

  12. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    Science.gov (United States)

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  13. Parallel-aligned GaAs nanowires with (110) orientation laterally grown on [311]B substrates via the gold-catalyzed vapor-liquid-solid mode

    International Nuclear Information System (INIS)

    Zhang Guoqiang; Tateno, Kouta; Gotoh, Hideki; Nakano, Hidetoshi

    2010-01-01

    We report parallel aligned GaAs nanowires (NWs) with (110) orientation laterally grown on [311]B substrates via the vapor-liquid-solid mode and demonstrate their controllability and growth mechanism. We control the size, density, and site of the lateral NWs by using size- and density-selective Au colloidal particles and Au dot arrays defined by electron-beam lithography. The lateral NWs grow only along the [110] and [1-bar 1-bar 0] directions and formation of the stable facets of (111)B and (001) on the sides of the lateral NWs is crucial for lateral NW growth. We clarify the growth mechanism by comparing the growth results on [311]B, (311)A, and (001) substrates and the surface energy change of lateral and freestanding NWs.

  14. Observation of diameter dependent carrier distribution in nanowire-based transistors

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, A; Hantschel, T; Eyben, P; Verhulst, A S; Rooyackers, R; Vandooren, A; Mody, J; Nazir, A; Leonelli, D; Vandervorst, W, E-mail: Andreas.Schulze@imec.be [IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2011-05-06

    The successful implementation of nanowire (NW) based field-effect transistors (FET) critically depends on quantitative information about the carrier distribution inside such devices. Therefore, we have developed a method based on high-vacuum scanning spreading resistance microscopy (HV-SSRM) which allows two-dimensional (2D) quantitative carrier profiling of fully integrated silicon NW-based tunnel-FETs (TFETs) with 2 nm spatial resolution. The key elements of our characterization procedure are optimized NW cleaving and polishing steps, the use of in-house fabricated ultra-sharp diamond tips, measurements in high vacuum and a dedicated quantification procedure accounting for the Schottky-like tip-sample contact affected by surface states. In the case of the implanted TFET source regions we find a strong NW diameter dependence of conformality, junction abruptness and gate overlap, quantitatively in agreement with process simulations. In contrast, the arsenic doped drain regions reveal an unexpected NW diameter dependent dopant deactivation. The observed lower drain doping for smaller diameters is reflected in the device characteristics by lower TFET off-currents, as measured experimentally and confirmed by device simulations.

  15. A Facile Method for Preparing Transparent, Conductive, and Paper-Like Silver Nanowire Films

    Directory of Open Access Journals (Sweden)

    Yajie Wang

    2011-01-01

    Full Text Available Transparent, conductive, and flexible silver nanowire (AgNW films have been fabricated by a facile two-step method. Firstly, the well-dispersed AgNW suspension is vacuum filtered using mixed esters of cellulose (MCE membranes as filters. Then, the AgNW-MCE films are treated with acetone vapor. After the infiltration of acetone vapor, the white and porous MCE membranes change into transparent and pore-free, and AgNW-MCE films are obtained with extraordinary optical, conductive, and mechanical properties. An optimal result is obtained with transmittance of 85% at 550 nm and sheet resistance about 50 Ohm/sq. The flexibility of AgNW-MCE films is remarkable, which is comparable to that of the AgNW film on flexible polyethylene terephthalate (PET. More important, AgNW-MCE films show an excellent adhesion to the substrate, which causes a stable electrical conductivity even after scotch tape test and finger friction test. As a result of improved adhesion to the substrate, the sheet resistance of AgNW-MCE films is about 20% smaller than that of AgNW-PET films.

  16. Mechanism for radiative recombination and defect properties of GaP/GaNP core/shell nanowires

    International Nuclear Information System (INIS)

    Dobrovolsky, A.; Stehr, J. E.; Chen, S. L.; Chen, W. M.; Buyanova, I. A.; Kuang, Y. J.; Sukrittanon, S.; Tu, C. W.

    2012-01-01

    Recombination processes in GaP/GaNP core/shell nanowires (NWs) grown on a Si substrate by molecular beam epitaxy are examined using a variety of optical characterization techniques, including cw- and time-resolved photoluminescence and optically detected magnetic resonance (ODMR). Superior optical quality of the structures is demonstrated based on the observation of intense emission from a single NW at room temperature. This emission is shown to originate from radiative transitions within N-related localized states. From ODMR, growth of GaP/GaNP NWs is also found to facilitate formation of complex defects containing a P atom at its core that act as centers of competing non-radiative recombination.

  17. Molecular beam epitaxy of GaAs nanowires and their sustainability for optoelectronic applications. Comparing Au- and self-assisted growth methods

    Energy Technology Data Exchange (ETDEWEB)

    Breuer, Steffen

    2011-09-28

    In this work the synthesis of GaAs nanowires by molecular beam epitaxy (MBE) using the vapour-liquid-solid (VLS) mechanism is investigated. A comparison between Au- and self-assisted VLS growth is at the centre of this thesis. While the Au-assisted method is established as a versatile tool for nanowire growth, the recently developed self-assisted variation results from the exchange of Au by Ga droplets and thus eliminates any possibility of Au incorporation. By both methods, we achieve nanowires with epitaxial alignment to the Si(111) substrates. Caused by differences during nanowire nucleation, a parasitic planar layer grows between the nanowires by the Au-assisted method, but can be avoided by the self-assisted method. Au-assisted nanowires grow predominantly in the metastable wurtzite crystal structure, while their self-assisted counterparts have the zincblende structure. All GaAs nanowires are fully relaxed and the strain arising from the lattice mismatch between GaAs and Si of 4.1 % is accommodated by misfit dislocations at the interface. Self-assisted GaAs nanowires are generally found to have vertical and non-polar side facets, while tilted and polar nanofacets were described for Au-assisted GaAs nanowires. We employ VLS nucleation theory to understand the effect of the droplet material on the lateral facets. Optoelectronic applications require long minority carrier lifetimes at room temperature. We fabricate GaAs/(Al,Ga)As core-shell nanowires and analyse them by transient photoluminescence (PL) spectroscopy. The results are 2.5 ns for the self-assisted nanowires as well as 9 ps for the Au-assisted nanowires. By temperature-dependent PL measurements we find a characteristic activation energy of 77 meV that is present only in the Au-assisted nanowires. We conclude that most likely Au is incorporated from the droplets into the GaAs nanowires and acts as a deep, non-radiative recombination centre.

  18. Annealing-free and strongly adhesive silver nanowire networks with long-term reliability by introduction of a nonconductive and biocompatible polymer binder

    Science.gov (United States)

    Jin, Yunxia; Deng, Dunying; Cheng, Yuanrong; Kong, Lingqiang; Xiao, Fei

    2014-04-01

    As a promising candidate to replace the brittle and expensive transparent indium tin oxide (ITO) conductor, the use of silver nanowire (AgNW) networks still involves issues such as high-temperature post-treatments and poor substrate adhesion for industrial application. Here a room-temperature soldering and one-step solution method is developed to achieve high-performance Ag nanowire transparent conductive films (TCFs). A nonconductive binder is prepared from poly(dopamine) and alginic acid which contains abundant catechol and carboxylic acid functional groups. The drying of the binder on the Ag nanowire percolation networks induces tighter contact among the nanowires and strong adhesion to the substrate, simultaneously enhancing the electrical and mechanical properties without a high-temperature annealing process. As a result, a highly conductive and bendable AgNW film is demonstrated on a low-cost polyethylene glycol terephthalate (PET) substrate, showing an 89% optical transmittance at λ = 550 nm and a sheet resistance of 16.3 Ohm sq-1. Its optical and electrical performances are superior to those obtained from the reported indium tin oxide (ITO) films. Moreover, the AgNW film exhibits strong adhesion to the substrate, maintaining its conductivity after 100 tape tests, and it still resists the tape test even after exposure to solvent for several hours. Most importantly, the film shows good reliability during long-term 85 °C/85% RH (relative humidity) aging, which has been rarely investigated although it is a critical requirement for industrial application. The advanced and wide-ranging features of the prepared AgNW film greatly contribute to its use as a transparent electrode in multifunctional flexible electronic devices.

  19. Electronic States and Persistent Currents in Nanowire Quantum Ring

    Science.gov (United States)

    Kokurin, I. A.

    2018-04-01

    The new model of a quantum ring (QR) defined inside a nanowire (NW) is proposed. The one-particle Hamiltonian for electron in [111]-oriented NW QR is constructed taking into account both Rashba and Dresselhaus spin-orbit coupling (SOC). The energy levels as a function of magnetic field are found using the exact numerical diagonalization. The persistent currents (both charge and spin) are calculated. The specificity of SOC and arising anticrossings in energy spectrum lead to unusual features in persistent current behavior. The variation of magnetic field or carrier concentration by means of gate can lead to pure spin persistent current with the charge current being zero.

  20. Prediction of reduced thermal conductivity in nano-engineered rough semiconductor nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Martin, Pierre N; Aksamija, Zlatan; Ravaioli, Umberto [Department of Electrical and Computer Engineering, University of Illinois, Urbana-Champaign, Urbana, IL 61801 (United States); Beckman Institute for Advanced Technology and Science, University of Illinois, Urbana-Champaign, Urbana, IL 61801 (United States); Pop, Eric, E-mail: pmartin7@illinois.ed, E-mail: epop@illinois.ed [Department of Electrical and Computer Engineering, University of Illinois, Urbana-Champaign, Urbana, IL 61801 (United States); Beckman Institute for Advanced Technology and Science, University of Illinois, Urbana-Champaign, Urbana, IL 61801 (United States); Micro- and Nano-Technology Laboratory, University of Illinois, Urbana-Champaign, Urbana, IL 61801 (United States)

    2009-11-15

    We explore phonon decay processes necessary to the design of efficient rough semiconductor nanowire (NW) thermoelectric devices. A novel approach to surface roughness-limited thermal conductivity of Si, Ge, and GaAs NW with diameter D < 500 nm is presented. In particular, a frequency-dependent phonon scattering rate is computed from perturbation theory and related to a description of the surface through the root-mean-square roughness height {Delta} and autocovariance length L. Using a full phonon dispersion relation, the thermal conductivity varies quadratically with diameter and roughness as (D/{Delta}){sup 2}. Computed results are in agreement with experimental data, and predict remarkably low thermal conductivity below 1 W/m/K in rough-etched 56 nm Ge and GaAs NW at room temperature.

  1. Planar silver nanowire, carbon nanotube and PEDOT:PSS nanocomposite transparent electrodes

    Science.gov (United States)

    Stapleton, Andrew J.; Yambem, Soniya D.; Johns, Ashley H.; Afre, Rakesh A.; Ellis, Amanda V.; Shapter, Joe G.; Andersson, Gunther G.; Quinton, Jamie S.; Burn, Paul L.; Meredith, Paul; Lewis, David A.

    2015-04-01

    Highly conductive, transparent and flexible planar electrodes were fabricated using interwoven silver nanowires and single-walled carbon nanotubes (AgNW:SWCNT) in a PEDOT:PSS matrix via an epoxy transfer method from a silicon template. The planar electrodes achieved a sheet resistance of 6.6 ± 0.0 Ω/□ and an average transmission of 86% between 400 and 800 nm. A high figure of merit of 367 Ω-1 is reported for the electrodes, which is much higher than that measured for indium tin oxide and reported for other AgNW composites. The AgNW:SWCNT:PEDOT:PSS electrode was used to fabricate low temperature (annealing free) devices demonstrating their potential to function with a range of organic semiconducting polymer:fullerene bulk heterojunction blend systems.

  2. Specific and reversible immobilization of histidine-tagged proteins on functionalized silicon nanowires

    DEFF Research Database (Denmark)

    Liu, Yi-Chi; Rieben, Nathalie Ines; Iversen, Lars

    2010-01-01

    Silicon nanowire (Si NW)-based field effect transistors (FETs) have shown great potential as biosensors (bioFETs) for ultra-sensitive and label-free detection of biomolecular interactions. Their sensitivity depends not only on the device properties, but also on the function of the biological reco...

  3. Conducting properties of nearly depleted ZnO nanowire UV sensors fabricated by dielectrophoresis

    International Nuclear Information System (INIS)

    García Núñez, C; García Marín, A; Piqueras, J; Pau, J L; Nanterne, P; Kung, P

    2013-01-01

    ZnO nanowires (NWs) with different radii (r NW ) have been aligned between pre-patterned electrodes using dielectrophoresis (DEP) for the fabrication of high gain UV sensors. The DEP conditions (voltage amplitude and frequency) and electrode material, geometry and size were optimized to enhance the efficiency during the DEP process. To understand the alignment mechanism of the ZnO NWs, the dielectrophoretic force (F DEP ) was analyzed as a function of the DEP conditions and NW dimensions. These studies showed that the DEP alignment process tends to trap NWs with a smaller radius. The effects of NW size on device performance were analyzed by means of I–V measurements in darkness and under illumination (200 nm NW decreases due to the reduction of the conduction volume, until saturation is reached for r NW 8 A W −1 (measured at 5 V and λ NW , presenting a clear blue-shift for NWs with a lower radius (r NW 2 reduces the dynamic range of the photoresponse due to a strong increase of the dark current. (paper)

  4. Semiconductor nanowires for photovoltaic and photoelectrochemical energy conversion

    Energy Technology Data Exchange (ETDEWEB)

    Dasgupta, Neil; Yang, Peidong

    2013-01-23

    Semiconductor nanowires (NW) possess several beneficial properties for efficient conversion of solar energy into electricity and chemical energy. Due to their efficient absorption of light, short distances for minority carriers to travel, high surface-to-volume ratios, and the availability of scalable synthesis methods, they provide a pathway to address the low cost-to-power requirements for wide-scale adaptation of solar energy conversion technologies. Here we highlight recent progress in our group towards implementation of NW components as photovoltaic and photoelectrochemical energy conversion devices. An emphasis is placed on the unique properties of these one-dimensional (1D) structures, which enable the use of abundant, low-cost materials and improved energy conversion efficiency compared to bulk devices.

  5. Growth dynamics of SiGe nanowires by the Vapour Liquid Solid method and its impact on SiGe/Si axial heterojunction abruptness.

    Science.gov (United States)

    Pura, Jose Luis; Periwal, Priyanka; Baron, Thierry; Jimenez, Juan

    2018-06-05

    The Vapour Liquid Solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process the precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with Complementary Metal Oxide Semiconductor (CMOS) technology, this improves their versatility and the possibility of integration with the current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles in good agreement with experimental measurements. Finally, the in-depth study of the composition map provides a practical approach to reduce drastically the heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches that use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to reduce the heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors. © 2018 IOP Publishing Ltd.

  6. Electrochemical growth of nanowires in anodic alumina templates: the role of pore branching

    International Nuclear Information System (INIS)

    Noyan, Alexey A.; Leontiev, Alexey P.; Yakovlev, Maxim V.; Roslyakov, Ilya V.; Tsirlina, Galina A.; Napolskii, Kirill S.

    2017-01-01

    Highlights: • The model of metal growth inside the anodic alumina with branched pores is developed. • Model predicts the dependence of anodic alumina filling on deposition regime. • Branched pores affect the uniformity of anodic alumina filling with electrodeposits. • Branched pores make growth front of metal nanowires inside template multimodal. - Abstract: A comparative study of electrochemical growth of nanowires in the anodic alumina templates with various degree of porous structure ordering is performed. Scanning electron microscopy and coulometric analysis are used for experimental evaluation of the average filling of pores with metal. The theoretical model of metal growth inside anodic alumina templates is proposed. The model takes into account the presence of branched channels in the real structure of anodic alumina and operates with completeness of template filling achieved at the moment when metal reaches the external surface of the oxide film. In case of the diffusion-controlled regime the strong dependence of the pore filling factor on the thickness of porous film and the degree of its structure ordering is predicted theoretically and observed experimentally. The influence of the nature of limiting current on the homogeneity and completeness of template filling is discussed.

  7. Simple approach for the fabrication of PEDOT-coated Si nanowires

    Directory of Open Access Journals (Sweden)

    Mingxuan Zhu

    2015-03-01

    Full Text Available The synthesis of a conformal poly(3,4-ethylenedioxythiophene (PEDOT layer on Si nanowires was demonstrated using a pulsed electrodeposition technique. N-type Si nanowire (SiNWs arrays were synthesized using an electroless metal-assisted chemical etching technique. The dependence of the SiNW reflection on the concentration of the AgNO3 solution was identified. A reflection of less than 2% over the entire visible spectral range was obtained for these structures, evidencing their excellent antireflective properties. The etched SiNWs nanostructures can be further modified by using a tapering technique, which further preserves the strong light trapping effect. P-type PEDOT was grown on these SiNWs using electrochemical methods. Since the polymerization reaction is a very fast process with regards to monomer diffusion along the SiNW, the conformal deposition by classical, fixed potential deposition was not favored. Instead, the core–shell heterojunction structure was finally achieved by a pulsed deposition method. An extremely large shunt resistance was exhibited and determined to be related to the diffusion conditions occurring during polymerization.

  8. A Water-Based Silver-Nanowire Screen-Print Ink for the Fabrication of Stretchable Conductors and Wearable Thin-Film Transistors.

    Science.gov (United States)

    Liang, Jiajie; Tong, Kwing; Pei, Qibing

    2016-07-01

    A water-based silver-nanowire (AgNW) ink is formulated for screen printing. Screen-printed AgNW patterns have uniform sharp edges, ≈50 μm resolution, and electrical conductivity as high as 4.67 × 10(4) S cm(-1) . The screen-printed AgNW patterns are used to fabricate a stretchable composite conductor, and a fully printed and intrinsically stretchable thin-film transistor array is also realized. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Simulation of Nanowires on Metal Vicinal Surfaces: Effect of Growth Parameters and Energetic Barriers

    Science.gov (United States)

    Hamouda, Ajmi B. H.; Blel, Sonia; Einstein, T. L.

    2012-02-01

    Growing one-dimensional metal structures is an important task in the investigation of the electronic and magnetic properties of new devices. We used kinetic Monte-Carlo (kMC) method to simulate the formation of nanowires of several metallic and non-metallic adatoms on Cu and Pt vicinal surfaces. We found that mono-atomic chains form on step-edges due to energetic barriers (the so-called Ehrlich-shwoebel and exchange barriers) on step-edge. Creation of perfect wires is found to depend on growth parameters and binding energies. We measure the filling ratio of nanowires for different chemical species in a wide range of temperature and flux. Perfect wires were obtained at lower deposition rate for all tested adatoms, however we notice different temperature ranges. Our results were compared with experimental ones [Gambardella et al., Surf. Sci.449, 93-103 (2000), PRB 61, 2254-2262, (2000)]. We review the role of impurities in nanostructuring of surfaces [Hamouda et al., Phys. Rev. B 83, 035423, (2011)] and discuss the effect of their energetic barriers on the obtained quality of nanowires. Our work provides experimentalists with optimum growth parameters for the creation of a uniform distribution of wires on surfaces.

  10. Synthesis, structure and photoelectrochemical properties of single crystalline silicon nanowire arrays

    International Nuclear Information System (INIS)

    Dalchiele, E.A.; Martin, F.; Leinen, D.; Marotti, R.E.; Ramos-Barrado, J.R.

    2010-01-01

    In the present work, n-type silicon nanowire (n-SiNW) arrays have been synthesized by self-assembly electroless metal deposition (EMD) nanoelectrochemistry. The synthesized n-SiNW arrays have been submitted to scanning electron microscopy (SEM), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM), X-ray photoelectron spectroscopy (XPS), and optical studies. Initial probes of the solar device conversion properties and the photovoltaic parameters such as short-circuit current, open-circuit potential, and fill factor of the n-SiNW arrays have been explored using a liquid-junction in a photoelectrochemical (PEC) system under white light. Moreover, a direct comparison between the PEC performance of a polished n-Si(100) and the synthesized n-SiNW array photoelectrodes has been done. The PEC performance was significantly enhanced on the n-SiNWs photoelectrodes compared with that on polished n-Si(100).

  11. Synthesis of p-type GaN nanowires.

    Science.gov (United States)

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  12. Current path in light emitting diodes based on nanowire ensembles

    International Nuclear Information System (INIS)

    Limbach, F; Hauswald, C; Lähnemann, J; Wölz, M; Brandt, O; Trampert, A; Hanke, M; Jahn, U; Calarco, R; Geelhaar, L; Riechert, H

    2012-01-01

    Light emitting diodes (LEDs) have been fabricated using ensembles of free-standing (In, Ga)N/GaN nanowires (NWs) grown on Si substrates in the self-induced growth mode by molecular beam epitaxy. Electron-beam-induced current analysis, cathodoluminescence as well as biased μ-photoluminescence spectroscopy, transmission electron microscopy, and electrical measurements indicate that the electroluminescence of such LEDs is governed by the differences in the individual current densities of the single-NW LEDs operated in parallel, i.e. by the inhomogeneity of the current path in the ensemble LED. In addition, the optoelectronic characterization leads to the conclusion that these NWs exhibit N-polarity and that the (In, Ga)N quantum well states in the NWs are subject to a non-vanishing quantum confined Stark effect. (paper)

  13. In-situ doped junctionless polysilicon nanowires field effect transistors for low-cost biosensors

    Directory of Open Access Journals (Sweden)

    Azeem Zulfiqar

    2017-04-01

    Full Text Available Silicon nanowire (SiNW field effect transistor based biosensors have already been proven to be a promising tool to detect biomolecules. However, the most commonly used fabrication techniques involve expensive Silicon-On-Insulator (SOI wafers, E-beam lithography and ion-implantation steps. In the work presented here, a top down approach to fabricate SiNW junctionless field effect biosensors using novel in-situ doped polysilicon is demonstrated. The p-type polysilicon is grown with an optimum boron concentration that gives a good metal-silicon electrical contact while maintaining the doping level at a low enough level to provide a good sensitivity for the biosensor. The silicon nanowires are patterned using standard photolithography and a wet etch method. The metal contacts are made from magnetron sputtered TiW and e-beam evaporation of gold. The passivation of electrodes has been done by sputtered Si3N4 which is patterned by a lift-off process. The characterization of the critical fabrication steps is done by Secondary Ion Mass Spectroscopy (SIMS and by statistical analysis of the measurements made on the width of the SiNWs. The electrical characterization of the SiNW in air is done by sweeping the back gate voltage while keeping the source drain potential to a constant value and surface characterization is done by applying liquid gate in phosphate buffered saline (PBS solution. The fabricated SiNWs sensors functionalized with (3-aminopropyltriethoxysilane (APTES have demonstrated good sensitivity in detecting different pH buffer solutions. Keywords: In-situ doped, Polysilicon nanowire, Field effect transistor, Biosensor

  14. Characterization and Growth Mechanism of Nickel Nanowires Resulting from Reduction of Nickel Formate in Polyol Medium

    Directory of Open Access Journals (Sweden)

    Olga A. Logutenko

    2016-01-01

    Full Text Available Nickel linear nanostructures were synthesized by reduction of nickel formate with hydrazine hydrate in ethylene glycol medium in the absence of any surfactants or capping agents for direction of the particles growth. The effect of the synthesis conditions such as temperature, reduction time, type of polyol, and nickel formate concentration on the reduction products was studied. The size and morphology of the nickel nanowires were characterized by X-ray diffraction, scanning, and transmission electron microscopy. It was shown that the nickel nanocrystallites were wire-shaped with a face-center-cubic phase. Ethylene glycol was found to play a crucial role in the formation of the nickel nanowires. The possible growth processes of the wire-shaped particles taking place at 110 and 130°C are discussed. It was shown that, under certain synthesis conditions, nickel nanowires grow on the surface of the crystals of the solid intermediate of nickel with hydrazine hydrate.

  15. Deterministic Line-Shape Programming of Silicon Nanowires for Extremely Stretchable Springs and Electronics.

    Science.gov (United States)

    Xue, Zhaoguo; Sun, Mei; Dong, Taige; Tang, Zhiqiang; Zhao, Yaolong; Wang, Junzhuan; Wei, Xianlong; Yu, Linwei; Chen, Qing; Xu, Jun; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2017-12-13

    Line-shape engineering is a key strategy to endow extra stretchability to 1D silicon nanowires (SiNWs) grown with self-assembly processes. We here demonstrate a deterministic line-shape programming of in-plane SiNWs into extremely stretchable springs or arbitrary 2D patterns with the aid of indium droplets that absorb amorphous Si precursor thin film to produce ultralong c-Si NWs along programmed step edges. A reliable and faithful single run growth of c-SiNWs over turning tracks with different local curvatures has been established, while high resolution transmission electron microscopy analysis reveals a high quality monolike crystallinity in the line-shaped engineered SiNW springs. Excitingly, in situ scanning electron microscopy stretching and current-voltage characterizations also demonstrate a superelastic and robust electric transport carried by the SiNW springs even under large stretching of more than 200%. We suggest that this highly reliable line-shape programming approach holds a strong promise to extend the mature c-Si technology into the development of a new generation of high performance biofriendly and stretchable electronics.

  16. Comparing Hall Effect and Field Effect Measurements on the Same Single Nanowire.

    Science.gov (United States)

    Hultin, Olof; Otnes, Gaute; Borgström, Magnus T; Björk, Mikael; Samuelson, Lars; Storm, Kristian

    2016-01-13

    We compare and discuss the two most commonly used electrical characterization techniques for nanowires (NWs). In a novel single-NW device, we combine Hall effect and back-gated and top-gated field effect measurements and quantify the carrier concentrations in a series of sulfur-doped InP NWs. The carrier concentrations from Hall effect and field effect measurements are found to correlate well when using the analysis methods described in this work. This shows that NWs can be accurately characterized with available electrical methods, an important result toward better understanding of semiconductor NW doping.

  17. Growth of uranyl hydroxide nanowires and nanotubes with electrodeposition method

    International Nuclear Information System (INIS)

    Wang Lin; Yuan Liyong; Chai Zhifang; Shi Weiqun

    2013-01-01

    Actinides nanomaterials have great potential applications in fabrication of novel nuclear fuel and spent fuel reprocessing in advanced nuclear energy system. However, the relative research so far still lacks systematic investigation on the synthetic methods for actinides nanomaterials. In this work, we use track-etched membranes as hard templates to synthesize uranium based nanomaterials with novel structures by electrodeposition method. Through electrochemical behavior investigations and subsequent product characterizations such as energy dispersive spectrometer (EDS), fourier transform infrared spectroscopy (FTIR), the chemical composition of deposition products have been confirmed as the uranyl hydroxide. More importantly, accurate control of morphology and structures (nanowires and nanotubes) could be achieved by carefully adjusting the growth parameters such as deposition time and deposition current density. It was found that the preferred morphology of electrodeposition products is nanowire when a low current density was applied, whereas nanotubes could be formed only under conditions of high current density and the short deposition time. The mechanism for the formation of nanowires in track-etched membranes is based on the precipitation of uranyl hydroxide from uranyl nitrate solution, according to the previous researches about obtaining nanostructures of hydroxides from nitrate salt solutions. And we have concluded that the formation of nanotubes is attributed to the hydrogen bubbles generated by water electrolysis under the condition of over-potential electro-reduction. The conveying of hydrogen bubbles plays the role of dynamic template which can prevent the complete filling of uranyl hydroxide in the channels. Additionally, we transform the chemical composition of deposition products from uranyl hydroxide to triuranium octoxide by calcining them at 500 and 800 degree centigrade, respectively, and SEM results show the morphologies of nanowires and

  18. Large-Area Cross-Aligned Silver Nanowire Electrodes for Flexible, Transparent, and Force-Sensitive Mechanochromic Touch Screens.

    Science.gov (United States)

    Cho, Seungse; Kang, Saewon; Pandya, Ashish; Shanker, Ravi; Khan, Ziyauddin; Lee, Youngsu; Park, Jonghwa; Craig, Stephen L; Ko, Hyunhyub

    2017-04-25

    Silver nanowire (AgNW) networks are considered to be promising structures for use as flexible transparent electrodes for various optoelectronic devices. One important application of AgNW transparent electrodes is the flexible touch screens. However, the performances of flexible touch screens are still limited by the large surface roughness and low electrical to optical conductivity ratio of random network AgNW electrodes. In addition, although the perception of writing force on the touch screen enables a variety of different functions, the current technology still relies on the complicated capacitive force touch sensors. This paper demonstrates a simple and high-throughput bar-coating assembly technique for the fabrication of large-area (>20 × 20 cm 2 ), highly cross-aligned AgNW networks for transparent electrodes with the sheet resistance of 21.0 Ω sq -1 at 95.0% of optical transmittance, which compares favorably with that of random AgNW networks (sheet resistance of 21.0 Ω sq -1 at 90.4% of optical transmittance). As a proof of concept demonstration, we fabricate flexible, transparent, and force-sensitive touch screens using cross-aligned AgNW electrodes integrated with mechanochromic spiropyran-polydimethylsiloxane composite film. Our force-sensitive touch screens enable the precise monitoring of dynamic writings, tracing and drawing of underneath pictures, and perception of handwriting patterns with locally different writing forces. The suggested technique provides a robust and powerful platform for the controllable assembly of nanowires beyond the scale of conventional fabrication techniques, which can find diverse applications in multifunctional flexible electronic and optoelectronic devices.

  19. Nanoparticle-mediated nonclassical crystal growth of sodium fluorosilicate nanowires and nanoplates

    Directory of Open Access Journals (Sweden)

    Hongxia Li

    2011-12-01

    Full Text Available We observed nonclassical crystal growth of the sodium fluorosilicate nanowires, nanoplates, and hierarchical structures through self-assembly and aggregation of primary intermediate nanoparticles. Unlike traditional ion-by-ion crystallization, the primary nanoparticles formed first and their subsequent self-assembly, fusion, and crystallization generated various final crystals. These findings offer direct evidences for the aggregation-based crystallization mechanism.

  20. Influence of surface charge on the transport characteristics of nanowire-field effect transistors in liquid environments

    Energy Technology Data Exchange (ETDEWEB)

    Nozaki, Daijiro, E-mail: daijiro.nozaki@gmail.com, E-mail: research@nano.tu-dresden.de [Institute for Materials Science and Max Bergmann Center of Biomaterials, TU Dresden, 01062 Dresden (Germany); Kunstmann, Jens [Institute for Materials Science and Max Bergmann Center of Biomaterials, TU Dresden, 01062 Dresden (Germany); Theoretical Chemistry, Department of Chemistry and Food Chemistry, TU Dresden, 01062 Dresden (Germany); Zörgiebel, Felix [Institute for Materials Science and Max Bergmann Center of Biomaterials, TU Dresden, 01062 Dresden (Germany); Center for Advancing Electronics Dresden (cfAED), TU Dresden, 01062 Dresden (Germany); Cuniberti, Gianaurelio [Institute for Materials Science and Max Bergmann Center of Biomaterials, TU Dresden, 01062 Dresden (Germany); Center for Advancing Electronics Dresden (cfAED), TU Dresden, 01062 Dresden (Germany); Dresden Center for Computational Materials Science (DCCMS), TU Dresden, 01062 Dresden (Germany)

    2015-05-18

    One dimensional nanowire field effect transistors (NW-FETs) are a promising platform for sensor applications. The transport characteristics of NW-FETs are strongly modified in liquid environment due to the charging of surface functional groups accompanied with protonation or deprotonation. In order to investigate the influence of surface charges and ionic concentrations on the transport characteristics of Schottky-barrier NW-FETs, we have combined the modified Poisson-Boltzmann theory with the Landauer-Büttiker transport formalism. For a typical device, the model is able to capture the reduction of the sensitivity of NW-FETs in ionic solutions due to the screening from counter ions as well as a local gating from surface functional groups. Our approach allows to model, to investigate, and to optimize realistic Schottky-barrier NW-FET devices in liquid environment.

  1. Single-InN-Nanowire Nanogenerator with Upto 1 V Output Voltage

    KAUST Repository

    Huang, Chi-Te

    2010-07-30

    Piezoelectric potential of a InN nanowire (NW) growing along [011̄0] can be positive, negative, and zero depending on the direction of the applied transverse force. By measuring the output voltage of a InN-NW-based nanogenerator, about 40% to 55% of output voltages are within the range of ?1 and ?20 mV, and 25% to 30% of output voltages would exceed ?100 mV. Some output voltages could reach the magnitude of ?1000 mV, showing its great potential for fabricating high-output nanogenerators. © 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Growth and properties of self-catalyzed (In,Mn)As nanowires

    International Nuclear Information System (INIS)

    Bouravleuv, Alexei; Soshnikov, Ilya; Cirlin, George; Reznik, Rodion; Khrebtov, Artem; Samsonenko, Yuriy; Werner, Peter; Savin, Alexander; Lipsanen, Harri

    2016-01-01

    Mn-assisted molecular beam epitaxy is used for the growth of (In,Mn)As nanowires (NWs) on GaAs(111)B. The transmission electron microscopy measurements revealed that despite the relatively high growth temperature regime this technique can be used to obtain (In,Mn)As NWs with high crystalline quality without any crystal defects, such as dislocations, stacking faults or precipitates inside the investigated NWs or on their side-walls, although the growth processes of NWs were accompanied by the formation of MnAs precipitates between the NWs at the interface of the wetting layer. The results obtained are of importance for the realization of new spintronic nanostructured materials. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Emission and Dynamics of Charge Carriers in Uncoated and Organic/Metal Coated Semiconductor Nanowires

    Science.gov (United States)

    Kaveh Baghbadorani, Masoud

    In this dissertation, the dynamics of excitons in hybrid metal/organic/nanowire structures possessing nanometer thick deposited molecular and metal films on top of InP and GaAs nanowire (NW) surfaces were investigated. Optical characterizations were carried out as a function of the semiconductor NW material, design, NW size and the type and thickness of the organic material and metal used. Hybrid organic and plasmonic semiconductor nanowire heterostructures were fabricated using organic molecular beam deposition technique. I investigated the photon emission of excitons in 150 nm diameter polytype wurtzite/zincblende InP NWs and the influence of a few ten nanometer thick organic and metal films on the emission using intensity- and temperature-dependent time-integrated and time resolved (TR) photoluminescence (PL). The plasmonic NWs were coated with an Aluminum quinoline (Alq3) interlayer and magnesium-silver (Mg0.9:Ag0.1) top layer. In addition, the nonlinear optical technique of heterodyne four-wave mixing was used (in collaboration with Prof. Wolfgang Langbein, University of Cardiff) to study incoherent and coherent carrier relaxation processes on bare nanowires on a 100 femtosecond time-scale. Alq3 covered NWs reveal a stronger emission and a longer decay time of exciton transitions indicating surface state passivation at the Alq3/NW interface. Alq3/Mg:Ag NWs reveal a strong quenching of the exciton emission which is predominantly attributed to Forster energy-transfer from excitons to plasmon oscillations in the metal cluster film. Changing the Mg:Ag to gold and the organic Alq3 spacer layer to PTCDA leads to a similar behavior, but the PL quenching is strongly increased. The observed behavior is attributed to a more continuous gold deposition leading to an increased Forster energy transfer and to a metal induced band-bending. I also investigated ensembles of bare and gold/Alq3 coated GaAs-AlGaAs-GaAs core shell NWs of 130 nm diameter. Plasmonic NWs with Au

  4. Structural and tunneling properties of Si nanowires

    KAUST Repository

    Montes Muñoz, Enrique

    2013-12-06

    We investigate the electronic structure and electron transport properties of Si nanowires attached to Au electrodes from first principles using density functional theory and the nonequilibrium Green\\'s function method. We systematically study the dependence of the transport properties on the diameter of the nanowires, on the growth direction, and on the length. At the equilibrium Au-nanowire distance we find strong electronic coupling between the electrodes and nanowires, which results in a low contact resistance. With increasing nanowire length we study the transition from metallic to tunneling conductance for small applied bias. For the tunneling regime we investigate the decay of the conductance with the nanowire length and rationalize the results using the complex band structure of the pristine nanowires. The conductance is found to depend strongly on the growth direction, with nanowires grown along the ⟨110⟩ direction showing the smallest decay with length and the largest conductance and current.

  5. Structural and tunneling properties of Si nanowires

    KAUST Repository

    Montes Muñ oz, Enrique; Gkionis, Konstantinos; Rungger, Ivan; Sanvito, Stefano; Schwingenschlö gl, Udo

    2013-01-01

    We investigate the electronic structure and electron transport properties of Si nanowires attached to Au electrodes from first principles using density functional theory and the nonequilibrium Green's function method. We systematically study the dependence of the transport properties on the diameter of the nanowires, on the growth direction, and on the length. At the equilibrium Au-nanowire distance we find strong electronic coupling between the electrodes and nanowires, which results in a low contact resistance. With increasing nanowire length we study the transition from metallic to tunneling conductance for small applied bias. For the tunneling regime we investigate the decay of the conductance with the nanowire length and rationalize the results using the complex band structure of the pristine nanowires. The conductance is found to depend strongly on the growth direction, with nanowires grown along the ⟨110⟩ direction showing the smallest decay with length and the largest conductance and current.

  6. Effective mass approximation versus full atomistic model to calculate the output characteristics of a gate-all-around germanium nanowire field effect transistor (GAA-GeNW-FET)

    Science.gov (United States)

    Bayani, Amir Hossein; Voves, Jan; Dideban, Daryoosh

    2018-01-01

    Here, we compare the output characteristics of a gate-all-around germanium nanowire field effect transistor (GAA-GeNW-FET) with 2.36 nm2 square cross-section area using tight-binding (TB) sp3d5s∗ model (full atomistic model (FAM)) and effective mass approximation (EMA). Synopsys/QuantumWise Atomistix ToolKit (ATK) and Silvaco Atlas3D are used to consider the TB model and EMA, respectively. Results show that EMA predicted only one quantum state (QS) for quantum transport, whereas FAM predicted three QSs. A cosine function behavior is obtained by both methods for the first quantum state. The calculated bandgap value by EMA is almost twice smaller than that of the FAM. Also, a fluctuating current is predicted by both methods but in different oscillation values.

  7. Functionalization of nickel nanowires with a fluorophore aiming at new probes for multimodal bioanalysis.

    Science.gov (United States)

    Pinheiro, Paula C; Sousa, Célia T; Araújo, João P; Guiomar, António J; Trindade, Tito

    2013-11-15

    This work reports research on the development of bimodal magnetic and fluorescent 1D nanoprobes. First, ferromagnetic nickel nanowires (NiNW) have been prepared by Ni electrodeposition in an anodic aluminum oxide (AAO) template. The highly ordered self-assembled AAO nanoporous templates were fabricated using a two-step anodization method of aluminum foil. The surface of the NiNW were then modified with polyethyleneimine (PEI) which was previously labeled with an organic dye (fluorescein isothiocyanate: FITC) via covalent bonding. The ensuing functionalized NiNW exhibited the characteristic green fluorescence of FITC and could be magnetically separated from aqueous solutions by using a NdFeB magnet. Finally, the interest of these bimodal NiNW as nanoprobes for in vitro cell separation and biolabeling was preliminary assessed in a proof of principle experiment that involved the attachment of biofunctionalized NiNW to blood cells. Copyright © 2013 Elsevier Inc. All rights reserved.

  8. Detection of DNA of genetically modified maize by a silicon nanowire field-effect transistor

    International Nuclear Information System (INIS)

    Pham, Van Binh; Tung Pham, Xuan Thanh; Duong Dang, Ngoc Thuy; Tuyen Le, Thi Thanh; Tran, Phu Duy; Nguyen, Thanh Chien; Nguyen, Van Quoc; Dang, Mau Chien; Tong, Duy Hien; Van Rijn, Cees J M

    2011-01-01

    A silicon nanowire field-effect transistor based sensor (SiNW-FET) has been proved to be the most sensitive and powerful device for bio-detection applications. In this paper, SiNWs were first fabricated by using our recently developed deposition and etching under angle technique (DEA), then used to build up the complete SiNW device based biosensor. The fabricated SiNW biosensor was used to detect DNA of genetically modified maize. As the DNA of the genetically modified maize has particular DNA sequences of 35S promoter, we therefore designed 21 mer DNA oligonucleotides, which are used as a receptor to capture the transferred DNA of maize. In our work, the SiNW biosensor could detect DNA of genetically modified maize with concentrations down to about 200 pM

  9. Temperature and directional dependences of the infrared dielectric function of free standing silicon nanowire

    Energy Technology Data Exchange (ETDEWEB)

    Kazan, M.; Bruyant, A.; Sedaghat, Z.; Arnaud, L.; Blaize, S.; Royer, P. [Laboratoire de Nanotechnologie et d' Instrumentation Optique, Institut Charles Delaunay, Universite de Technologie de Troyes, CNRS FRE 2848, 12 Rue Marie Curie, 10010 Troyes, Cedex (France)

    2011-03-15

    An approach to calculate the infrared dielectric function of semiconductor nanostructures is presented and applied to silicon (Si) nanowires (NW's). The phonon modes symmetries and frequencies are calculated by means of the elastic continuum medium theory. The modes strengths and damping are calculated from a model for lattice dynamics and perturbation theory. The data are used in anisotropic Lorentz oscillator model to generate the temperature and directional dependences of the infrared dielectric function of free standing Si NW's. Our results showed that in the direction perpendicular to the NW axis, the complex dielectric function is identical to that of bulk Si. However, along the NW axis, the infrared dielectric function is a strong function of the wavelength. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Large-scale fabrication of vertically aligned ZnO nanowire arrays

    Science.gov (United States)

    Wang, Zhong L; Das, Suman; Xu, Sheng; Yuan, Dajun; Guo, Rui; Wei, Yaguang; Wu, Wenzhuo

    2013-02-05

    In a method for growing a nanowire array, a photoresist layer is placed onto a nanowire growth layer configured for growing nanowires therefrom. The photoresist layer is exposed to a coherent light interference pattern that includes periodically alternately spaced dark bands and light bands along a first orientation. The photoresist layer exposed to the coherent light interference pattern along a second orientation, transverse to the first orientation. The photoresist layer developed so as to remove photoresist from areas corresponding to areas of intersection of the dark bands of the interference pattern along the first orientation and the dark bands of the interference pattern along the second orientation, thereby leaving an ordered array of holes passing through the photoresist layer. The photoresist layer and the nanowire growth layer are placed into a nanowire growth environment, thereby growing nanowires from the nanowire growth layer through the array of holes.

  11. X-ray investigation of CdSe nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Kurtulus, Oezguel [Physics Division, Dogus University, Istanbul (Turkey); Li, Zhen [Australian Institute for Bioengineering and Nanotechnology, University of Queensland, Brisbane (Australia); Mews, Alf [Physical Chemistry, University of Hamburg, Hamburg (Germany); Pietsch, Ullrich [Department of Physics, University of Siegen, Siegen (Germany)

    2009-08-15

    CdSe nanowires (NWs) have been prepared by a solution-liquid-solid (SLS) approach using Bi nanocatalysts. Structural characterization has been performed by X-ray powder diffraction providing an admixture of wurtzite and zinc-blende (ZB) structure units separated by different types of stacking faults. The relative contributions of ZB type stacking units within the NWs were determined to be in the order of 3-6% from a set of ratios of reflection intensities appearing in only wurtzite structure to those appearing in both ZB and wurtzite (W) structure. In addition, the anisotropy of domain size within the NWs was evaluated from the evolution of peak broadening for increasing scattering length. The coherence lengths along the growth direction are found to be changing between 16 and 21 nm, smaller than the results obtained from TEM measurement, while the NW diameters are determined to be between 5 and 8 nm which is in good agreement with TEM inspection. (Abstract Copyright [2009], Wiley Periodicals, Inc.)

  12. Development of Au-Ag nanowire mesh fabrication by UV-induced approach

    Energy Technology Data Exchange (ETDEWEB)

    Saggar, Siddhartha [Laboratory for Molecular Photonics and Electronics, Department of Physics, National Institute of Technology, Calicut, Kerala, India and School of Nanoscience and Technology, National Institute of Technology, Calicut, Kerala (India); Predeep, Padmanabhan, E-mail: predeep@nitc.ac.in

    2014-10-15

    In an attempt to overcome the limitations of the presently prevailing transparent conducting electrode (TCE) - indium tin oxide (ITO) - many materials have been considered for replacing ITO. Recently, a novel method has been reported for the synthesis of Au-Ag nanowire (NW) mesh, and tested successfully for organic-light-emitting-diodes (OLEDs). It employs UV-induced reduction of gold- and silver- precursors to form Au-Ag NW mesh. In this report, Au-Ag NW mesh thin films are synthesized on glass substrates with an objective for use as facing-electrode for Organic Photovoltaics. Various issues and factors affecting the fabrication-process have been improved, and are also discussed here. The electrode showed good transmitivity, of around 95% (excluding that of glass substrate). The advantage of the technique is its simple processing method and cost-effectiveness.

  13. Evaluation of metal–nanowire electrical contacts by measuring contact end resistance

    International Nuclear Information System (INIS)

    Park, Hongsik; Beresford, Roderic; Xu, Jimmy; Ha, Ryong; Choi, Heon-Jin; Shin, Hyunjung

    2012-01-01

    It is known, but often unappreciated, that the performance of nanowire (NW)-based electrical devices can be significantly affected by electrical contacts between electrodes and NWs, sometimes to the extent that it is really the contacts that determine the performance. To correctly understand and design NW device operation, it is thus important to carefully measure the contact resistance and evaluate the contact parameters, specific contact resistance and transfer length. A four-terminal pattern or a transmission line model (TLM) pattern has been widely used to measure contact resistance of NW devices and the TLM has been typically used to extract contact parameters of NW devices. However, the conventional method assumes that the electrical properties of semiconducting NW regions covered by a metal are not changed after electrode formation. In this study, we report that the conventional methods for contact evaluation can give rise to considerable errors because of an altered property of the NW under the electrodes. We demonstrate that more correct contact resistance can be measured from the TLM pattern rather than the four-terminal pattern and correct contact parameters including the effects of changed NW properties under electrodes can be evaluated by using the contact end resistance measurement method. (paper)

  14. Controlling Growth High Uniformity Indium Selenide (In2Se3) Nanowires via the Rapid Thermal Annealing Process at Low Temperature.

    Science.gov (United States)

    Hsu, Ya-Chu; Hung, Yu-Chen; Wang, Chiu-Yen

    2017-09-15

    High uniformity Au-catalyzed indium selenide (In 2 Se 3) nanowires are grown with the rapid thermal annealing (RTA) treatment via the vapor-liquid-solid (VLS) mechanism. The diameters of Au-catalyzed In 2 Se 3 nanowires could be controlled with varied thicknesses of Au films, and the uniformity of nanowires is improved via a fast pre-annealing rate, 100 °C/s. Comparing with the slower heating rate, 0.1 °C/s, the average diameters and distributions (standard deviation, SD) of In 2 Se 3 nanowires with and without the RTA process are 97.14 ± 22.95 nm (23.63%) and 119.06 ± 48.75 nm (40.95%), respectively. The in situ annealing TEM is used to study the effect of heating rate on the formation of Au nanoparticles from the as-deposited Au film. The results demonstrate that the average diameters and distributions of Au nanoparticles with and without the RTA process are 19.84 ± 5.96 nm (30.00%) and about 22.06 ± 9.00 nm (40.80%), respectively. It proves that the diameter size, distribution, and uniformity of Au-catalyzed In 2 Se 3 nanowires are reduced and improved via the RTA pre-treated. The systemic study could help to control the size distribution of other nanomaterials through tuning the annealing rate, temperatures of precursor, and growth substrate to control the size distribution of other nanomaterials. Graphical Abstract Rapid thermal annealing (RTA) process proved that it can uniform the size distribution of Au nanoparticles, and then it can be used to grow the high uniformity Au-catalyzed In 2 Se 3 nanowires via the vapor-liquid-solid (VLS) mechanism. Comparing with the general growth condition, the heating rate is slow, 0.1 °C/s, and the growth temperature is a relatively high growth temperature, > 650 °C. RTA pre-treated growth substrate can form smaller and uniform Au nanoparticles to react with the In 2 Se 3 vapor and produce the high uniformity In 2 Se 3 nanowires. The in situ annealing TEM is used to realize the effect of heating

  15. Axial Ge/Si nanowire heterostructure tunnel FETs.

    Energy Technology Data Exchange (ETDEWEB)

    Dayeh, Shadi A. (Los Alamos National Laboratory); Gin, Aaron V.; Huang, Jian Yu; Picraux, Samuel Thomas (Los Alamos National Laboratory)

    2010-03-01

    Axial Ge/Si heterostructure nanowires (NWs) allow energy band-edge engineering along the axis of the NW, which is the charge transport direction, and the realization of asymmetric devices for novel device architectures. This work reports on two significant advances in the area of heterostructure NWs and tunnel FETs: (i) the realization of 100% compositionally modulated Si/Ge axial heterostructure NWs with lengths suitable for device fabrication and (ii) the design and implementation of Schottky barrier tunnel FETs on these NWs for high-on currents and suppressed ambipolar behavior. Initial prototype devices with 10 nm PECVD SiN{sub x} gate dielectric resulted in a very high current drive in excess of 100 {micro}A/{micro}m (I/{pi}D) and 10{sup 5} I{sub on}/I{sub off} ratios. Prior work on the synthesis of Ge/Si axial NW heterostructures through the VLS mechanism have resulted in axial Si/Si{sub 1-x}Ge{sub x} NW heterostructures with x{sub max} {approx} 0.3, and more recently 100% composition modulation was achieved with a solid growth catalyst. In this latter case, the thickness of the heterostructure cannot exceed few atomic layers due to the slow axial growth rate and concurrent radial deposition on the NW sidewalls leading to a mixture of axial and radial deposition, which imposes a big challenge for fabricating useful devices form these NWs in the near future. Here, we report the VLS growth of 100% doping and composition modulated axial Ge/Si heterostructure NWs with lengths appropriate for device fabrication by devising a growth procedure that eliminates Au diffusion on the NW sidewalls and minimizes random kinking in the heterostructure NWs as deduced from detailed microscopy analysis. Fig. 1 a shows a cross-sectional SEM image of epitaxial Ge/Si axial NW heterostructures grown on a Ge(111) surface. The interface abruptness in these Ge/Si heterostructure NWs is of the order of the NW diameter. Some of these NWs develop a crystallographic kink that is {approx

  16. Structure and field emission of graphene layers on top of silicon nanowire arrays

    International Nuclear Information System (INIS)

    Huang, Bohr-Ran; Chan, Hui-Wen; Jou, Shyankay; Chen, Guan-Yu; Kuo, Hsiu-An; Song, Wan-Jhen

    2016-01-01

    Graphical abstract: - Highlights: • We prepared graphene on top of silicon nanowires by transfer-print technique. • Graphene changed from discrete flakes to a continuous by repeated transfer-print. • The triple-layer graphene had high electron field emission due to large edge ratio. - Abstract: Monolayer graphene was grown on copper foils and then transferred on planar silicon substrates and on top of silicon nanowire (SiNW) arrays to form single- to quadruple-layer graphene films. The morphology, structure, and electron field emission (FE) of these graphene films were investigated. The graphene films on the planar silicon substrates were continuous. The single- to triple-layer graphene films on the SiNW arrays were discontinuous and while the quadruple-layer graphene film featured a mostly continuous area. The Raman spectra of the graphene films on the SiNW arrays showed G and G′ bands with a singular-Lorentzian shape together with a weak D band. The D band intensity decreased as the number of graphene layers increased. The FE efficiency of the graphene films on the planar silicon substrates and the SiNW arrays varied with the number of graphene layers. The turn-on field for the single- to quadruple-layer graphene films on planar silicon substrates were 4.3, 3.7, 3.5 and 3.4 V/μm, respectively. The turn-on field for the single- to quadruple-layer graphene films on SiNW arrays decreased to 3.9, 3.3, 3.0 and 3.3 V/μm, respectively. Correlation of the FE with structure and morphology of the graphene films is discussed.

  17. Structure and field emission of graphene layers on top of silicon nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Bohr-Ran; Chan, Hui-Wen [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Jou, Shyankay, E-mail: sjou@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Chen, Guan-Yu [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Kuo, Hsiu-An; Song, Wan-Jhen [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China)

    2016-01-30

    Graphical abstract: - Highlights: • We prepared graphene on top of silicon nanowires by transfer-print technique. • Graphene changed from discrete flakes to a continuous by repeated transfer-print. • The triple-layer graphene had high electron field emission due to large edge ratio. - Abstract: Monolayer graphene was grown on copper foils and then transferred on planar silicon substrates and on top of silicon nanowire (SiNW) arrays to form single- to quadruple-layer graphene films. The morphology, structure, and electron field emission (FE) of these graphene films were investigated. The graphene films on the planar silicon substrates were continuous. The single- to triple-layer graphene films on the SiNW arrays were discontinuous and while the quadruple-layer graphene film featured a mostly continuous area. The Raman spectra of the graphene films on the SiNW arrays showed G and G′ bands with a singular-Lorentzian shape together with a weak D band. The D band intensity decreased as the number of graphene layers increased. The FE efficiency of the graphene films on the planar silicon substrates and the SiNW arrays varied with the number of graphene layers. The turn-on field for the single- to quadruple-layer graphene films on planar silicon substrates were 4.3, 3.7, 3.5 and 3.4 V/μm, respectively. The turn-on field for the single- to quadruple-layer graphene films on SiNW arrays decreased to 3.9, 3.3, 3.0 and 3.3 V/μm, respectively. Correlation of the FE with structure and morphology of the graphene films is discussed.

  18. Single ZnO nanowire-PZT optothermal field effect transistors.

    Science.gov (United States)

    Hsieh, Chun-Yi; Lu, Meng-Lin; Chen, Ju-Ying; Chen, Yung-Ting; Chen, Yang-Fang; Shih, Wan Y; Shih, Wei-Heng

    2012-09-07

    A new type of pyroelectric field effect transistor based on a composite consisting of single zinc oxide nanowire and lead zirconate titanate (ZnO NW-PZT) has been developed. Under infrared (IR) laser illumination, the transconductance of the ZnO NW can be modulated by optothermal gating. The drain current can be increased or decreased by IR illumination depending on the polarization orientation of the Pb(Zr(0.3)Ti(0.7))O(3) (PZT) substrate. Furthermore, by combining the photocurrent behavior in the UV range and the optothermal gating effect in the IR range, the wide spectrum of response of current by light offers a variety of opportunities for nanoscale optoelectronic devices.

  19. Reliable and cost effective design of intermetallic Ni2Si nanowires and direct characterization of its mechanical properties.

    Science.gov (United States)

    Han, Seung Zeon; Kang, Joonhee; Kim, Sung-Dae; Choi, Si-Young; Kim, Hyung Giun; Lee, Jehyun; Kim, Kwangho; Lim, Sung Hwan; Han, Byungchan

    2015-10-12

    We report that a single crystal Ni2Si nanowire (NW) of intermetallic compound can be reliably designed using simple three-step processes: casting a ternary Cu-Ni-Si alloy, nucleate and growth of Ni2Si NWs as embedded in the alloy matrix via designing discontinuous precipitation (DP) of Ni2Si nanoparticles and thermal aging, and finally chemical etching to decouple the Ni2Si NWs from the alloy matrix. By direct application of uniaxial tensile tests to the Ni2Si NW we characterize its mechanical properties, which were rarely reported in previous literatures. Using integrated studies of first principles density functional theory (DFT) calculations, high-resolution transmission electron microscopy (HRTEM), and energy-dispersive X-ray spectroscopy (EDX) we accurately validate the experimental measurements. Our results indicate that our simple three-step method enables to design brittle Ni2Si NW with high tensile strength of 3.0 GPa and elastic modulus of 60.6 GPa. We propose that the systematic methodology pursued in this paper significantly contributes to opening innovative processes to design various kinds of low dimensional nanomaterials leading to advancement of frontiers in nanotechnology and related industry sectors.

  20. Bamboo-like 3C-SiC nanowires with periodical fluctuating diameter: Homogeneous synthesis, synergistic growth mechanism, and their luminescence properties

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Meng; Zhao, Jian [School of Electromechanical Engineering, Qingdao University of Science and Technology, Qingdao, Shandong Province 266061 (China); Li, Zhenjiang, E-mail: zhenjiangli@qust.edu.cn [School of Sino-German Science and Technology, Qingdao University of Science and Technology, Qingdao 266061, China (China); Yu, Hongyuan [School of Electromechanical Engineering, Qingdao University of Science and Technology, Qingdao, Shandong Province 266061 (China); Wang, Yaqi [School of Chemistry and Molecular Engineering, Qingdao University of Science and Technology, Qingdao, Shandong Province 266042 (China); Meng, Alan, E-mail: alanmengqust@163.com [School of Chemistry and Molecular Engineering, Qingdao University of Science and Technology, Qingdao, Shandong Province 266042 (China); Li, Qingdang [School of Sino-German Science and Technology, Qingdao University of Science and Technology, Qingdao 266061, China (China)

    2016-11-15

    Herein, bamboo-like 3C-SiC nanowires have been successfully fabricated on homogeneous 6H-SiC substrate by a simple chemical vapor reaction (CVR) approach. The obtained 3C-SiC nanostructure with periodical fluctuating diameter, is composed of two alternating structure units, the typical normal-sized stem segment with perfect crystallinity and obvious projecting nodes segment having high-density stacking faults. The formation of the interesting morphology is significantly subjected to the peculiar growth condition provided by the homogeneous substrate as well as the varying growth elastic energy. Furthermore, the photoluminescence (PL) performance measured on the bamboo-like SiC nanowire shows an intensive emission peaks centered at 451 nm and 467 nm, which has been expected to make a positive progress toward the optical application of the SiC-based one-dimensional (1D) nanostructures, such as light emission diode (LED). - Graphical abstract: Based on the synergistic growth mechanism from homogeneous substrate and elastic energy, bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. The blue-violet light emission properties of the bamboo-like nanowires have also been investigated for exploring their peculiar optical application. - Highlights: • Bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. • A synergistic growth mechanism from homogeneous substrate and elastic energy has been proposed firstly. • The blue-violet light emission properties of the products displayed peculiar optical application.

  1. Bamboo-like 3C-SiC nanowires with periodical fluctuating diameter: Homogeneous synthesis, synergistic growth mechanism, and their luminescence properties

    International Nuclear Information System (INIS)

    Zhang, Meng; Zhao, Jian; Li, Zhenjiang; Yu, Hongyuan; Wang, Yaqi; Meng, Alan; Li, Qingdang

    2016-01-01

    Herein, bamboo-like 3C-SiC nanowires have been successfully fabricated on homogeneous 6H-SiC substrate by a simple chemical vapor reaction (CVR) approach. The obtained 3C-SiC nanostructure with periodical fluctuating diameter, is composed of two alternating structure units, the typical normal-sized stem segment with perfect crystallinity and obvious projecting nodes segment having high-density stacking faults. The formation of the interesting morphology is significantly subjected to the peculiar growth condition provided by the homogeneous substrate as well as the varying growth elastic energy. Furthermore, the photoluminescence (PL) performance measured on the bamboo-like SiC nanowire shows an intensive emission peaks centered at 451 nm and 467 nm, which has been expected to make a positive progress toward the optical application of the SiC-based one-dimensional (1D) nanostructures, such as light emission diode (LED). - Graphical abstract: Based on the synergistic growth mechanism from homogeneous substrate and elastic energy, bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. The blue-violet light emission properties of the bamboo-like nanowires have also been investigated for exploring their peculiar optical application. - Highlights: • Bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. • A synergistic growth mechanism from homogeneous substrate and elastic energy has been proposed firstly. • The blue-violet light emission properties of the products displayed peculiar optical application.

  2. Optical Properties of Electrophoretically Manipulated ZnO Nanowire Suspensions and Their High Application Potential in Smart Window Devices

    OpenAIRE

    Šutka, A; Timusk, M; Saal, K; Kisand, V

    2015-01-01

    Optical properties of zinc oxide nanowire (NW) dilute suspensions in polydimethylsiloxane (PDMS) were investigated. Optical transmittance was found to decrease at the transition from chaotically oriented state to electrophoretically ordered state with the alignment of the NW along the direction of incident light. Previously reported observations of the behavior of dispersions containing oblong particles indicate that the transition of the orientation of particles from chaotic to ordered state...

  3. Ultrafast Carbon Dioxide Sorption Kinetics Using Lithium Silicate Nanowires.

    Science.gov (United States)

    Nambo, Apolo; He, Juan; Nguyen, Tu Quang; Atla, Veerendra; Druffel, Thad; Sunkara, Mahendra

    2017-06-14

    In this paper, the Li 4 SiO 4 nanowires (NWs) were shown to be promising for CO 2 capture with ultrafast kinetics. Specifically, the nanowire powders exhibited an uptake of 0.35 g g -1 of CO 2 at an ultrafast adsorption rate of 0.22 g g -1 min -1 at 650-700 °C. Lithium silicate (Li 4 SiO 4 ) nanowires and nanopowders were synthesized using a "solvo-plasma" technique involving plasma oxidation of silicon precursors mixed with lithium hydroxide. The kinetic parameter values (k) extracted from sorption kinetics obtained using NW powders are 1 order of magnitude higher than those previously reported for the Li 4 SiO 4 -CO 2 reaction system. The time scales for CO 2 sorption using nanowires are approximately 3 min and two orders magnitude faster compared to those obtained using lithium silicate powders with spherical morphologies and aggregates. Furthermore, Li 4 SiO 4 nanowire powders showed reversibility through sorption-desorption cycles indicating their suitability for CO 2 capture applications. All of the morphologies of Li 4 SiO 4 powders exhibited a double exponential behavior in the adsorption kinetics indicating two distinct time constants for kinetic and the mass transfer limited regimes.

  4. Porous Silicon Nanowires

    Science.gov (United States)

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  5. Plasma nitriding induced growth of Pt-nanowire arrays as high performance electrocatalysts for fuel cells

    Science.gov (United States)

    Du, Shangfeng; Lin, Kaijie; Malladi, Sairam K.; Lu, Yaxiang; Sun, Shuhui; Xu, Qiang; Steinberger-Wilckens, Robert; Dong, Hanshan

    2014-09-01

    In this work, we demonstrate an innovative approach, combing a novel active screen plasma (ASP) technique with green chemical synthesis, for a direct fabrication of uniform Pt nanowire arrays on large-area supports. The ASP treatment enables in-situ N-doping and surface modification to the support surface, significantly promoting the uniform growth of tiny Pt nuclei which directs the growth of ultrathin single-crystal Pt nanowire (2.5-3 nm in diameter) arrays, forming a three-dimensional (3D) nano-architecture. Pt nanowire arrays in-situ grown on the large-area gas diffusion layer (GDL) (5 cm2) can be directly used as the catalyst electrode in fuel cells. The unique design brings in an extremely thin electrocatalyst layer, facilitating the charge transfer and mass transfer properties, leading to over two times higher power density than the conventional Pt nanoparticle catalyst electrode in real fuel cell environment. Due to the similar challenges faced with other nanostructures and the high availability of ASP for other material surfaces, this work will provide valuable insights and guidance towards the development of other new nano-architectures for various practical applications.

  6. Microstructural effects on the magnetic and magneto-transport properties of electrodeposited Ni nanowire arrays

    International Nuclear Information System (INIS)

    Chen, Shu-Fang; Wei, Hao Han; Liu, Chuan-Pu; Hsu, C Y; Huang, J C A

    2010-01-01

    The magnetic and magneto-transport properties of Ni nanowire (NW) arrays, fabricated by electrodeposition in anodic-aluminum-oxide (AAO) templates, have been investigated. The AAO pores have diameters ranging from 35 to 75 nm, and the crystallinity of the Ni NW arrays could change from poly-crystalline to single-crystalline with the [111] and [110] orientations based on the electrodeposition potential. Notably, double switching magnetization loops and double-peaked magnetoresistance curves were observed in [110]-oriented NWs. The crystalline orientation of the Ni NW arrays is found to influence the corresponding magnetic and magneto-transport properties significantly. These magnetic behaviors are dominated by the competition between the magneto-crystalline and shape anisotropy.

  7. In situ doping of catalyst-free InAs nanowires with Si: Growth, polytypism, and local vibrational modes of Si

    Energy Technology Data Exchange (ETDEWEB)

    Dimakis, Emmanouil; Ramsteiner, Manfred; Huang, Chang-Ning; Trampert, Achim; Riechert, Henning; Geelhaar, Lutz [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Davydok, Anton; Biermanns, Andreas; Pietsch, Ullrich [Festkörperphysik, Universität Siegen, Walter-Flex-Str. 3, 57072 Siegen (Germany)

    2013-09-30

    Growth and structural aspects of the in situ doping of InAs nanowires with Si have been investigated. The nanowires were grown catalyst-free on Si(111) substrates by molecular beam epitaxy. The supply of Si influenced the growth kinetics, affecting the nanowire dimensions, but not the degree of structural polytypism, which was always pronounced. As determined by Raman spectroscopy, Si was incorporated as substitutional impurity exclusively on In sites, which makes it a donor. Previously unknown Si-related Raman peaks at 355 and 360 cm{sup −1} were identified, based on their symmetry properties in polarization-dependent measurements, as the two local vibrational modes of an isolated Si impurity on In site along and perpendicular, respectively, to the c-axis of the wurtzite InAs crystal.

  8. Low-temperature growth of highly crystalline β-Ga2O3 nanowires by solid-source chemical vapor deposition.

    Science.gov (United States)

    Han, Ning; Wang, Fengyun; Yang, Zaixing; Yip, SenPo; Dong, Guofa; Lin, Hao; Fang, Ming; Hung, TakFu; Ho, Johnny C

    2014-01-01

    Growing Ga2O3 dielectric materials at a moderately low temperature is important for the further development of high-mobility III-V semiconductor-based nanoelectronics. Here, β-Ga2O3 nanowires are successfully synthesized at a relatively low temperature of 610°C by solid-source chemical vapor deposition employing GaAs powders as the source material, which is in a distinct contrast to the typical synthesis temperature of above 1,000°C as reported by other methods. In this work, the prepared β-Ga2O3 nanowires are mainly composed of Ga and O elements with an atomic ratio of approximately 2:3. Importantly, they are highly crystalline in the monoclinic structure with varied growth orientations in low-index planes. The bandgap of the β-Ga2O3 nanowires is determined to be 251 nm (approximately 4.94 eV), in good accordance with the literature. Also, electrical characterization reveals that the individual nanowire has a resistivity of up to 8.5 × 10(7) Ω cm, when fabricated in the configuration of parallel arrays, further indicating the promise of growing these highly insulating Ga2O3 materials in this III-V nanowire-compatible growth condition. 77.55.D; 61.46.Km; 78.40.Fy.

  9. Electrochemically grown rough-textured nanowires

    International Nuclear Information System (INIS)

    Tyagi, Pawan; Postetter, David; Saragnese, Daniel; Papadakis, Stergios J.; Gracias, David H.

    2010-01-01

    Nanowires with a rough surface texture show unusual electronic, optical, and chemical properties; however, there are only a few existing methods for producing these nanowires. Here, we describe two methods for growing both free standing and lithographically patterned gold (Au) nanowires with a rough surface texture. The first strategy is based on the deposition of nanowires from a silver (Ag)-Au plating solution mixture that precipitates an Ag-Au cyanide complex during electrodeposition at low current densities. This complex disperses in the plating solution, thereby altering the nanowire growth to yield a rough surface texture. These nanowires are mass produced in alumina membranes. The second strategy produces long and rough Au nanowires on lithographically patternable nickel edge templates with corrugations formed by partial etching. These rough nanowires can be easily arrayed and integrated with microscale devices.

  10. The measurement of electrostatic potentials in core/shell GaN nanowires using off-axis electron holography

    DEFF Research Database (Denmark)

    Yazdi, Sadegh; Kasama, Takeshi; Ciechonski, R

    2013-01-01

    Core-shell GaN nanowires are expected to be building blocks of future light emitting devices. Here we apply off-axis electron holography to map the electrostatic potential distributions in such nanowires. To access the cross-section of selected individual nanowires, focused ion beam (FIB) milling...... is used. Furthermore, to assess the influence of FIB damage, the dopant potential measured from an intact NW is compared with a FIB prepared one. It is shown that in addition to the built-in potential between the p-type shell and unintentionally n-type under-layer there is a potential barrier between...... the core and under-layer which are both unintentionally n-type doped....

  11. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    Science.gov (United States)

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  12. Catalytic growth of carbon nanowires on composite diamond/silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sellam, Amine [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Miska, Patrice [Université de Lorraine, Institut Jean Lamour, Département P2M (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Ghanbaja, Jaafar [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Barrat, Silvère, E-mail: Silvere.Barrat@ijl.nancy-universite.fr [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France)

    2014-01-01

    Polycrystalline diamond (PCD) films and carbon nanowires (CNWs) provide individually highly attractive properties for science and technology applications. The possibility of carbon composite materials made from a combination of these materials remains a potential approach widely discussed in literature but modestly investigated. We report in this work an early attempt to explore this opportunity in the light of some specific experimental considerations. Carbon nanowires (CNWs) are grown at low temperature without the conventional use of external hydrocarbon vapor source on silicon substrates partially covered by a thin film of coalesced micrometric CVD diamond. Composite substrates constituted by PCD on silicon were first cleaned with H{sub 2} plasma then used for the PVD deposition of 5 nm Ni thin films. Then, samples were heat treated in a CVD reactor at 580 °C in the presence of pure H{sub 2} pressure of 60 hPa at different annealing times. Comparative effect of annealing time on the dewetting of Ni thin films and the subsequent CNWs growth process was considered in this work using systematic observations by SEM. Possible mechanisms underlying CNWs growth in pure H{sub 2} gas were proposed. The nature and structure of these CNWs have been investigated by TEM microscopy and by Raman spectroscopy on the sample showing the highest CNWs density.

  13. Approaching the Hole Mobility Limit of GaSb Nanowires.

    Science.gov (United States)

    Yang, Zai-xing; Yip, SenPo; Li, Dapan; Han, Ning; Dong, Guofa; Liang, Xiaoguang; Shu, Lei; Hung, Tak Fu; Mo, Xiaoliang; Ho, Johnny C

    2015-09-22

    In recent years, high-mobility GaSb nanowires have received tremendous attention for high-performance p-type transistors; however, due to the difficulty in achieving thin and uniform nanowires (NWs), there is limited report until now addressing their diameter-dependent properties and their hole mobility limit in this important one-dimensional material system, where all these are essential information for the deployment of GaSb NWs in various applications. Here, by employing the newly developed surfactant-assisted chemical vapor deposition, high-quality and uniform GaSb NWs with controllable diameters, spanning from 16 to 70 nm, are successfully prepared, enabling the direct assessment of their growth orientation and hole mobility as a function of diameter while elucidating the role of sulfur surfactant and the interplay between surface and interface energies of NWs on their electrical properties. The sulfur passivation is found to efficiently stabilize the high-energy NW sidewalls of (111) and (311) in order to yield the thin NWs (i.e., 40 nm in diameters) would grow along the most energy-favorable close-packed planes with the orientation of ⟨111⟩, supported by the approximate atomic models. Importantly, through the reliable control of sulfur passivation, growth orientation and surface roughness, GaSb NWs with the peak hole mobility of ∼400 cm(2)V s(-1) for the diameter of 48 nm, approaching the theoretical limit under the hole concentration of ∼2.2 × 10(18) cm(-3), can be achieved for the first time. All these indicate their promising potency for utilizations in different technological domains.

  14. Controlling charges distribution at the surface of a single GaN nanowire by in-situ strain

    Directory of Open Access Journals (Sweden)

    Xiao Chen

    2017-08-01

    Full Text Available Effect of the strain on the charge distribution at the surface of a GaN semiconductor nanowire (NW has been investigated inside transmission electron microscope (TEM by in-situ off-axis electron holography. The outer and inner surfaces of the NW bent axially under compression of two Au electrodes were differently strained, resulting in difference of their Fermi levels. Consequently, the free electrons flow from the high Fermi level to the low level until the two Fermi levels aligned in a line. The potential distributions induced by charge redistribution in the two vacuum sides of the bent NW were examined respectively, and the opposite nature of the bounded charges on the outer and inner surfaces of the bent NW was identified. The results provide experimental evidence that the charge distribution at the surfaces of a single GaN NW can be controlled by different strains created along the NW.

  15. Template assisted solid state electrochemical growth of silver micro- and nanowires

    International Nuclear Information System (INIS)

    Peppler, Klaus; Janek, Juergen

    2007-01-01

    We report on a template based solid state electrochemical method for fabricating silver nanowires with predefined diameter, depending only on the pore diameter of the template. As templates we used porous silicon with pore diameters in the μm range and porous alumina with pore diameters in the nm range. The template pores were filled with silver sulfide (a mixed silver cation and electronic conductor) by direct chemical reaction of silver and sulfur. The filled template was then placed between a silver foil as anode (bottom side) and a microelectrode (top side) as cathode. An array of small cylindrical transference cells with diameters in the range of either micro- or nanometers was thus obtained. By applying a cathodic voltage to the microelectrode silver in the form of either micro- or nanowires was deposited at about 150 deg. C. The growth rate is controllable by the electric current

  16. Easily fabricated and lightweight PPy/PDA/AgNW composites for excellent electromagnetic interference shielding.

    Science.gov (United States)

    Wang, Yan; Gu, Fu-Qiang; Ni, Li-Juan; Liang, Kun; Marcus, Kyle; Liu, Shu-Li; Yang, Fan; Chen, Jin-Ju; Feng, Zhe-Sheng

    2017-11-30

    Conductive polymer composites (CPCs) containing nanoscale conductive fillers have been widely studied for their potential use in various applications. In this paper, polypyrrole (PPy)/polydopamine (PDA)/silver nanowire (AgNW) composites with high electromagnetic interference (EMI) shielding performance, good adhesion ability and light weight are successfully fabricated via a simple in situ polymerization method followed by a mixture process. Benefiting from the intrinsic adhesion properties of PDA, the adhesion ability and mechanical properties of the PPy/PDA/AgNW composites are significantly improved. The incorporation of AgNWs endows the functionalized PPy with tunable electrical conductivity and enhanced EMI shielding effectiveness (SE). By adjusting the AgNW loading degree in the PPy/PDA/AgNW composites from 0 to 50 wt%, the electrical conductivity of the composites greatly increases from 0.01 to 1206.72 S cm -1 , and the EMI SE of the composites changes from 6.5 to 48.4 dB accordingly (8.0-12.0 GHz, X-band). Moreover, due to the extremely low density of PPy, the PPy/PDA/AgNW (20 wt%) composites show a superior light weight of 0.28 g cm -3 . In general, it can be concluded that the PPy/PDA/AgNW composites with tunable electrical conductivity, good adhesion properties and light weight can be used as excellent EMI shielding materials.

  17. Functionalised Silver Nanowire Structures

    International Nuclear Information System (INIS)

    Andrew, Piers; Ilie, Adelina

    2007-01-01

    Crystalline silver nanowires 60-100 nm in diameter and tens of micrometres in length have been fabricated using a low temperature, solution synthesis technique. We explore the potential of this method to produce functional nanowire structures using two different strategies to attach active molecules to the nanowires: adsorption and displacement. Initially, as-produced silver nanowires capped with a uniaxial-growth-inducing polymer layer were functionalised by solution adsorption of a semiconducting conjugated polymer to generate fluorescent nanowire structures. The influence of nanowire surface chemistry was investigated by displacing the capping polymer with an alkanethiol self-assembled monolayer, followed by solution adsorption functionalisation. The success of molecular attachment was monitored by electron microscopy, absorption and fluorescence spectroscopy and confocal fluorescence microscopy. We examined how the optical properties of such adsorbed molecules are affected by the metallic nanowires, and observed transfer of excitation energy between dye molecules mediated by surface plasmons propagating on the nanowires. Non-contact dynamic force microscopy measurements were used to map the work-function of individual wires, revealing inhomogeneity of the polymer surface coverage

  18. Effects of mechanical strain on optical properties of ZnO nanowire

    Science.gov (United States)

    Vazinishayan, Ali; Lambada, Dasaradha Rao; Yang, Shuming; Zhang, Guofeng; Cheng, Biyao; Woldu, Yonas Tesfaye; Shafique, Shareen; Wang, Yiming; Anastase, Ndahimana

    2018-02-01

    The main objective of this study is to investigate the influences of mechanical strain on optical properties of ZnO nanowire (NW) before and after embedding ZnS nanowire into the ZnO nanowire, respectively. For this work, commercial finite element modeling (FEM) software package ABAQUS and three-dimensional (3D) finite-difference time-domain (FDTD) methods were utilized to analyze the nonlinear mechanical behavior and optical properties of the sample, respectively. Likewise, in this structure a single focused Gaussian beam with wavelength of 633 nm was used as source. The dimensions of ZnO nanowire were defined to be 12280 nm in length and 103.2 nm in diameter with hexagonal cross-section. In order to investigate mechanical properties, three-point bending technique was adopted so that both ends of the model were clamped with mid-span under loading condition and then the physical deformation model was imported into FDTD solutions to study optical properties of ZnO nanowire under mechanical strain. Moreover, it was found that increase in the strain due to the external load induced changes in reflectance, transmittance and absorptance, respectively.

  19. Effects of mechanical strain on optical properties of ZnO nanowire

    Directory of Open Access Journals (Sweden)

    Ali Vazinishayan

    2018-02-01

    Full Text Available The main objective of this study is to investigate the influences of mechanical strain on optical properties of ZnO nanowire (NW before and after embedding ZnS nanowire into the ZnO nanowire, respectively. For this work, commercial finite element modeling (FEM software package ABAQUS and three-dimensional (3D finite-difference time-domain (FDTD methods were utilized to analyze the nonlinear mechanical behavior and optical properties of the sample, respectively. Likewise, in this structure a single focused Gaussian beam with wavelength of 633 nm was used as source. The dimensions of ZnO nanowire were defined to be 12280 nm in length and 103.2 nm in diameter with hexagonal cross-section. In order to investigate mechanical properties, three-point bending technique was adopted so that both ends of the model were clamped with mid-span under loading condition and then the physical deformation model was imported into FDTD solutions to study optical properties of ZnO nanowire under mechanical strain. Moreover, it was found that increase in the strain due to the external load induced changes in reflectance, transmittance and absorptance, respectively.

  20. Highly polarized light emission by isotropic quantum dots integrated with magnetically aligned segmented nanowires

    International Nuclear Information System (INIS)

    Uran, Can; Erdem, Talha; Guzelturk, Burak; Perkgöz, Nihan Kosku; Jun, Shinae; Jang, Eunjoo; Demir, Hilmi Volkan

    2014-01-01

    In this work, we demonstrate a proof-of-concept system for generating highly polarized light from colloidal quantum dots (QDs) coupled with magnetically aligned segmented Au/Ni/Au nanowires (NWs). Optical characterizations reveal that the optimized QD-NW coupled structures emit highly polarized light with an s-to p-polarization (s/p) contrast as high as 15:1 corresponding to a degree of polarization of 0.88. These experimental results are supported by the finite-difference time-domain simulations, which demonstrate the interplay between the inter-NW distance and the degree of polarization.

  1. Quantification of nanowire uptake by live cells

    KAUST Repository

    Margineanu, Michael B.

    2015-05-01

    Nanostructures fabricated by different methods have become increasingly important for various applications at the cellular level. In order to understand how these nanostructures “behave” and for studying their internalization kinetics, several attempts have been made at tagging and investigating their interaction with living cells. In this study, magnetic iron nanowires with an iron oxide layer are coated with (3-Aminopropyl)triethoxysilane (APTES), and subsequently labeled with a fluorogenic pH-dependent dye pHrodo™ Red, covalently bound to the aminosilane surface. Time-lapse live imaging of human colon carcinoma HCT 116 cells interacting with the labeled iron nanowires is performed for 24 hours. As the pHrodo™ Red conjugated nanowires are non-fluorescent outside the cells but fluoresce brightly inside, internalized nanowires are distinguished from non-internalized ones and their behavior inside the cells can be tracked for the respective time length. A machine learning-based computational framework dedicated to automatic analysis of live cell imaging data, Cell Cognition, is adapted and used to classify cells with internalized and non-internalized nanowires and subsequently determine the uptake percentage by cells at different time points. An uptake of 85 % by HCT 116 cells is observed after 24 hours incubation at NW-to-cell ratios of 200. While the approach of using pHrodo™ Red for internalization studies is not novel in the literature, this study reports for the first time the utilization of a machine-learning based time-resolved automatic analysis pipeline for quantification of nanowire uptake by cells. This pipeline has also been used for comparison studies with nickel nanowires coated with APTES and labeled with pHrodo™ Red, and another cell line derived from the cervix carcinoma, HeLa. It has thus the potential to be used for studying the interaction of different types of nanostructures with potentially any live cell types.

  2. Stability of Organic Nanowires

    DEFF Research Database (Denmark)

    Balzer, F.; Schiek, M.; Wallmann, I.

    2011-01-01

    The morphological stability of organic nanowires over time and under thermal load is of major importance for their use in any device. In this study the growth and stability of organic nanowires from a naphthyl end-capped thiophene grown by organic molecular beam deposition is investigated via ato...

  3. Radiation Effects in III-V Nanowire Devices

    Science.gov (United States)

    2016-09-01

    fabrication of an in-plane nanowire (NW) GaAs metal-oxide-semiconductor field- effect transistor (MOSFET) by focused -ion beam (FIB) etching and chemical...8725 John J. Kingman Road, MS 6201 Fort Belvoir, VA 22060-6201 T E C H N IC A L R E P O R T DTRA-TR-16-94 Radiation Effects in III-V...5f. WORK UNIT NUMBER 7. PERFORMING ORGANIZATION NAME(S) AND ADDRESS(ES) 8. PERFORMING ORGANIZATION REPORT NUMBER 9. SPONSORING / MONITORING AGENCY

  4. Non-classical logic inverter coupling a ZnO nanowire-based Schottky barrier transistor and adjacent Schottky diode.

    Science.gov (United States)

    Hosseini Shokouh, Seyed Hossein; Raza, Syed Raza Ali; Lee, Hee Sung; Im, Seongil

    2014-08-21

    On a single ZnO nanowire (NW), we fabricated an inverter-type device comprising a Schottky diode (SD) and field-effect transistor (FET), aiming at 1-dimensional (1D) electronic circuits with low power consumption. The SD and adjacent FET worked respectively as the load and driver, so that voltage signals could be easily extracted as the output. In addition, NW FET with a transparent conducting oxide as top gate turned out to be very photosensitive, although ZnO NW SD was blind to visible light. Based on this, we could achieve an array of photo-inverter cells on one NW. Our non-classical inverter is regarded as quite practical for both logic and photo-sensing due to its performance as well as simple device configuration.

  5. Semiconducting silicon nanowires for biomedical applications

    CERN Document Server

    Coffer, JL

    2014-01-01

    Biomedical applications have benefited greatly from the increasing interest and research into semiconducting silicon nanowires. Semiconducting Silicon Nanowires for Biomedical Applications reviews the fabrication, properties, and applications of this emerging material. The book begins by reviewing the basics, as well as the growth, characterization, biocompatibility, and surface modification, of semiconducting silicon nanowires. It goes on to focus on silicon nanowires for tissue engineering and delivery applications, including cellular binding and internalization, orthopedic tissue scaffol

  6. Improved seedless hydrothermal synthesis of dense and ultralong ZnO nanowires

    International Nuclear Information System (INIS)

    Tian Jinghua; Hu Jie; Li Sisi; Zhang Fan; Liu Jun; Shi Jian; Li Xin; Chen Yong; Tian Zhongqun

    2011-01-01

    Seedless hydrothermal synthesis has been improved by introducing an adequate content of ammonia into the nutrient solution, allowing the fabrication of dense and ultralong ZnO nanowire arrays over large areas on a substrate. The presence of ammonia in the nutrient solution facilitates the high density nucleation of ZnO on the substrate which is critical for the nanowire growth. In order to achieve an optimal growth, the growth conditions have been studied systematically as a function of ammonia content, growth temperature and incubation time. The effect of polyethyleneimine (PEI) has also been studied but shown to be of no benefit to the nucleation of ZnO. Ultradense and ultralong ZnO nanowires could be obtained under optimal growth conditions, showing no fused structure at the foot of the nanowire arrays. Due to different reaction kinetics, four growth regimes could be attributed, including the first fast growth, equilibrium phase, second fast growth and final erosion. Combining this simple method with optical lithography, ZnO nanowires could be grown selectively on patterned areas. In addition, the as-grown ZnO nanowires could be used for the fabrication of a piezoelectric nanogenerator. Compared to the device of ZnO nanowires made by other methods, a more than twice voltage output has been obtained, thereby proving an improved performance of our growth method.

  7. Long Silver Nanowires Synthesis by Pulsed Electrodeposition

    Directory of Open Access Journals (Sweden)

    M.R. Batevandi

    2015-09-01

    Full Text Available Silver nanowires were pulse electrodeposited into nanopore anodic alumina oxide templates. The effects of continuous and pulse electrodeposition waveform on the microstructure properties of the nanowire arrays were studied. It is seen that the microstructure of nanowire is depend to pulse condition. The off time duration of pulse waveform enables to control the growth direction of Ag nanowires.

  8. Resonance of curved nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Calabri, L [CNR-INFM-National Research Center on nanoStructures and bioSystems at Surfaces (S3), Via Campi 213/a, 41100 Modena (Italy); Pugno, N [Department of Structural Engineering and Geotechnics, Politecnico di Torino, Turin (Italy); Ding, W [Department of Mechanical Engineering, Northwestern University, Evanston, IL 60208-3111 (United States); Ruoff, R S [Department of Mechanical Engineering, Northwestern University, Evanston, IL 60208-3111 (United States)

    2006-08-23

    The effects of non-ideal experimental configuration on the mechanical resonance of boron (B) nanowires (NWs) were studied to obtain the corrected value for the Young's modulus. The following effects have been theoretically considered: (i) the presence of intrinsic curvature (ii) non-ideal clamps (iii) spurious masses (iv) coating layer, and (v) large displacements. An energy-based analytical analysis was developed to treat such effects and their interactions. Here, we focus on treating the effect of the intrinsic curvature on the mechanical resonance. The analytical approach has been confirmed by numerical FEM analysis. A parallax method was used to obtain the three-dimensional geometry of the NW.

  9. A p-silicon nanowire/n-ZnO thin film heterojunction diode prepared by thermal evaporation

    International Nuclear Information System (INIS)

    Hazra, Purnima; Jit, S.

    2014-01-01

    This paper represents the electrical and optical characteristics of a SiNW/ZnO heterojunction diode and subsequent studies on the photodetection properties of the diode in the ultraviolet (UV) wavelength region. In this work, silicon nanowire arrays were prepared on p-type (100)-oriented Si substrate by an electroless metal deposition and etching method with the help of ultrasonication. After that, catalyst-free deposition of zinc oxide (ZnO) nanowires on a silicon nanowire (SiNW) array substrate was done by utilizing a simple and cost-effective thermal evaporation technique without using a buffer layer. The SEM and XRD techniques are used to show the quality of the as-grown ZnO nanowire film. The junction properties of the diode are evaluated by measuring current—voltage and capacitance—voltage characteristics. The diode has a well-defined rectifying behavior with a rectification ratio of 190 at ±2 V, turn-on voltage of 0.5 V, and barrier height is 0.727 eV at room temperature under dark conditions. The photodetection parameters of the diode are investigated in the bias voltage range of ±2 V. The diode shows responsivity of 0.8 A/W at a bias voltage of 2 V under UV illumination (wavelength = 365 nm). The characteristics of the device indicate that it can be used for UV detection applications in nano-optoelectronic and photonic devices. (semiconductor devices)

  10. Synthesis and characterization of gold nanotube/nanowire-polyurethane composite based on castor oil and polyethylene glycol.

    Science.gov (United States)

    Ganji, Yasaman; Kasra, Mehran; Salahshour Kordestani, Soheila; Bagheri Hariri, Mohiedin

    2014-09-01

    Gold nanotubes/nanowires (GNT/NW) were synthesized by using the template-assisted electrodeposition technique and mixed with castor oil-polyethylene glycol based polyurethane (PU) to fabricate porous composite scaffolds for biomedical application. 100 and 50 ppm of GNT/NW were used to synthesize composites. The composite scaffolds were characterized by Fourier transform infrared spectroscopy, dynamic mechanical thermal analysis, differential scanning calorimetry, and scanning electron microscopy. Cell attachment on polyurethane-GNT/NW composites was investigated using fat-derived mesenchymal stem cells. Addition of 50 or 100 ppm GNT/NW had significant effects on thermal, mechanical, and cell attachment of polyurethane. Higher crosslink density and better cell attachment and proliferation were observed in polyurethane containing 50 ppm GNT/NW. The results revealed that GNT/NW formed hydrogen bonding with the polyurethane matrix and improved the thermomechanical properties of nanocomposites. Compared with pure PU, better cellular attachment on polyurethane-GNT/NW composites was observed resulting from the improved surface properties of composites. Copyright © 2014 Elsevier B.V. All rights reserved.

  11. Self organized growth of organic thiophene-phenylene nanowires on silicate surfaces

    DEFF Research Database (Denmark)

    Balzer, F.; Schiek, Manuela; Lützen, Arne

    2009-01-01

    changes in the choice of the substrate lead to distinctly different growth behavior. On muscovite, a commensurate wetting layer of lying molecules is initially formed with subsequent formation of clusters, which assemble into mutually parallel nanowires. The wires grow along a 110 muscovite direction......Results of a systematic study of the growth of blue-green light-emitting 2,5-di-4-biphenyl-thiophene (PPTPP) molecules on the (001) faces of the sheet silicates muscovite and phlogopite mica are reported. This includes morphology, crystallography, and optical properties. It is shown that small....... In comparison to similar organic molecules, it is found that overall PPTPP has a growth behavior more similar to that of the p-phenylenes as compared to that of the α-thiophenes....

  12. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  13. Enhanced Optoelectronic Performance of a Passivated Nanowire-Based Device: Key Information from Real-Space Imaging Using 4D Electron Microscopy

    KAUST Repository

    Khan, Jafar Iqbal; Adhikari, Aniruddha; Sun, Jingya; Priante, Davide; Bose, Riya; Shaheen, Basamat; Ng, Tien Khee; Zhao, Chao; Bakr, Osman; Ooi, Boon S.; Mohammed, Omar F.

    2016-01-01

    Managing trap states and understanding their role in ultrafast charge-carrier dynamics, particularly at surface and interfaces, remains a major bottleneck preventing further advancements and commercial exploitation of nanowire (NW)-based devices. A

  14. Functionalization of silicon nanowires by conductive and non-conductive polymers

    Science.gov (United States)

    Belhousse, S.; Tighilt, F.-Z.; Sam, S.; Lasmi, K.; Hamdani, K.; Tahanout, L.; Megherbi, F.; Gabouze, N.

    2017-11-01

    The work reports on the development of hybrid devices based on silicon nanowires (SiNW) with polymers and the difference obtained when using conductive and non-conductive polymers. SiNW have attracted much attention due to their importance in understanding the fundamental properties at low dimensionality as well as their potential application in nanoscale devices as in field effect transistors, chemical or biological sensors, battery electrodes and photovoltaics. SiNW arrays were formed using metal assisted chemical etching method. This process is simple, fast and allows obtaining a wide range of silicon nanostructures. Hydrogen-passivated SiNW surfaces show relatively poor stability. Surface modification with organic species confers the desired stability and enhances the surface properties. For this reason, this work proposes a covalent grafting of organic material onto SiNW surface. We have chosen a non-conductive polymer polyvinylpyrrolidone (PVP) and conductive polymers polythiophene (PTh) and polypyrrole (PPy), in order to evaluate the electric effect of the polymers on the obtained materials. The hybrid structures were elaborated by the polymerization of the corresponding conjugated monomers by electrochemical route; this electropolymerization offers several advantages such as simplicity and rapidity. SiNW functionalization by conductive polymers has shown to have a huge effect on the electrical mobility. Hybrid surface morphologies were characterized by scanning electron microscopy (SEM), infrared spectroscopy (FTIR-ATR) and contact angle measurements.

  15. In situ measurement of the kinetic friction of ZnO nanowires inside a scanning electron microscope

    Energy Technology Data Exchange (ETDEWEB)

    Polyakov, Boris, E-mail: boriss.polakovs@ut.ee [Institute of Physics, University of Tartu, Riia st. 142, Tartu (Estonia); Institute of Solid State Physics, University of Latvia, Kengaraga st. 8, Riga (Latvia); Dorogin, Leonid M; Lohmus, Ants [Institute of Physics, University of Tartu, Riia st. 142, Tartu (Estonia); Romanov, Alexey E [Institute of Physics, University of Tartu, Riia st. 142, Tartu (Estonia); Ioffe Physical Technical Institute, RAS, Politehnicheskaja st. 26, St. Petersburg (Russian Federation); Lohmus, Rynno [Institute of Physics, University of Tartu, Riia st. 142, Tartu (Estonia)

    2012-01-15

    A novel method for measuring the kinetic friction force in situ was developed for zinc oxide nanowires on highly oriented pyrolytic graphite and oxidised silicon wafers. The experiments were performed inside a scanning electron microscope and used a nanomanipulation device as an actuator, which also had an atomic force microscope tip attached to it as a probe. A simple model based on the Timoshenko elastic beam theory was applied to interpret the elastic deformation of a sliding nanowire (NW) and to determine the distributed kinetic friction force.

  16. Electrically robust silver nanowire patterns transferrable onto various substrates

    Science.gov (United States)

    Liu, Gui-Shi; Liu, Chuan; Chen, Hui-Jiuan; Cao, Wu; Qiu, Jing-Shen; Shieh, Han-Ping D.; Yang, Bo-Ru

    2016-03-01

    We report a facile technique for patterning and transferring silver nanowires (AgNWs) onto various substrates. By employing only UV/O3 and vapor treatment of hexamethyldisilazane (HMDS), we are able to accurately manipulate the surface energy via alternating the terminal groups of a polydimethylsiloxane (PDMS) substrate, so as to assist selective formation and exfoliation of AgNW films. A simple UV/O3 treatment on PDMS enables uniform, well-defined, and highly conductive patterns of AgNWs after spin-coating. A vapor treatment of HMDS lowers the surface energy of the oxidized PDMS so that the patterned AgNWs embedded in an epoxy resin (EPR) are cleanly transferred from the PDMS to the target substrate. It is found that the AgNW-EPR composite on polyethylene glycol terephthalate (PET) exhibits remarkable durability under the bending test, tape test, ultrasonic treatment in water, and immersion of chemical solvents. In addition, we demonstrate that the AgNW-EPR composite work well as conductive patterns on the oxidized PDMS, polyvinyl alcohol (PVA), paper, and curved glass. The facile technique extends the applicability of AgNWs in the field of electronics, and it is potentially applicable to other nanomaterials.We report a facile technique for patterning and transferring silver nanowires (AgNWs) onto various substrates. By employing only UV/O3 and vapor treatment of hexamethyldisilazane (HMDS), we are able to accurately manipulate the surface energy via alternating the terminal groups of a polydimethylsiloxane (PDMS) substrate, so as to assist selective formation and exfoliation of AgNW films. A simple UV/O3 treatment on PDMS enables uniform, well-defined, and highly conductive patterns of AgNWs after spin-coating. A vapor treatment of HMDS lowers the surface energy of the oxidized PDMS so that the patterned AgNWs embedded in an epoxy resin (EPR) are cleanly transferred from the PDMS to the target substrate. It is found that the AgNW-EPR composite on polyethylene

  17. Effective antireflection properties of porous silicon nanowires for photovoltaic applications

    KAUST Repository

    Najar, Adel

    2013-01-01

    Porous silicon nanowires (PSiNWs) have been prepared by metal-assisted chemical etching method on the n-Si substrate. The presence of nano-pores with pore size ranging between 10-50nm in SiNWs was confirmed by electron tomography (ET) in the transmission electron microscope (TEM). The PSiNWs give strong photoluminescence peak at red wavelength. Ultra-low reflectance of <5% span over wavelength 250 nm to 1050 nm has been measured. The finite-difference time-domain (FDTD) method has been employed to model the optical reflectance for both Si wafer and PSiNWs. Our calculation results are in agreement with the measured reflectance from nanowires length of 6 µm and 60% porosity. The low reflectance is attributed to the effective graded index of PSiNWs and enhancement of multiple optical scattering from the pores and nanowires. PSiNW structures with low surface reflectance can potentially serve as an antireflection layer for Si-based photovoltaic devices.

  18. Study of GaN nanowires converted from β-Ga2O3 and photoconduction in a single nanowire

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Sudheer; Chauhan, Neha; Sakthi Kumar, D.; Kumar, Vikram; Singh, R.

    2017-08-01

    The formation of GaN nanowires from β-Ga2O3 nanowires and photoconduction in a fabricated single GaN nanowire device has been studied. Wurtzite phase GaN were formed from monoclinic β-Ga2O3 nanowires with or without catalyst particles at their tips. The formation of faceted nanostructures from catalyst droplets presented on a nanowire tip has been discussed. The nucleation of GaN phases in β-Ga2O3 nanowires and their subsequent growth due to interfacial strain energy has been examined using a high resolution transmission electron microscope. The high quality of the converted GaN nanowire is confirmed by fabricating single nanowire photoconducting devices which showed ultra high responsivity under ultra-violet illumination.

  19. ZnO nanowires coated stainless steel meshes as hierarchical photocatalysts for catalytic photodegradation of four kinds of organic pollutants

    Energy Technology Data Exchange (ETDEWEB)

    Ko, Fu-Hsiang; Lo, Wei-Ju [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu, 30010, Taiwan (China); Chang, Yu-Cheng, E-mail: ychang0127@gmail.com [Department of Materials Science and Engineering, Feng Chia University, Taichung, 40724, Taiwan (China); Guo, Jin-You; Chen, Chien-Ming [Department of Materials Science and Engineering, Feng Chia University, Taichung, 40724, Taiwan (China)

    2016-09-05

    ZnO nanostructures were grown on the stainless steel mesh substrates using an aqueous chemical growth method. The different additives (such as 1,3-diaminopropane and polyethyleneimine) can be used to control the morphology of ZnO nanostructures. ZnO nanowires exhibit very prominent green emission and week UV emission from defect and band gap in the cathodoluminescence spectrum, respectively. The different morphology of ZnO nanostructures on the stainless steel mesh substrates can be used to irradiate UV light for the photocatalytic degradation of four kinds of organic pollutants, such as methylene blue, rhodamine 6G, methyl orange, and 4-nitrophenol. The ZnO nanowires can provide a higher surface-to-volume ratio and stronger defect emission, resulting in their highest photocatalytic performance in 10 W UV light irradiation. The ZnO nanowire arrays on the stainless steel mesh substrates provide a large-scale, facile, low-cost, high surface area, and high photocatalytic efficiency, which shall be of significant value for practical applications of the decomposition of environment pollutants and reusing of wastewater treatment. - Highlights: • ZnO NWs were grown on the stainless steel mesh by aqueous chemical growth method. • Longer ZnO NW arrays have been grown at short reaction time (2 h). • ZnO NWs revealed green emission from surface defect in the CL spectrum. • The different morphologies of ZnO were evaluated organic pollutant degradation. • ZnO NWs were also exhibited great photocatalytic activity and reusability.

  20. Understanding the true shape of Au-catalyzed GaAs nanowires.

    Science.gov (United States)

    Jiang, Nian; Wong-Leung, Jennifer; Joyce, Hannah J; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-10-08

    With increasing interest in nanowire-based devices, a thorough understanding of the nanowire shape is required to gain tight control of the quality of nanowire heterostructures and improve the performance of related devices. We present a systematic study of the sidewalls of Au-catalyzed GaAs nanowires by investigating the faceting process from the beginning with vapor-liquid-solid (VLS) nucleation, followed by the simultaneous radial growth on the sidewalls, and to the end with sidewall transformation during annealing. The VLS nucleation interface of our GaAs nanowires is revealed by examining cross sections of the nanowire, where the nanowire exhibits a Reuleaux triangular shape with three curved surfaces along {112}A. These curved surfaces are not thermodynamically stable and adopt {112}A facets during radial growth. We observe clear differences in radial growth rate between the ⟨112⟩A and ⟨112⟩B directions with {112}B facets forming due to the slower radial growth rate along ⟨112⟩B directions. These sidewalls transform to {110} facets after high temperature (>500 °C) annealing. A nucleation model is proposed to explain the origin of the Reuleaux triangular shape of the nanowires, and the sidewall evolution is explained by surface kinetic and thermodynamic limitations.

  1. n-Type Doping and Morphology of GaAs Nanowires in Aerotaxy

    Energy Technology Data Exchange (ETDEWEB)

    Metaferia, Wondwosen [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Sivakumar, Sudhakar [Lund University; Persson, Axel R. [Lund University; Geijselaers, Irene [Lund University; Wallenberg, L. Reine [Lund University; Deppert, Knut [Lund University; Samuelson, Lars [Lund University; Magnusson, Martin [Lund University

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10-3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 1019 cm-3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  2. Nanowire Photovoltaic Devices

    Science.gov (United States)

    Forbes, David

    2015-01-01

    Firefly Technologies, in collaboration with the Rochester Institute of Technology and the University of Wisconsin-Madison, developed synthesis methods for highly strained nanowires. Two synthesis routes resulted in successful nanowire epitaxy: direct nucleation and growth on the substrate and a novel selective-epitaxy route based on nanolithography using diblock copolymers. The indium-arsenide (InAs) nanowires are implemented in situ within the epitaxy environment-a significant innovation relative to conventional semiconductor nanowire generation using ex situ gold nanoparticles. The introduction of these nanoscale features may enable an intermediate band solar cell while simultaneously increasing the effective absorption volume that can otherwise limit short-circuit current generated by thin quantized layers. The use of nanowires for photovoltaics decouples the absorption process from the current extraction process by virtue of the high aspect ratio. While no functional solar cells resulted from this effort, considerable fundamental understanding of the nanowire epitaxy kinetics and nanopatterning process was developed. This approach could, in principle, be an enabling technology for heterointegration of dissimilar materials. The technology also is applicable to virtual substrates. Incorporating nanowires onto a recrystallized germanium/metal foil substrate would potentially solve the problem of grain boundary shunting of generated carriers by restricting the cross-sectional area of the nanowire (tens of nanometers in diameter) to sizes smaller than the recrystallized grains (0.5 to 1 micron(exp 2).

  3. Nanowire sensors and arrays for chemical/biomolecule detection

    Science.gov (United States)

    Yun, Minhee; Lee, Choonsup; Vasquez, Richard P.; Ramanathan, K.; Bangar, M. A.; Chen, W.; Mulchandan, A.; Myung, N. V.

    2005-01-01

    We report electrochemical growth of single nanowire based sensors using e-beam patterned electrolyte channels, potentially enabling the controlled fabrication of individually addressable high density arrays. The electrodeposition technique results in nanowires with controlled dimensions, positions, alignments, and chemical compositions. Using this technique, we have fabricated single palladium nanowires with diameters ranging between 75 nm and 300 nm and conducting polymer nanowires (polypyrrole and polyaniline) with diameters between 100 nm and 200 nm. Using these single nanowires, we have successfully demonstrated gas sensing with Pd nanowires and pH sensing with polypirrole nanowires.

  4. Two-beam laser fabrication technique and the application for fabricating conductive silver nanowire on flexible substrate

    Directory of Open Access Journals (Sweden)

    Gui-Cang He

    2017-03-01

    Full Text Available In this study, a two-beam laser fabrication technique is proposed to fabricate silver nanowire (AgNW on the polyethylene terephthalate (PET substrate. The femtosecond pulse laser in the technique plays a role in generating Ag nanoparticles from the silver aqueous solution by multiphoton photoreduction. The continuous wave (CW laser of the technique works as optical tweezers, and make the Ag nanoparticles gather to a continuous AgNW by the optical trapping force. The optical trapping force of the CW laser was calculated under our experimental condition. The flexibility and the resistance stability of the AgNW that fabricated by this technique are very excellent. Compared to the resistance of the AgNW without bending, the decreasing rate of the AgNW resistance is about 16% under compressed bending condition at the radius of 1 mm, and the increasing rate of the AgNW resistance is only 1.3% after the AgNW bended about 3500 times at the bending radius of 1 mm. The study indicates that the AgNW is promising for achieving flexible device and would promote the development of the flexible electronics.

  5. Kinetics of Si and Ge nanowires growth through electron beam evaporation

    Directory of Open Access Journals (Sweden)

    Artoni Pietro

    2011-01-01

    Full Text Available Abstract Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C, in this study, it is proved that Si and Ge nanowires (NWs growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  6. Kinetics of Si and Ge nanowires growth through electron beam evaporation.

    Science.gov (United States)

    Artoni, Pietro; Pecora, Emanuele Francesco; Irrera, Alessia; Priolo, Francesco

    2011-02-21

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  7. Critical Temperature for the Conversion from Wurtzite to Zincblende of the Optical Emission of InAs Nanowires

    KAUST Repository

    Rota, Michele B.; Ameruddin, Amira S.; Wong-Leung, Jennifer; Belabbes, Abderrezak; Gao, Qiang; Miriametro, Antonio; Mura, Francesco; Tan, Hark Hoe; Polimeni, Antonio; Bechstedt, Friedhelm; Jagadish, Chennupati; Capizzi, Mario

    2017-01-01

    One hour annealing at 300 degrees C changes the optical emission characteristics of InAs nanowires (NWs) from the wurtzite (WZ) phase into that of zincblende (ZB). These results are accounted for by the conversion of a small fraction of the NW WZ

  8. Predicting the growth of S i3N4 nanowires by phase-equilibrium-dominated vapor-liquid-solid mechanism

    Science.gov (United States)

    Zhang, Yongliang; Cai, Jing; Yang, Lijun; Wu, Qiang; Wang, Xizhang; Hu, Zheng

    2017-09-01

    Nanomaterial synthesis is experiencing a profound evolution from empirical science ("cook-and-look") to prediction and design, which depends on the deep insight into the growth mechanism. Herein, we report a generalized prediction of the growth of S i3N4 nanowires by nitriding F e28S i72 alloy particles across different phase regions based on our finding of the phase-equilibrium-dominated vapor-liquid-solid (PED-VLS) mechanism. All the predictions about the growth of S i3N4 nanowires, and the associated evolutions of lattice parameters and geometries of the coexisting Fe -Si alloy phases, are experimentally confirmed quantitatively. This progress corroborates the general validity of the PED-VLS mechanism, which could be applied to the design and controllable synthesis of various one-dimensional nanomaterials.

  9. Synthesis, optical properties and residual strain effect of GaN nanowires generated via metal-assisted photochemical electroless etching

    KAUST Repository

    Najar, Adel

    2017-04-18

    Herein, we report on the studies of GaN nanowires (GaN NWs) prepared via a metal-assisted photochemical electroless etching method with Pt as the catalyst. It has been found that etching time greatly influences the growth of GaN NWs. The density and the length of nanowires increased with longer etching time, and excellent substrate coverage was observed. The average nanowire width and length are around 35 nm and 10 μm, respectively. Transmission electron microscopy (TEM) shows a single-crystalline wurtzite structure and is confirmed by X-ray measurements. The synthesis mechanism of GaN NWs using the metal-assisted photochemical electroless etching method was presented. Photoluminescence (PL) measurements of GaN NWs show red-shift PL peaks compared to the as-grown sample associated with the relaxation of compressive stress. Furthermore, a shift of the E2 peak to the lower frequency in the Raman spectra for the samples etched for a longer time confirms such a stress relaxation. Based on Raman measurements, the compressive stress σxx and the residual strain εxx were evaluated to be 0.23 GPa and 2.6 × 10−4, respectively. GaN NW synthesis using a low cost method might be used for the fabrication of power optoelectronic devices and gas sensors.

  10. Tapering-induced enhancement of light extraction efficiency of nanowire deep ultraviolet LED by theoretical simulations

    KAUST Repository

    Lin, Ronghui

    2018-04-21

    A nanowire (NW) structure provides an alternative scheme for deep ultraviolet light emitting diodes (DUV-LEDs) that promises high material quality and better light extraction efficiency (LEE). In this report, we investigate the influence of the tapering angle of closely packed AlGaN NWs, which is found to exist naturally in molecular beam epitaxy (MBE) grown NW structures, on the LEE of NW DUV-LEDs. It is observed that, by having a small tapering angle, the vertical extraction is greatly enhanced for both transverse magnetic (TM) and transverse electric (TE) polarizations. Most notably, the vertical extraction of TM emission increased from 4.8% to 24.3%, which makes the LEE reasonably large to achieve high-performance DUV-LEDs. This is because the breaking of symmetry in the vertical direction changes the propagation of the light significantly to allow more coupling into radiation modes. Finally, we introduce errors to the NW positions to show the advantages of the tapered NW structures can be projected to random closely packed NW arrays. The results obtained in this paper can provide guidelines for designing efficient NW DUV-LEDs.

  11. Tapering-induced enhancement of light extraction efficiency of nanowire deep ultraviolet LED by theoretical simulations

    KAUST Repository

    Lin, Ronghui; Galan, Sergio Valdes; Sun, Haiding; Hu, Yangrui; Alias, Mohd Sharizal; Janjua, Bilal; Ng, Tien Khee; Ooi, Boon S.; Li, Xiaohang

    2018-01-01

    A nanowire (NW) structure provides an alternative scheme for deep ultraviolet light emitting diodes (DUV-LEDs) that promises high material quality and better light extraction efficiency (LEE). In this report, we investigate the influence of the tapering angle of closely packed AlGaN NWs, which is found to exist naturally in molecular beam epitaxy (MBE) grown NW structures, on the LEE of NW DUV-LEDs. It is observed that, by having a small tapering angle, the vertical extraction is greatly enhanced for both transverse magnetic (TM) and transverse electric (TE) polarizations. Most notably, the vertical extraction of TM emission increased from 4.8% to 24.3%, which makes the LEE reasonably large to achieve high-performance DUV-LEDs. This is because the breaking of symmetry in the vertical direction changes the propagation of the light significantly to allow more coupling into radiation modes. Finally, we introduce errors to the NW positions to show the advantages of the tapered NW structures can be projected to random closely packed NW arrays. The results obtained in this paper can provide guidelines for designing efficient NW DUV-LEDs.

  12. High capacitance density MIS capacitor using Si nanowires by MACE and ALD alumina dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Leontis, I.; Nassiopoulou, A. G., E-mail: A.Nassiopoulou@inn.demokritos.gr [INN, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos, Aghia Paraskevi, 153 10 Athens (Greece); Botzakaki, M. A.; Georga, S. N. [Department of Physics, University of Patras, 26 504 Rion (Greece)

    2016-06-28

    High capacitance density three-dimensional (3D) metal-insulator-semiconductor (MIS) capacitors using Si nanowires (SiNWs) by metal-assisted chemical etching and atomic-layer-deposited alumina dielectric film were fabricated and electrically characterized. A chemical treatment was used to remove structural defects from the nanowire surface, in order to reduce the density of interface traps at the Al{sub 2}O{sub 3}/SiNW interface. SiNWs with two different lengths, namely, 1.3 μm and 2.4 μm, were studied. A four-fold capacitance density increase compared to a planar reference capacitor was achieved with the 1.3 μm SiNWs. In the case of the 2.4 μm SiNWs this increase was ×7, reaching a value of 4.1 μF/cm{sup 2}. Capacitance-voltage (C-V) measurements revealed that, following a two-cycle chemical treatment, frequency dispersion at accumulation regime and flat-band voltage shift disappeared in the case of the 1.3 μm SiNWs, which is indicative of effective removal of structural defects at the SiNW surface. In the case of the 2.4 μm SiNWs, frequency dispersion at accumulation persisted even after the two-step chemical treatment. This is attributed to a porous Si layer at the SiNW tops, which is not effectively removed by the chemical treatment. The electrical losses of MIS capacitors in both cases of SiNW lengths were studied and will be discussed.

  13. High capacitance density MIS capacitor using Si nanowires by MACE and ALD alumina dielectric

    International Nuclear Information System (INIS)

    Leontis, I.; Nassiopoulou, A. G.; Botzakaki, M. A.; Georga, S. N.

    2016-01-01

    High capacitance density three-dimensional (3D) metal-insulator-semiconductor (MIS) capacitors using Si nanowires (SiNWs) by metal-assisted chemical etching and atomic-layer-deposited alumina dielectric film were fabricated and electrically characterized. A chemical treatment was used to remove structural defects from the nanowire surface, in order to reduce the density of interface traps at the Al_2O_3/SiNW interface. SiNWs with two different lengths, namely, 1.3 μm and 2.4 μm, were studied. A four-fold capacitance density increase compared to a planar reference capacitor was achieved with the 1.3 μm SiNWs. In the case of the 2.4 μm SiNWs this increase was ×7, reaching a value of 4.1 μF/cm"2. Capacitance-voltage (C-V) measurements revealed that, following a two-cycle chemical treatment, frequency dispersion at accumulation regime and flat-band voltage shift disappeared in the case of the 1.3 μm SiNWs, which is indicative of effective removal of structural defects at the SiNW surface. In the case of the 2.4 μm SiNWs, frequency dispersion at accumulation persisted even after the two-step chemical treatment. This is attributed to a porous Si layer at the SiNW tops, which is not effectively removed by the chemical treatment. The electrical losses of MIS capacitors in both cases of SiNW lengths were studied and will be discussed.

  14. In situ-growth of silica nanowires in ceramic carbon composites

    Directory of Open Access Journals (Sweden)

    Rahul Kumar

    2017-09-01

    Full Text Available An understanding of the processing and microstructure of ceramic–carbon composites is critical to development of these composites for applications needing electrically conducting, thermal shock resistant ceramic materials. In the present study green compacts of carbon ceramic composites were prepared either by slurry processing or dry powder blending of one or more of the three — clay, glass, alumina and carbon black or graphite. The dried green compacts were sintered at 1400 °C in flowing argon. The ceramic carbon composites except the ones without clay addition showed formation of silica nanowires. The silica nanowire formation was observed in both samples prepared by slip casting and dry powder compaction containing either carbon black or graphite. TEM micrographs showed presence of carbon at the core of the silica nanowires indicating that carbon served the role of a catalyst. Selected area electron diffraction (SAED suggested that the silica nanowires are amorphous. Prior studies have reported formation of silica nanowires from silicon, silica, silicon carbide but this is the first report ever on formation of silica nanowires from clay.

  15. Investigation and comparison of GaN nanowire nucleation and growth by the catalyst-assisted and self-induced approaches

    Energy Technology Data Exchange (ETDEWEB)

    Cheze, Caroline

    2010-03-04

    This work focuses on the nucleation and growth mechanisms of GaN nanowires (NWs) by molecular beam epitaxy (MBE). The two main novelties of this study are the intensive employment of in-situ techniques and the direct comparison of selfinduced and catalyst-induced NWs. On silicon substrates, GaN NWs form in MBE without the use of any external catalyst seed. On sapphire, in contrast, NWs grow under identical conditions only in the presence of Ni seeds. NW nucleation was studied in situ by reflection high-energy electron diffraction (RHEED) in correlation with line-of-sight quadrupole mass spectrometry (QMS). The latter technique allows to monitor the incorporated amount of Ga. For the catalyst-assisted approach, three nucleation stages were identified: first incorporation of Ga into the Ni seeds, second transformation of the seed crystal structure due to Ga accumulation, and last GaN growth under the seeds. The crystalline structure of the seeds during the first two stages is in accord with the Ni-Ga binary phase diagram and evidenced that only Ga incorporates into the Ni particles. GaN forms only after the Ga concentration is larger than the one of Ni. The observation of diffraction patterns generated by the Ni-Ga seed particles during the whole nucleation evidences the solid state of the seeds. Moreover, the QMS study showed that it is not Ga incorporation into Ni but GaN nucleation itself that limits the growth processes. For the self-induced NWs, QMS and RHEED investigations indicate very similar nucleation processes on Si(001) and Si(111) and two nucleation stages were identified. Transmission electron microscopy on samples grown on Si(001) revealed that the first stage is characterized by the competition between the nucleation of crystalline Si{sub x}N{sub y} and GaN. During this stage, the Si surface strongly roughens by the formation of pits and Si mounds. At the same time, very few GaN islands nucleate. During the second stage, the amorphization of the Si

  16. Synthesis of vertical arrays of ultra long ZnO nanowires on noncrystalline substrates

    International Nuclear Information System (INIS)

    Kwon, Bong Jun; Lee, Kyung Moon; Shin, Hae-Young; Kim, Jinwoong; Liu, Jinzhang; Yoon, Seokhyun; Lee, Soonil; Ahn, Y.H.; Park, Ji-Yong

    2012-01-01

    Highlights: ► Arrays of vertical ultra-long ZnO nanowires with lengths upto 300 μm. ► Controls of lengths and diameters of vertical arrays of ZnO nanowires on SiO 2 substrates. ► Luminescent and electrical properties of ZnO nanowires prepared with different growth conditions. - Abstract: Vertically aligned arrays of ultralong ZnO nanowires were synthesized on SiO 2 substrates with carbothermal vapor phase transport method with Au seeding layer. High density of vertically aligned ZnO nanowires with lengths from a few to ∼300 μm could be grown by controlling growth conditions. Supply of high concentration of Zn vapor and control of the ratio between Zn vapor and oxygen are found to have the most significant effects on the growth of long ZnO nanowires in the vapor–solid growth mechanism. The nanowires are of high crystalline quality as confirmed by various structural, compositional, and luminescent measurements. Luminescent and electrical properties of ZnO nanowires with different growth conditions were also investigated.

  17. InGaN/GaN nanowires epitaxy on large-area MoS2 for high-performance light-emitters

    KAUST Repository

    Zhao, Chao; Ng, Tien Khee; Tseng, Chien-Chih; Li, Jun; Shi, Yumeng; Wei, Nini; Zhang, Daliang; Consiglio, Giuseppe Bernardo; Prabaswara, Aditya; Alhamoud, Abdullah Ali; Albadri, Abdulrahman  M.; Alyamani, Ahmed Y.; Zhang, Xixiang; Li, Lain-Jong; Ooi, Boon S.

    2017-01-01

    The recent study of a wide range of layered transition metal dichalcogenides (TMDCs) has created a new era for device design and applications. In particular, the concept of van der Waals epitaxy (vdWE) utilizing layered TMDCs has the potential to broaden the family of epitaxial growth techniques beyond the conventional methods. We report herein, for the first time, the monolithic high-power, droop-free, and wavelength tunable InGaN/GaN nanowire light-emitting diodes (NW-LEDs) on large-area MoS2 layers formed by sulfurizing entire Mo substrates. MoS2 serves as both a buffer layer for high-quality GaN nanowires growth and a sacrificial layer for epitaxy lift-off. The LEDs obtained on nitridated MoS2 via quasi vdWE show a low turn-on voltage of ∼2 V and light output power up to 1.5 mW emitting beyond the “green gap”, without an efficiency droop up to the current injection of 1 A (400 A cm−2), by virtue of high thermal and electrical conductivities of the metal substrates. The discovery of the nitride/layered TMDCs/metal heterostructure platform also ushers in the unparalleled opportunities of simultaneous high-quality nitrides growth for high-performance devices, ultralow-profile optoelectronics, energy harvesting, as well as substrate reusability for practical applications.

  18. InGaN/GaN nanowires epitaxy on large-area MoS2 for high-performance light-emitters

    KAUST Repository

    Zhao, Chao

    2017-05-18

    The recent study of a wide range of layered transition metal dichalcogenides (TMDCs) has created a new era for device design and applications. In particular, the concept of van der Waals epitaxy (vdWE) utilizing layered TMDCs has the potential to broaden the family of epitaxial growth techniques beyond the conventional methods. We report herein, for the first time, the monolithic high-power, droop-free, and wavelength tunable InGaN/GaN nanowire light-emitting diodes (NW-LEDs) on large-area MoS2 layers formed by sulfurizing entire Mo substrates. MoS2 serves as both a buffer layer for high-quality GaN nanowires growth and a sacrificial layer for epitaxy lift-off. The LEDs obtained on nitridated MoS2 via quasi vdWE show a low turn-on voltage of ∼2 V and light output power up to 1.5 mW emitting beyond the “green gap”, without an efficiency droop up to the current injection of 1 A (400 A cm−2), by virtue of high thermal and electrical conductivities of the metal substrates. The discovery of the nitride/layered TMDCs/metal heterostructure platform also ushers in the unparalleled opportunities of simultaneous high-quality nitrides growth for high-performance devices, ultralow-profile optoelectronics, energy harvesting, as well as substrate reusability for practical applications.

  19. Inhomogeneous free-electron distribution in InN nanowires: Photoluminescence excitation experiments

    Science.gov (United States)

    Segura-Ruiz, J.; Molina-Sánchez, A.; Garro, N.; García-Cristóbal, A.; Cantarero, A.; Iikawa, F.; Denker, C.; Malindretos, J.; Rizzi, A.

    2010-09-01

    Photoluminescence excitation (PLE) spectra have been measured for a set of self-assembled InN nanowires (NWs) and a high-crystalline quality InN layer grown by molecular-beam epitaxy. The PLE experimental lineshapes have been reproduced by a self-consistent calculation of the absorption in a cylindrical InN NW. The differences in the PLE spectra can be accounted for the inhomogeneous electron distribution within the NWs caused by a bulk donor concentration (ND+) and a two-dimensional density of ionized surface states (Nss+) . For NW radii larger than 30 nm, ND+ and Nss+ modify the absorption edge and the lineshape, respectively, and can be determined from the comparison with the experimental data.

  20. Low temperature preparation of Ag-doped ZnO nanowire arrays for sensor and light-emitting diode applications

    Science.gov (United States)

    Lupan, O.; Viana, B.; Cretu, V.; Postica, V.; Adelung, R.; Pauporté, T.

    2016-02-01

    Transition metal doped-oxide semiconductor nanostructures are important to achieve enhanced and new properties for advanced applications. We describe the low temperature preparation of ZnO:Ag nanowire/nanorod (NW/NR) arrays by electrodeposition at 90 °C. The NWs have been characterized by SEM, EDX, transmittance and photoluminescence (PL) measurements. The integration of Ag in the crystal is shown. Single nanowire/nanorod of ZnO:Ag was integrated in a nanosensor structure leading to new and enhanced properties. The ultraviolet (UV) response of the nanosensor was investigated at room temperature. Experimental results indicate that ZnO:Ag (0.75 μM) nanosensor possesses faster response/recovery time and better response to UV light than those reported in literature. The sensor structure has been also shown to give a fast response for the hydrogen detection with improved performances compared to pristine ZnO NWs. ZnO:Ag nanowire/nanorod arrays electrochemically grown on p-type GaN single crystal layer is also shown to act as light emitter in LED structures. The emission wavelength is red-shifted compared to pristine ZnO NW array. At low Ag concentration a single UV-blue emission is found whereas at higher concentration of dopant the emission is broadened and extends up to the red wavelength range. Our study indicates that high quality ZnO:Ag NW/NR prepared at low temperature by electrodeposition can serve as building nanomaterials for new sensors and light emitting diodes (LEDs) structures with low-power consumption.

  1. Laminating solution-processed silver nanowire mesh electrodes onto solid-state dye-sensitized solar cells

    KAUST Repository

    Hardin, Brian E.

    2011-06-01

    Solution processed silver nanowire meshes (Ag NWs) were laminated on top of solid-state dye-sensitized solar cells (ss-DSCs) as a reflective counter electrode. Ag NWs were deposited in <1 min and were less reflective compared to evaporated Ag controls; however, AgNW ss-DSC devices consistently had higher fill factors (0.6 versus 0.69), resulting in comparable power conversion efficiencies (2.7%) compared to thermally evaporated Ag control (2.8%). Laminated Ag NW electrodes enable higher throughput manufacturing and near unity material usage, resulting in a cheaper alternative to thermally evaporated electrodes. © 2011 Elsevier B.V. All rights reserved.

  2. Time evolution studies of laser induced chemical changes in InAs nanowire using Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Pal, Suparna; Aggarwal, R.; Kumari Gupta, Vandna; Ingale, Alka [Laser Physics Application Section, Raja Ramanna Centre for Advanced Technology, Indore 452013, MP (India)

    2014-07-07

    We report the study of time evolution of chemical changes on the surface of an InAs nanowire (NW) on laser irradiation in different power density regime, using Raman spectroscopy for a time span of 8–16 min. Mixture of metastable oxides like InAsO{sub 4,} As{sub 2}O{sub 3} are formed upon oxidation, which are reflected as sharp Raman peaks at ∼240–254 and 180–200 cm{sup −1}. Evidence of removal of arsenic layer by layer is also observed at higher power density. Position controlled laser induced chemical modification on a nanometer scale, without changing the core of the NW, can be useful for NW based device fabrication.

  3. InP and InAs nanowires hetero- and homojunctions: energetic stability and electronic properties.

    Science.gov (United States)

    Dionízio Moreira, M; Venezuela, P; Miwa, R H

    2010-07-16

    We performed an ab initio total energy investigation, within the density functional theory, of the energetic stability and the electronic properties of hydrogenated InAs/InP nanowire (NW) heterojunctions, as well as InAs and InP homojunctions composed of different structural arrangements, zinc-blend (zb) and wurtzite (w). For InAs/InP NW heterojunctions our results indicate that w and zb NW heterojunctions are quite similar, energetically, for thin NWs. We also examined the robustness of the abrupt interface through an atomic swap at the InAs/InP interface. Our results support the formation of abrupt (non-abrupt) interfaces in w (zb) InAs/InP heterojunctions. Concerning InAs/InP NW-SLs, our results indicate a type-I band alignment, with the energy barrier at the InP layers, in accordance with experimental works. For InAs or InP zb/w homojunctions, we also found a type-I band alignment for thin NWs, however, on increasing the NW diameter both InAs and InP homojunctions exhibit a type-II band alignment.

  4. InP and InAs nanowires hetero- and homojunctions: energetic stability and electronic properties

    International Nuclear Information System (INIS)

    Dionizio Moreira, M; Venezuela, P; Miwa, R H

    2010-01-01

    We performed an ab initio total energy investigation, within the density functional theory, of the energetic stability and the electronic properties of hydrogenated InAs/InP nanowire (NW) heterojunctions, as well as InAs and InP homojunctions composed of different structural arrangements, zinc-blend (zb) and wurtzite (w). For InAs/InP NW heterojunctions our results indicate that w and zb NW heterojunctions are quite similar, energetically, for thin NWs. We also examined the robustness of the abrupt interface through an atomic As↔P swap at the InAs/InP interface. Our results support the formation of abrupt (non-abrupt) interfaces in w (zb) InAs/InP heterojunctions. Concerning InAs/InP NW-SLs, our results indicate a type-I band alignment, with the energy barrier at the InP layers, in accordance with experimental works. For InAs or InP zb/w homojunctions, we also found a type-I band alignment for thin NWs, however, on increasing the NW diameter both InAs and InP homojunctions exhibit a type-II band alignment.

  5. Self-planarized quantum-disks nanowires ultraviolet-B emitter utilizing pendeo-epitaxy

    KAUST Repository

    Janjua, Bilal

    2017-03-03

    The growth of self-assembled, vertically oriented and uniform nanowires (NWs) has remained a challenge for efficient light-emitting devices. Here, we demonstrate dislocation-free AlGaN NWs with spontaneous coalescence, which are grown by plasma-assisted molecular beam epitaxy on an n-type doped silicon (100) substrate. A high density of NWs (filling factor > 95%) was achieved under optimized growth conditions, enabling device fabrication without planarization using ultraviolet (UV)-absorbing polymer materials. UV-B (280-320 nm) light-emitting diodes (LEDs), which emit at ~303 nm with a narrow full width at half maximum (FWHM) (~20 nm) of the emission spectrum, are demonstrated using a large active region (“active region/NW length-ratio” ~ 50%) embedded with 15 stacks of AlxGa1-xN/AlyGa1-yN quantum-disks (Qdisks). To improve the carrier injection, a graded layer is introduced at the AlGaN/GaN interfaces on both p- and n-type regions. This work demonstrates a viable approach to easily fabricate ultra-thin, efficient UV optoelectronic devices on low-cost and scalable silicon substrates.

  6. Ionic screening effect on low-frequency drain current fluctuations in liquid-gated nanowire FETs

    International Nuclear Information System (INIS)

    Lu, Ming-Pei; Vire, Eric; Montès, Laurent

    2015-01-01

    The ionic screening effect plays an important role in determining the fundamental surface properties within liquid–semiconductor interfaces. In this study, we investigated the characteristics of low-frequency drain current noise in liquid-gated nanowire (NW) field effect transistors (FETs) to obtain physical insight into the effect of ionic screening on low-frequency current fluctuation. When the NW FET was operated close to the gate voltage corresponding to the maximum transconductance, the magnitude of the low-frequency noise for the NW exposed to a low-ionic-strength buffer (0.001 M) was approximately 70% greater than that when exposed to a high-ionic-strength buffer (0.1 M). We propose a noise model, considering the charge coupling efficiency associated with the screening competition between the electrolyte buffer and the NW, to describe the ionic screening effect on the low-frequency drain current noise in liquid-gated NW FET systems. This report not only provides a physical understanding of the ionic screening effect behind the low-frequency current noise in liquid-gated FETs but also offers useful information for developing the technology of NW FETs with liquid-gated architectures for application in bioelectronics, nanosensors, and hybrid nanoelectronics. (paper)

  7. Two steps hydrothermal growth and characterisations of BaTiO3 films composed of nanowires

    Science.gov (United States)

    Zawawi, Che Zaheerah Najeehah Che Mohd; Salleh, Shahril; Oon Jew, Lee; Tufail Chaudhary, Kashif; Helmi, Mohamad; Safwan Aziz, Muhammad; Haider, Zuhaib; Ali, Jalil

    2018-05-01

    Barium titanate (BaTiO3) films composed of nanowires have gained considerable research interest due to their lead-free composition and strong energy conversion efficiency. BaTiO3 films can be developed with a simple two steps hydrothermal reactions, which are low cost effective. In this research, BaTiO3 films were fabricated on titanium foil through two steps hydrothermal method namely, the growth of TiO2 and followed by BaTiO3 films. The structural evolutions and the dielectric properties of the films were investigated as well. The structural evolutions of titanium dioxide (TiO2) and BaTiO3 nanowires were characterized using X-ray diffraction and scanning electron microscopy. First step of hydrothermal reaction, TiO2 nanowires were prepared in varied temperatures of 160 °C, 200 °C and 250 °C respectively. Second step of hydrothermal reaction was performed to produce a layer of BaTiO3 films.

  8. Nanowire-decorated microscale metallic electrodes

    DEFF Research Database (Denmark)

    Vlad, A.; Mátéfi-Tempfli, M.; Antohe, V.A.

    2008-01-01

    The fabrication of metallic nanowire patterns within anodic alumina oxide (AAO) membranes on top of continuous conducting substrates are discussed. The fabrication protocol is based on the realization of nanowire patterns using supported nanoporous alumina templates (SNAT) prepared on top...... of lithographically defined metallic microelectrodes. The anodization of the aluminum permits electroplating only on top of the metallic electrodes, leading to the nanowire patterns having the same shape as the underlying metallic tracks. The variation in the fabricated structures between the patterned and non......-patterned substrates can be interpreted in terms of different behavior during anodization. The improved quality of fabricated nanowire patterns is clearly demonstrated by the SEM imaging and the uniform growth of nanowires inside the alumina template is observed without any significant height variation....

  9. High performance flexible metal oxide/silver nanowire based transparent conductive films by a scalable lamination-assisted solution method

    Directory of Open Access Journals (Sweden)

    Hua Yu

    2017-03-01

    Full Text Available Flexible MoO3/silver nanowire (AgNW/MoO3/TiO2/Epoxy electrodes with comparable performance to ITO were fabricated by a scalable solution-processed method with lamination assistance for transparent and conductive applications. Silver nanoparticle-based electrodes were also prepared for comparison. Using a simple spin-coating and lamination-assisted planarization method, a full solution-based approach allows preparation of AgNW-based composite electrodes at temperatures as low as 140 °C. The resulting flexible AgNW-based electrodes exhibit higher transmittance of 82% at 550 nm and lower sheet resistance about 12–15 Ω sq−1, in comparison with the values of 68% and 22–25 Ω sq−1 separately for AgNP based electrodes. Scanning electron microscopy (SEM and Atomic force microscopy (AFM reveals that the multi-stacked metal-oxide layers embedded with the AgNWs possess lower surface roughness (<15 nm. The AgNW/MoO3 composite network could enhance the charge transport and collection efficiency by broadening the lateral conduction range due to the built of an efficient charge transport network with long-sized nanowire. In consideration of the manufacturing cost, the lamination-assisted solution-processed method is cost-effective and scalable, which is desire for large-area fabrication. While in view of the materials cost and comparable performance, this AgNW-based transparent and conductive electrodes is potential as an alternative to ITO for various optoelectronic applications.

  10. Orientation Effects in Ballistic High-Strained P-type Si Nanowire FETs

    Directory of Open Access Journals (Sweden)

    Hong Yu

    2009-04-01

    Full Text Available In order to design and optimize high-sensitivity silicon nanowire-field-effect transistor (SiNW FET pressure sensors, this paper investigates the effects of channel orientations and the uniaxial stress on the ballistic hole transport properties of a strongly quantized SiNW FET placed near the high stress regions of the pressure sensors. A discrete stress-dependent six-band k.p method is used for subband structure calculation, coupled to a two-dimensional Poisson solver for electrostatics. A semi-classical ballistic FET model is then used to evaluate the ballistic current-voltage characteristics of SiNW FETs with and without strain. Our results presented here indicate that [110] is the optimum orientation for the p-type SiNW FETs and sensors. For the ultra-scaled 2.2 nm square SiNW, due to the limit of strong quantum confinement, the effect of the uniaxial stress on the magnitude of ballistic drive current is too small to be considered, except for the [100] orientation. However, for larger 5 nm square SiNW transistors with various transport orientations, the uniaxial tensile stress obviously alters the ballistic performance, while the uniaxial compressive stress slightly changes the ballistic hole current. Furthermore, the competition of injection velocity and carrier density related to the effective hole masses is found to play a critical role in determining the performance of the nanotransistors.

  11. Room-temperature InP/InAsP Quantum Discs-in-Nanowire Infrared Photodetectors.

    Science.gov (United States)

    Karimi, Mohammad; Jain, Vishal; Heurlin, Magnus; Nowzari, Ali; Hussain, Laiq; Lindgren, David; Stehr, Jan Eric; Buyanova, Irina A; Gustafsson, Anders; Samuelson, Lars; Borgström, Magnus T; Pettersson, Håkan

    2017-06-14

    The possibility to engineer nanowire heterostructures with large bandgap variations is particularly interesting for technologically important broadband photodetector applications. Here we report on a combined study of design, fabrication, and optoelectronic properties of infrared photodetectors comprising four million n + -i-n + InP nanowires periodically ordered in arrays. The nanowires were grown by metal-organic vapor phase epitaxy on InP substrates, with either a single or 20 InAsP quantum discs embedded in the i-segment. By Zn compensation of the residual n-dopants in the i-segment, the room-temperature dark current is strongly suppressed to a level of pA/NW at 1 V bias. The low dark current is manifested in the spectrally resolved photocurrent measurements, which reveal strong photocurrent contributions from the InAsP quantum discs at room temperature with a threshold wavelength of about 2.0 μm and a bias-tunable responsivity reaching 7 A/W@1.38 μm at 2 V bias. Two different processing schemes were implemented to study the effects of radial self-gating in the nanowires induced by the nanowire/SiO x /ITO wrap-gate geometry. Summarized, our results show that properly designed axial InP/InAsP nanowire heterostructures are promising candidates for broadband photodetectors.

  12. Performance analysis of nanodisk and core/shell/shell-nanowire type III-Nitride heterojunction solar cell for efficient energy harvesting

    Science.gov (United States)

    Routray, S. R.; Lenka, T. R.

    2017-11-01

    Now-a-days III-Nitride nanowires with axial (nanodisk) and radial (core/shell/shell-nanowire) junctions are two unique and potential methods for solar energy harvesting adopted by worldwide researchers. In this paper, polarization behavior of GaN/InGaN/GaN junction and its effect on carrier dynamics of nanodisk and CSS-nanowire type solar cells are intensively studied and compared with its planar counterpart by numerical simulations using commercially available Victory TCAD. It is observed that CSS-NW with hexagonal geometrical shapes are robust to detrimental impact of polarization charges and could be good enough to accelerate carrier collection efficiency as compared to nanodisk and planar solar cells. This numerical study provides an innovative aspect of fundamental device physics with respect to polarization charges in CSS-NW and nanodisk type junction towards photovoltaic applications. The internal quantum efficiencies (IQE) are also discussed to evaluate carrier collection mechanisms and recombination losses in each type of junctions of solar cell. Finally, it is interesting to observe a maximum conversion efficiency of 6.46% with 91.6% fill factor from n-GaN/i-In0.1Ga0.9N/p-GaN CSS-nanowire solar cell with an optimized thickness of 180 nm InGaN layer under one Sun AM1.5 illumination.

  13. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor

    Science.gov (United States)

    2015-07-21

    Hybrid Biosensor Jieun Lee1,2, Jaeman Jang1, Bongsik Choi1, Jinsu Yoon1, Jee-Yeon Kim3, Yang-Kyu Choi3, Dong Myong Kim1, Dae Hwan Kim1 & Sung-Jin Choi1...This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response...of field-effect-transistor (FET)-based biosensors . The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential

  14. Blueshift of electroluminescence from single n-InP nanowire/p-Si heterojunctions due to the Burstein-Moss effect

    International Nuclear Information System (INIS)

    Liu, C; Dai, L; You, L P; Xu, W J; Qin, G G

    2008-01-01

    Single-crystalline n-type InP nanowires (NWs) with different electron concentrations were synthesized on Si substrates via the vapor phase transport method. The electrical properties of the InP nanowires were investigated by fabricating and measuring single NW field-effect transistors (FETs). Single InP NW/p + -Si heterojunctions were fabricated, and electroluminescence (EL) spectra from them were studied. It was found that both the photoluminescence (PL) spectra of the InP NWs and the EL spectra of the heterojunctions blueshift from 920 to 775 nm when the electron concentrations of the InP NWs increase from 2 x 10 17 to 1.4 x 10 19 cm -3 . The blueshifts can be attributed to the Burstein-Moss effect rather than the quantum confinement effect in the InP NWs. The large blueshifts observed in this study indicate a potential application of InP NWs in nano-multicolour displays.

  15. Growth and characteristics of p-type doped GaAs nanowire

    Science.gov (United States)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  16. Ag@Ni core-shell nanowire network for robust transparent electrodes against oxidation and sulfurization.

    Science.gov (United States)

    Eom, Hyeonjin; Lee, Jaemin; Pichitpajongkit, Aekachan; Amjadi, Morteza; Jeong, Jun-Ho; Lee, Eungsug; Lee, Jung-Yong; Park, Inkyu

    2014-10-29

    Silver nanowire (Ag NW) based transparent electrodes are inherently unstable to moist and chemically reactive environment. A remarkable stability improvement of the Ag NW network film against oxidizing and sulfurizing environment by local electrodeposition of Ni along Ag NWs is reported. The optical transmittance and electrical resistance of the Ni deposited Ag NW network film can be easily controlled by adjusting the morphology and thickness of the Ni shell layer. The electrical conductivity of the Ag NW network film is increased by the Ni coating via welding between Ag NWs as well as additional conductive area for the electron transport by electrodeposited Ni layer. Moreover, the chemical resistance of Ag NWs against oxidation and sulfurization can be dramatically enhanced by the Ni shell layer electrodeposited along the Ag NWs, which provides the physical barrier against chemical reaction and diffusion as well as the cathodic protection from galvanic corrosion. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Synthesis of Indium Nanowires by Galvanic Displacement and Their Optical Properties

    Directory of Open Access Journals (Sweden)

    Hope Greg

    2008-01-01

    Full Text Available Abstract Single crystalline indium nanowires were prepared on Zn substrate which had been treated in concentrated sulphuric acid by galvanic displacement in the 0.002 mol L−1In2(SO43-0.002 mol L−1SeO2-0.02 mol L−1SDS-0.01 mol L−1citric acid aqueous solution. The typical diameter of indium nanowires is 30 nm and most of the nanowires are over 30 μm in length. XRD, HRTEM, SAED and structural simulation clearly demonstrate that indium nanowires are single-crystalline with the tetragonal structure, the growth direction of the nanowires is along [100] facet. The UV-Vis absorption spectra showed that indium nanowires display typical transverse resonance of SPR properties. The surfactant (SDS and the pretreatment of Zn substrate play an important role in the growth process. The mechanism of indium nanowires growth is the synergic effect of treated Zn substrate (hard template and SDS (soft template.

  18. An analytic model for gate-all-around silicon nanowire tunneling field effect transistors

    International Nuclear Information System (INIS)

    Liu Ying; He Jin; Chan Mansun; Ye Yun; Zhao Wei; Wu Wen; Deng Wan-Ling; Wang Wen-Ping; Du Cai-Xia

    2014-01-01

    An analytical model of gate-all-around (GAA) silicon nanowire tunneling field effect transistors (NW-TFETs) is developted based on the surface potential solutions in the channel direction and considering the band to band tunneling (BTBT) efficiency. The three-dimensional Poisson equation is solved to obtain the surface potential distributions in the partition regions along the channel direction for the NW-TFET, and a tunneling current model using Kane's expression is developed. The validity of the developed model is shown by the good agreement between the model predictions and the TCAD simulation results. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  19. Wurtzite InP nanowire arrays grown by selective area MOCVD

    International Nuclear Information System (INIS)

    Chu, Hyung-Joon; Stewart, Lawrence; Yeh, Ting-Wei; Dapkus, P.D.

    2010-01-01

    InP nanowires are a unique material phase because this normally zincblende material forms in the wurtzite crystal structure below a critical diameter owing to the contribution of sidewalls to the total formation energy. This may allow control of the carrier transport and optical properties of InP nanowires for applications such as nano scale transistors, lasers and detectors. In this work, we describe the fabrication of InP nanowire arrays by selective area growth using MOCVD in the diameter range where the wurtzite structure is formed. The spatial growth rate in selective area growth is modeled by a diffusion model for the precursors. The proposed model achieves an average error of 9%. Electron microscopy shows that the grown InP nanowires are in the wurtzite crystal phase with many stacking faults. The threshold diameter of the crystal phase transition of InP nanowires is larger than the thermodynamic estimation. In order to explain this tendency, we propose a surface kinetics model based on a 2 x 2 reconstruction. This model can explain the increased tendency for wurtzite nanowire formation on InP (111)A substrates and the preferred growth direction of binary III-V compound semiconductor nanowires. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Ultralow power complementary inverter circuits using axially doped p- and n-channel Si nanowire field effect transistors.

    Science.gov (United States)

    Van, Ngoc Huynh; Lee, Jae-Hyun; Whang, Dongmok; Kang, Dae Joon

    2016-06-09

    We have successfully synthesized axially doped p- and n-type regions on a single Si nanowire (NW). Diodes and complementary metal-oxide-semiconductor (CMOS) inverter devices using single axial p- and n-channel Si NW field-effect transistors (FETs) were fabricated. We show that the threshold voltages of both p- and n-channel Si NW FETs can be lowered to nearly zero by effectively controlling the doping concentration. Because of the high performance of the p- and n-type Si NW channel FETs, especially with regard to the low threshold voltage, the fabricated NW CMOS inverters have a low operating voltage (<3 V) while maintaining a high voltage gain (∼6) and ultralow static power dissipation (≤0.3 pW) at an input voltage of ±3 V. This result offers a viable way for the fabrication of a high-performance high-density logic circuit using a low-temperature fabrication process, which makes it suitable for flexible electronics.

  1. The Role of Surface Passivation in Controlling Ge Nanowire Faceting.

    Science.gov (United States)

    Gamalski, A D; Tersoff, J; Kodambaka, S; Zakharov, D N; Ross, F M; Stach, E A

    2015-12-09

    In situ transmission electron microscopy observations of nanowire morphologies indicate that during Au-catalyzed Ge nanowire growth, Ge facets can rapidly form along the nanowire sidewalls when the source gas (here, digermane) flux is decreased or the temperature is increased. This sidewall faceting is accompanied by continuous catalyst loss as Au diffuses from the droplet to the wire surface. We suggest that high digermane flux and low temperatures promote effective surface passivation of Ge nanowires with H or other digermane fragments inhibiting diffusion and attachment of Au and Ge on the sidewalls. These results illustrate the essential roles of the precursor gas and substrate temperature in maintaining nanowire sidewall passivation, necessary to ensure the growth of straight, untapered, ⟨111⟩-oriented nanowires.

  2. Optical properties of Mg doped p-type GaN nanowires

    Science.gov (United States)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  3. Fabrication of a Miniaturized ZnO Nanowire Accelerometer and Its Performance Tests

    Directory of Open Access Journals (Sweden)

    Hyun Chan Kim

    2016-09-01

    Full Text Available This paper reports a miniaturized piezoelectric accelerometer suitable for a small haptic actuator array. The accelerometer is made with zinc oxide (ZnO nanowire (NW grown on a copper wafer by a hydrothermal process. The size of the accelerometer is 1.5 × 1.5 mm2, thus fitting the 1.8 × 1.8 mm2 haptic actuator array cell. The detailed fabrication process of the miniaturized accelerometer is illustrated. Performance evaluation of the fabricated accelerometer is conducted by comparing it with a commercial piezoelectric accelerometer. The output current of the fabricated accelerometer increases linearly with the acceleration. The miniaturized ZnO NW accelerometer is feasible for acceleration measurement of small and lightweight devices.

  4. Solid source growth of Si oxide nanowires promoted by carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Congxiang [CINTRA CNRS/NTU/THALES, Nanyang Technological University, Singapore 637553 (Singapore); Novitas, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Liu, Wen-wen; Wang, Xingli [Novitas, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Li, Xiaocheng [Laboratory of clean energy chemistry and materials, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, No. 18 Tianshui Middle Road, Lanzhou 730000 (China); Tan, Chong Wei [CINTRA CNRS/NTU/THALES, Nanyang Technological University, Singapore 637553 (Singapore); Novitas, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Tay, Beng Kang, E-mail: ebktay@ntu.edu.sg [CINTRA CNRS/NTU/THALES, Nanyang Technological University, Singapore 637553 (Singapore); Novitas, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Coquet, Philippe [CINTRA CNRS/NTU/THALES, Nanyang Technological University, Singapore 637553 (Singapore)

    2014-09-30

    Highlights: • An array of well aligned and uniform CNTs is successfully fabricated by PECVD. • SiONW growth utilizes Si substrate as the source, ruling out the usage of silane. • With CNT array on the substrate, SiONW growth is improved significantly. • CNTs help dispersion of the catalysts and diffusion of the Si atoms. - Abstract: We report a method to promote solid source growth of Si oxide nanowires (SiONWs) by using an array of vertically aligned carbon nanotubes (CNTs). It starts with the fabrication of CNT array by plasma enhanced chemical vapor deposition (PECVD) on Si wafers, followed by growth of SiONWs. Herein, CNTs serve as a scaffold, which helps the dispersion of catalysts for SiONWs and also provides space for hydrogen which boosts the diffusion of Si atoms and hence formation of SiONWs. As the result, a three dimensional (3D) hybrid network of densely packed SiONWs and CNTs can be produced rapidly.

  5. Gold catalyzed nickel disilicide formation: a new solid-liquid-solid phase growth mechanism.

    Science.gov (United States)

    Tang, Wei; Picraux, S Tom; Huang, Jian Yu; Liu, Xiaohua; Tu, K N; Dayeh, Shadi A

    2013-01-01

    The vapor-liquid-solid (VLS) mechanism is the predominate growth mechanism for semiconductor nanowires (NWs). We report here a new solid-liquid-solid (SLS) growth mechanism of a silicide phase in Si NWs using in situ transmission electron microcopy (TEM). The new SLS mechanism is analogous to the VLS one in relying on a liquid-mediating growth seed, but it is fundamentally different in terms of nucleation and mass transport. In SLS growth of Ni disilicide, the Ni atoms are supplied from remote Ni particles by interstitial diffusion through a Si NW to the pre-existing Au-Si liquid alloy drop at the tip of the NW. Upon supersaturation of both Ni and Si in Au, an octahedral nucleus of Ni disilicide (NiSi2) forms at the center of the Au liquid alloy, which thereafter sweeps through the Si NW and transforms Si into NiSi2. The dissolution of Si by the Au alloy liquid mediating layer proceeds with contact angle oscillation at the triple point where Si, oxide of Si, and the Au alloy meet, whereas NiSi2 is grown from the liquid mediating layer in an atomic stepwise manner. By using in situ quenching experiments, we are able to measure the solubility of Ni and Si in the Au-Ni-Si ternary alloy. The Au-catalyzed mechanism can lower the formation temperature of NiSi2 by 100 °C compared with an all solid state reaction.

  6. Generic nano-imprint process for fabrication of nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Pierret, Aurelie; Hocevar, Moira; Algra, Rienk E; Timmering, Eugene C; Verschuuren, Marc A; Immink, George W G; Verheijen, Marcel A; Bakkers, Erik P A M [Philips Research Laboratories Eindhoven, High Tech Campus 11, 5656 AE Eindhoven (Netherlands); Diedenhofen, Silke L [FOM Institute for Atomic and Molecular Physics c/o Philips Research Laboratories, High Tech Campus 4, 5656 AE Eindhoven (Netherlands); Vlieg, E, E-mail: e.p.a.m.bakkers@tue.nl [IMM, Solid State Chemistry, Radboud University Nijmegen, Heyendaalseweg 135, 6525 AJ Nijmegen (Netherlands)

    2010-02-10

    A generic process has been developed to grow nearly defect-free arrays of (heterostructured) InP and GaP nanowires. Soft nano-imprint lithography has been used to pattern gold particle arrays on full 2 inch substrates. After lift-off organic residues remain on the surface, which induce the growth of additional undesired nanowires. We show that cleaning of the samples before growth with piranha solution in combination with a thermal anneal at 550 deg. C for InP and 700 deg. C for GaP results in uniform nanowire arrays with 1% variation in nanowire length, and without undesired extra nanowires. Our chemical cleaning procedure is applicable to other lithographic techniques such as e-beam lithography, and therefore represents a generic process.

  7. Electrochemical growth of Co nanowires in ultra-high aspect ratio InP membranes: FFT-impedance spectroscopy of the growth process and magnetic properties.

    Science.gov (United States)

    Gerngross, Mark-Daniel; Carstensen, Jürgen; Föll, Helmut

    2014-01-01

    The electrochemical growth of Co nanowires in ultra-high aspect ratio InP membranes has been investigated by fast Fourier transform-impedance spectroscopy (FFT-IS) in the frequency range from 75 Hz to 18.5 kHz. The impedance data could be fitted very well using an electric circuit equivalent model with a series resistance connected in series to a simple resistor-capacitor (RC) element and a Maxwell element. Based on the impedance data, the Co deposition in ultra-high aspect ratio InP membranes can be divided into two different Co deposition processes. The corresponding share of each process on the overall Co deposition can be determined directly from the transfer resistances of the two processes. The impedance data clearly show the beneficial impact of boric acid on the Co deposition and also indicate a diffusion limitation of boric acid in ultra-high aspect ratio InP membranes. The grown Co nanowires are polycrystalline with a very small grain size. They show a narrow hysteresis loop with a preferential orientation of the easy magnetization direction along the long nanowire axis due to the arising shape anisotropy of the Co nanowires.

  8. X-ray diffraction from single GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas

    2012-11-12

    In recent years, developments in X-ray focussing optics have allowed to produce highly intense, coherent X-ray beams with spot sizes in the range of 100 nm and below. Together with the development of new experimental stations, X-ray diffraction techniques can now be applied to study single nanometer-sized objects. In the present work, X-ray diffraction is applied to study different aspects of the epitaxial growth of GaAs nanowires. Besides conventional diffraction methods, which employ X-ray beams with dimensions of several tens of {mu}m, special emphasis lies on the use of nanodiffraction methods which allow to study single nanowires in their as-grown state without further preparation. In particular, coherent X-ray diffraction is applied to measure simultaneously the 3-dimensional shape and lattice parameters of GaAs nanowires grown by metal-organic vapor phase epitaxy. It is observed that due to a high density of zinc-blende rotational twins within the nanowires, their lattice parameter deviates systematically from the bulk zinc-blende phase. In a second step, the initial stage in the growth of GaAs nanowires on Si (1 1 1) surfaces is studied. This nanowires, obtained by Ga-assisted growth in molecular beam epitaxy, grow predominantly in the cubic zinc-blende structure, but contain inclusions of the hexagonal wurtzite phase close to their bottom interface. Using nanodiffraction methods, the position of the different structural units along the growth axis is determined. Because the GaAs lattice is 4% larger than silicon, these nanowires release their lattice mismatch by the inclusion of dislocations at the interface. Whereas NWs with diameters below 50 nm are free of strain, a rough interface structure in nanowires with diameters above 100 nm prevents a complete plastic relaxation, leading to a residual strain at the interface that decays elastically along the growth direction. Finally, measurements on GaAs-core/InAs-shell nanowire heterostructures are presented

  9. Electrostatic potential in a bent piezoelectric nanowire with consideration of size-dependent piezoelectricity and semiconducting characterization

    Science.gov (United States)

    Wang, K. F.; Wang, B. L.

    2018-06-01

    Determining the electric potential in a bent piezoelectric nanowire (NW) is a fundamental issue of nanogenerators and nanopiezotronics. The combined influence of the flexoelectric effect, the semiconducting performance and the angle of atomic force microscope (AFM) tip has never been studied previously and will be investigated in this paper. The exact solution for the electric potential of a bent piezoelectric semiconductor NW is derived. The electric potential of the present model with consideration of flexoelectric effect varies along the length of the NW and is different from that of the classical piezoelectric model. Flexoelectric effect enhances but the semiconducting performance reduces the electric potential of the NW. In addition, it is found that if the angle of the AFM tip reaches 30°, the error of the electric potential obtained from the model ignored the effect of the angle of the AFM tip is almost 16%, which is unacceptable.

  10. Ultra-sensitive and selective detection of mercury ion (Hg2+) using free-standing silicon nanowire sensors

    Science.gov (United States)

    Jin, Yan; Gao, Anran; Jin, Qinghui; Li, Tie; Wang, Yuelin; Zhao, Jianlong

    2018-04-01

    In this paper, ultra-sensitive and highly selective Hg2+ detection in aqueous solutions was studied by free-standing silicon nanowire (SiNW) sensors. The all-around surface of SiNW arrays was functionalized with (3-Mercaptopropyl)trimethoxysilane serving as Hg2+ sensitive layer. Due to effective electrostatic control provided by the free-standing structure, a detection limit as low as 1 ppt was obtained. A linear relationship (R 2 = 0.9838) between log(CHg2+ ) and a device current change from 1 ppt to 5 ppm was observed. Furthermore, the developed SiNW sensor exhibited great selectivity for Hg2+ over other heavy metal ions, including Cd2+. Given the extraordinary ability for real-time Hg2+ detection, the small size and low cost of the SiNW device, it is expected to be a potential candidate in field detection of environmentally toxic mercury.

  11. Linearly polarized emission from an embedded quantum dot using nanowire morphology control.

    Science.gov (United States)

    Foster, Andrew P; Bradley, John P; Gardner, Kirsty; Krysa, Andrey B; Royall, Ben; Skolnick, Maurice S; Wilson, Luke R

    2015-03-11

    GaAs nanowires with elongated cross sections are formed using a catalyst-free growth technique. This is achieved by patterning elongated nanoscale openings within a silicon dioxide growth mask on a (111)B GaAs substrate. It is observed that MOVPE-grown vertical nanowires with cross section elongated in the [21̅1̅] and [1̅12] directions remain faithful to the geometry of the openings. An InGaAs quantum dot with weak radial confinement is realized within each nanowire by briefly introducing indium into the reactor during nanowire growth. Photoluminescence emission from an embedded nanowire quantum dot is strongly linearly polarized (typically >90%) with the polarization direction coincident with the axis of elongation. Linearly polarized PL emission is a result of embedding the quantum dot in an anisotropic nanowire structure that supports a single strongly confined, linearly polarized optical mode. This research provides a route to the bottom-up growth of linearly polarized single photon sources of interest for quantum information applications.

  12. Growth of wurtzite CdTe nanowires on fluorine-doped tin oxide glass substrates and room-temperature bandgap parameter determination

    Science.gov (United States)

    Choi, Seon Bin; Song, Man Suk; Kim, Yong

    2018-04-01

    The growth of CdTe nanowires, catalyzed by Sn, was achieved on fluorine-doped tin oxide glass by physical vapor transport. CdTe nanowires grew along the 〈0001〉 direction, with a very rare and phase-pure wurtzite structure, at 290 °C. CdTe nanowires grew under Te-limited conditions by forming SnTe nanostructures in the catalysts and the wurtzite structure was energetically favored. By polarization-dependent and power-dependent micro-photoluminescence measurements of individual nanowires, heavy and light hole-related transitions could be differentiated, and the fundamental bandgap of wurtzite CdTe at room temperature was determined to be 1.562 eV, which was 52 meV higher than that of zinc-blende CdTe. From the analysis of doublet photoluminescence spectra, the valence band splitting energy between heavy hole and light hole bands was estimated to be 43 meV.

  13. Dimensional effects in semiconductor nanowires; Dimensionseffekte in Halbleiternanodraehten

    Energy Technology Data Exchange (ETDEWEB)

    Stichtenoth, Daniel

    2008-06-23

    Nanomaterials show new physical properties, which are determined by their size and morphology. These new properties can be ascribed to the higher surface to volume ratio, to quantum size effects or to a form anisotropy. They may enable new technologies. The nanowires studied in this work have a diameter of 4 to 400 nm and a length up to 100 {mu}m. The semiconductor material used is mainly zinc oxide (ZnO), zinc sulfide (ZnS) and gallium arsenide (GaAs). All nanowires were synthesized according to the vapor liquid solid mechanism, which was originally postulated for the growth of silicon whiskers. Respective modifications for the growth of compound semiconductor nanowires are discussed. Detailed luminescence studies on ZnO nanowires with different diameters show pronounced size effects which can be attributed to the origins given above. Similar to bulk material, a tuning of the material properties is often essential for a further functionalization of the nanowires. This is typical realized by doping the source material. It becomes apparent, that a controlled doping of nanowires during the growth process is not successful. Here an alternative method is chosen: the doping after the growth by ion implantation. However, the doping by ion implantation goes always along with the creation of crystal defects. The defects have to be annihilated in order to reach an activation of th introduced dopants. At high ion fluences and ion masses the sputtering of surface atoms becomes more important. This results in a characteristic change in the morphology of the nanowires. In detail, the doping of ZnO and ZnS nanowires with color centers (manganese and rare earth elements) is demonstrated. Especially, the intra 3d luminescence of manganese implanted ZnS nanostructures shows a strong dependence of the nanowire diameter and morphology. This dependence can be described by expanding Foersters model (which describes an energy transfer to the color centers) by a dimensional parameter

  14. Determination of indium content of GaAs/(In,Ga)As/(GaAs) core-shell(-shell) nanowires by x-ray diffraction and nano x-ray fluorescence

    Science.gov (United States)

    Al Hassan, Ali; Lewis, R. B.; Küpers, H.; Lin, W.-H.; Bahrami, D.; Krause, T.; Salomon, D.; Tahraoui, A.; Hanke, M.; Geelhaar, L.; Pietsch, U.

    2018-01-01

    We present two complementary approaches to investigate the In content in GaAs/(In,Ga)As/(GaAs) core-shell-(shell) nanowire (NW) heterostructures using synchrotron radiation. The key advantage of our methodology is that NWs are characterized in their as-grown configuration, i.e., perpendicularly standing on a substrate. First, we determine the mean In content of the (In,Ga)As shell by high-resolution x-ray diffraction (XRD) from NW ensembles. In particular, we disentangle the influence of In content and shell thickness on XRD by measuring and analyzing two reflections with diffraction vector parallel and perpendicular to the growth axis, respectively. Second, we study the In distribution within individual NWs by nano x-ray fluorescence. Both the NW (111) basal plane, that is parallel to the surface of the substrate, and the {10-1} sidewall plane were scanned with an incident nanobeam of 50 nm width. We investigate three samples with different nominal In content of the (In,Ga)As shell. In all samples, the average In content of the shell determined by XRD is in good agreement with the nominal value. For a nominal In content of 15%, the In distribution is fairly uniform between all six sidewall facets. In contrast, in NWs with nominally 25% In content, different sidewall facets of the same NW exhibit different In contents. This effect is attributed to shadowing during growth by molecular beam epitaxy. At the same time, along the NW axis the In distribution is still fairly homogeneous. In NWs with 60% nominal In content and no outer GaAs shell, the In content varies significantly both between different sidewall facets and along the NW axis. This fluctuation is explained by the formation of (In,Ga)As mounds that grow simultaneously with a thinner (In,Ga)As shell. The methodology presented here may be applied also to other core-shell NWs with a ternary shell and paves the way to correlating NW structure with functional properties that depend on the as-grown configuration

  15. Self-Catalyzed Growth and Characterization of In(As)P Nanowires on InP(111)B Using Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Park, Jeung Hun; Pozuelo, Marta; Setiawan, Bunga P D; Chung, Choong-Heui

    2016-12-01

    We report the growth of vertical -oriented InAs x P1-x (0.11 ≤ x ≤ 0.27) nanowires via metal-organic chemical vapor deposition in the presence of indium droplets as catalysts on InP(111)B substrates at 375 °C. Trimethylindium, tertiarybutylphosphine, and tertiarybutylarsine are used as the precursors, corresponding to P/In and As/In molar ratios of 29 and 0.01, respectively. The as-grown nanowire growth morphologies, crystallinity, composition, and optical characteristics are determined using a combination of scanning and transmission electron microscopies, electron diffraction, and X-ray photoelectron, energy dispersive X-ray, and Raman spectroscopies. We find that the InAs x P1-x nanowires are tapered with narrow tops, wider bases, and In-rich In-As alloy tips, characteristic of vapor-liquid-solid process. The wires exhibit a mixture of zinc blende and wurtzite crystal structures and a high density of structural defects such as stacking faults and twins. Our results suggest that the incorporation of As into InP wires decreases with increasing substrate temperature. The Raman spectra obtained from the In(As)P nanowires reveal a red-shift and lower intensity of longitudinal optical mode relative to both InP nanowires and InP(111)B bulk, due to the incorporation of As into the InP matrix.

  16. Extended vapor-liquid-solid growth of silicon carbide nanowires.

    Science.gov (United States)

    Rajesh, John Anthuvan; Pandurangan, Arumugam

    2014-04-01

    We developed an alloy catalytic method to explain extended vapor-liquid-solid (VLS) growth of silicon carbide nanowires (SiC NWs) by a simple thermal evaporation of silicon and activated carbon mixture using lanthanum nickel (LaNi5) alloy as catalyst in a chemical vapor deposition process. The LaNi5 alloy binary phase diagram and the phase relationships in the La-Ni-Si ternary system were play a key role to determine the growth parameters in this VLS mechanism. Different reaction temperatures (1300, 1350 and 1400 degrees C) were applied to prove the established growth process by experimentally. Scanning electron microscopy and transmission electron microscopy studies show that the crystalline quality of the SiC NWs increases with the temperature at which they have been synthesized. La-Ni alloyed catalyst particles observed on the top of the SiC NWs confirms that the growth process follows this extended VLS mechanism. The X-ray diffraction and confocal Raman spectroscopy analyses demonstrate that the crystalline structure of the SiC NWs was zinc blende 3C-SiC. Optical property of the SiC NWs was investigated by photoluminescence technique at room temperature. Such a new alloy catalytic method may be extended to synthesis other one-dimensional nanostructures.

  17. Solution synthesis of lead seeded germanium nanowires and branched nanowire networks and their application as Li-ion battery anodes

    Science.gov (United States)

    Flynn, Grace; Palaniappan, Kumaranand; Sheehan, Martin; Kennedy, Tadhg; Ryan, Kevin M.

    2017-06-01

    Herein, we report the high density growth of lead seeded germanium nanowires (NWs) and their development into branched nanowire networks suitable for application as lithium ion battery anodes. The synthesis of the NWs from lead seeds occurs simultaneously in both the liquid zone (solution-liquid-solid (SLS) growth) and solvent rich vapor zone (vapor-liquid-solid (VLS) growth) of a high boiling point solvent growth system. The reaction is sufficiently versatile to allow for the growth of NWs directly from either an evaporated catalyst layer or from pre-defined nanoparticle seeds and can be extended to allowing extensive branched nanowire formation in a secondary reaction where these seeds are coated onto existing wires. The NWs are characterized using TEM, SEM, XRD and DF-STEM. Electrochemical analysis was carried out on both the single crystal Pb-Ge NWs and the branched Pb-Ge NWs to assess their suitability for use as anodes in a Li-ion battery. Differential capacity plots show both the germanium wires and the lead seeds cycle lithium and contribute to the specific capacity that is approximately 900 mAh g-1 for the single crystal wires, rising to approximately 1100 mAh g-1 for the branched nanowire networks.

  18. Defect formation and magnetic properties of Co-doped GaN crystal and nanowire

    International Nuclear Information System (INIS)

    Shi, Li-Bin; Liu, Jing-Jing; Fei, Ying

    2013-01-01

    Theoretical calculation based on density functional theory (DFT) and generalized gradient approximation (GGA) has been carried out in studying defect formation and magnetic properties of Co doped GaN crystal and nanowire (NW). Co does not exhibit site preference in GaN crystal. However, Co occupies preferably surface sites in GaN NW. Transition level of the defect is also investigated in GaN crystal. We also find that Co Ga (S) in NW does not produce spin polarization and Co Ga (B) produces spontaneous spin polarization. Ferromagnetic (FM) and antiferromagnetic (AFM) couplings are analyzed by six different configurations. The results show that AFM coupling is more stable than FM coupling for Co doped GaN crystal. It is also found from Co doped GaN NW calculation that the system remains FM stability for majority of the configurations. Magnetic properties in Co doped GaN crystal can be mediated by N and Ga vacancies. The FM and AFM stability can be explained by Co 3d energy level coupling

  19. Transport Phenomena in Nanowires, Nanotubes, and Other Low-Dimensional Systems

    KAUST Repository

    Montes Muñ oz, Enrique

    2017-01-01

    and their dependence on the nanowire growth direction, diameter, and length. At equilibrium Au-nanowire distance we find strong electronic coupling between electrodes and nanowire, resulting in low contact resistance. For the tunneling regime, the decay

  20. Synthesis, characterization and photoluminescence of tin oxide nanoribbons and nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M.A., E-mail: duraia_physics@yahoo.co [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, Almaty (Kazakhstan); Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Mansorov, Z.A. [Al-Farabi Kazakh National University, Almaty (Kazakhstan); Tokmolden, S. [Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan)

    2009-11-15

    In this work we report the successful formation of tin oxide nanowires and tin oxide nanoribbons with high yield and by using simple cheap method. We also report the formation of curved nanoribbon, wedge-like tin oxide nanowires and star-like nanowires. The growth mechanism of these structures has been studied. Scanning electron microscope was used in the analysis and the EDX analysis showed that our samples is purely Sn and O with ratio 1:2. X-ray analysis was also used in the characterization of the tin oxide nanowire and showed the high crystallinity of our nanowires. The mechanism of the growth of our1D nanostructures is closely related to the vapor-liquid-solid (VLS) process. The photoluminescence PL measurements for the tin oxide nanowires indicated that there are three stable emission peaks centered at wavelengths 630, 565 and 395 nm. The nature of the transition may be attributed to nanocrystals inside the nanobelts or to Sn or O vacancies occurring during the growth which can induce trapped states in the band gap.

  1. Platinum boride nanowires: Synthesis and characterization

    International Nuclear Information System (INIS)

    Ding Zhanhui; Qiu Lixia; Zhang Jian; Yao Bin; Cui Tian; Guan Weiming; Zheng Weitao; Wang Wenquan; Zhao Xudong; Liu Xiaoyang

    2012-01-01

    Highlights: ► Platinum boride nanowires have been synthesized via the direct current arc discharge method. ► XRD, TEM and SAED indicate that the nanowires are single-crystal PtB. ► Two broad photoluminescence emission peaks at about 586 nm and 626 nm have been observed in the PL spectroscopy of PtB nanowires. - Abstract: Platinum boride (PtB) nanowires have been successfully fabricated with direct current arc discharge method using a milled mixture of platinum (Pt) and boron nitride (BN) powders. X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM) were used to characterize the compositions, morphology, and structures of the samples. The results show that PtB nanowires are 30–50 nm thick and 20–30 μm long. TEM and selected area electron diffraction (SAED) patterns identify that the PtB nanowires are single-crystalline in nature. A growth mechanism based on vapor–liquid–solid (VLS) process is proposed for the formation of nanowires.

  2. Alumina nanowire growth by water decomposition and the peritectic reaction of decagonal Al{sub 65}Cu{sub 15}Co{sub 20} quasicrystals

    Energy Technology Data Exchange (ETDEWEB)

    Téllez-Vázquez, J.O., E-mail: oswald.tellez@gmail.com [Instituto de Investigaciones en Metalurgia y Materiales, UMSNH, Edificio U, Ciudad Universitaria, CP 58060 Morelia Michoacán, México (Mexico); Patiño-Carachure, C., E-mail: cpatino@pampano.unacar.mx [Facultad de Ingeniería, Universidad Autónoma del Carmen, Campus III, Avenida Central S/N, Esq. Con Fracc. Mundo Maya, C.P. 24115 Ciudad del Carmen, Campeche, México (Mexico); Rosas, G., E-mail: grtrejo@yahoo07.com.mx [Instituto de Investigaciones en Metalurgia y Materiales, UMSNH, Edificio U, Ciudad Universitaria, CP 58060 Morelia Michoacán, México (Mexico)

    2016-02-15

    In this paper, the results of the Al{sub 2}O{sub 3} nanowires' growth through a chemical reaction between Al and water vapor at 1050 °C are presented. Our approach is based on two primary considerations. First, at room temperature, the Al{sub 65}Cu{sub 15}Co{sub 20} alloy is affected by the following mechanism: 2Al (s) + 3H{sub 2}O (g) → Al{sub 2}O{sub 3} (s) + H{sub 2} (g). In this reaction, the released hydrogen induces cleavage fracture of the material to form small particles. Second, the Al{sub 65}Cu{sub 15}Co{sub 20} quasicrystalline phase is transformed on heating to liquid + Al (Cu, Co) cubic phase through a peritectic reaction at 1050 °C. The Al-rich liquid then reacts with water vapor, forming Al{sub 2}O{sub 3} nanowires. X-ray diffraction (XRD) analysis shows that the formed nanowires have a hexagonal structure, and infrared analysis further confirms the presence of α-Al{sub 2}O{sub 3} phase in the final products. Transmission electron microscopy observations show that nanoparticles are present at the end of nanowires, suggesting the VLS growth mechanism. Elemental analysis by energy dispersive spectroscopy (EDS) indicates that the particles at the tip of the nanowires are mainly formed by Co and Cu alloying elements and small amounts of Al. Electron microscopy observations showed nanowires with diameters ranging from 20 to 70 nm; the average diameter was 37 nm and the nanowire lengths were up to several micrometers. - Highlights: • Hexagonal alumina nanowires are grown at 1050 °C through the VLS process. • Alumina nanowires are obtained by the decomposition of decagonal quasicrystalline phase. • The decagonal phase decomposition follows a peritectic reaction at 1030 °C. • Nanoparticles are obtained by hydrogen embrittlement mechanism. • The nanoparticles catalyze the water decomposition to form wires.

  3. Nanowire decorated, ultra-thin, single crystalline silicon for photovoltaic devices.

    Science.gov (United States)

    Aurang, Pantea; Turan, Rasit; Unalan, Husnu Emrah

    2017-10-06

    Reducing silicon (Si) wafer thickness in the photovoltaic industry has always been demanded for lowering the overall cost. Further benefits such as short collection lengths and improved open circuit voltages can also be achieved by Si thickness reduction. However, the problem with thin films is poor light absorption. One way to decrease optical losses in photovoltaic devices is to minimize the front side reflection. This approach can be applied to front contacted ultra-thin crystalline Si solar cells to increase the light absorption. In this work, homojunction solar cells were fabricated using ultra-thin and flexible single crystal Si wafers. A metal assisted chemical etching method was used for the nanowire (NW) texturization of ultra-thin Si wafers to compensate weak light absorption. A relative improvement of 56% in the reflectivity was observed for ultra-thin Si wafers with the thickness of 20 ± 0.2 μm upon NW texturization. NW length and top contact optimization resulted in a relative enhancement of 23% ± 5% in photovoltaic conversion efficiency.

  4. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  5. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  6. Interface effects on elastic behavior of a screw dislocation around double nanowires

    International Nuclear Information System (INIS)

    Li, Jia; Fang, Qihong; Liu, Youwen

    2014-01-01

    The elastic behavior of a screw dislocation around double nanowires (NWs) is addressed with taking into account the interface stress effect in controlling mechanical response of nanoscale structures. The stress boundary conditions at the interface of the NWs are modified by incorporating surface/interface stress. The analytic solution of complex functions of the right NW, the infinite matrix and the left NW are obtained by applying the complex variable method. The equilibrium positions and the image force acting on the dislocation of a screw dislocation near one of the NWs are discussed in detail and compared with those obtained within the classical theory of elasticity. It is shown that the NWs possess a significant local softening or hardening at the interface, which can change the nature of the equilibrium positions for the dislocation. The radius ratio between NWs has profound effects on the equilibrium position. Additionally, the soft NW with the positive interface stress inhibits the dislocation motion to enhance its own structural stability.

  7. Dense Vertically Aligned Copper Nanowire Composites as High Performance Thermal Interface Materials.

    Science.gov (United States)

    Barako, Michael T; Isaacson, Scott G; Lian, Feifei; Pop, Eric; Dauskardt, Reinhold H; Goodson, Kenneth E; Tice, Jesse

    2017-12-06

    Thermal interface materials (TIMs) are essential for managing heat in modern electronics, and nanocomposite TIMs can offer critical improvements. Here, we demonstrate thermally conductive, mechanically compliant TIMs based on dense, vertically aligned copper nanowires (CuNWs) embedded into polymer matrices. We evaluate the thermal and mechanical characteristics of 20-25% dense CuNW arrays with and without polydimethylsiloxane infiltration. The thermal resistance achieved is below 5 mm 2 K W -1 , over an order of magnitude lower than commercial heat sink compounds. Nanoindentation reveals that the nonlinear deformation mechanics of this TIM are influenced by both the CuNW morphology and the polymer matrix. We also implement a flip-chip bonding protocol to directly attach CuNW composites to copper surfaces, as required in many thermal architectures. Thus, we demonstrate a rational design strategy for nanocomposite TIMs that simultaneously retain the high thermal conductivity of aligned CuNWs and the mechanical compliance of a polymer.

  8. Polarization Dependence of Surface Enhanced Raman Scattering on a Single Dielectric Nanowire

    Directory of Open Access Journals (Sweden)

    Hua Qi

    2012-01-01

    Full Text Available Our measurements of surface enhanced Raman scattering (SERS on Ga2O3 dielectric nanowires (NWs core/silver composites indicate that the SERS enhancement is highly dependent on the polarization direction of the incident laser light. The polarization dependence of the SERS signal with respect to the direction of a single NW was studied by changing the incident light angle. Further investigations demonstrate that the SERS intensity is not only dependent on the direction and wavelength of the incident light, but also on the species of the SERS active molecule. The largest signals were observed on an NW when the incident 514.5 nm light was polarized perpendicular to the length of the NW, while the opposite phenomenon was observed at the wavelength of 785 nm. Our theoretical simulations of the polarization dependence at 514.5 nm and 785 nm are in good agreement with the experimental results.

  9. Direct assessment of p-n junctions in single GaN nanowires by Kelvin probe force microscopy

    Science.gov (United States)

    Minj, Albert; Cros, Ana; Auzelle, Thomas; Pernot, Julien; Daudin, Bruno

    2016-09-01

    Making use of Kelvin probe force microscopy, in dark and under ultraviolet illumination, we study the characteristics of p-n junctions formed along the axis of self-organized GaN nanowires (NWs). We map the contact potential difference of the single NW p-n junctions to locate the space charge region and directly measure the depletion width and the junction voltage. Simulations indicate a shrinkage of the built-in potential for NWs with small diameter due to surface band bending, in qualitative agreement with the measurements. The photovoltage of the NW/substrate contact is studied by analyzing the response of NW segments with p- and n-type doping under illumination. Our results show that the shifts of the Fermi levels, and not the changes in surface band bending, are the most important effects under above band-gap illumination. The quantitative electrical information obtained here is important for the use of NW p-n junctions as photovoltaic or rectifying devices at the nanoscale, and is especially relevant since the technique does not require the formation of ohmic contacts to the NW junction.

  10. Dopant-free twinning superlattice formation in InSb and InP nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Yuan, Xiaoming [School of Physics and Electronics, Hunan Key Laboratory for Supermicrostructure and Ultrafast Process, Central South University, Changsha, Hunan (China); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT (Australia); Guo, Yanan; Caroff, Philippe; Tan, Hark Hoe; Jagadish, Chennupati [Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT (Australia); He, Jun [School of Physics and Electronics, Hunan Key Laboratory for Supermicrostructure and Ultrafast Process, Central South University, Changsha, Hunan (China)

    2017-11-15

    Periodic arrangement of twin planes creates a controllable polytype that can affect both the electronic and optical properties of nanowires. The approach that is most used for inducing twinning superlattice (TSL) formation in III-V nanowires is introducing impurity dopants during growth. Here, we demonstrate that controlling the growth parameters is sufficient to produce regular twinning planes in Au-catalysed InSb and InP nanowires. Our results show that TSL formation in InSb nanowires only exists in a very narrow growth window. We suggest that growth conditions induce a high concentration of In (or Sb) in the Au droplet, which plays a similar role to that of surfactant impurities such as Zn, and increases the droplet wetting angle to yield a geometry that is favorable for TSL formation. The demonstration of TSL structure in InSb and InP nanowires by controlling the input of In (or Sb) further enhances fundamental understanding of TSL formation in III-V nanowires and allows us to tune the properties of these nanowires by crystal phase engineering. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Dopant-free twinning superlattice formation in InSb and InP nanowires

    International Nuclear Information System (INIS)

    Yuan, Xiaoming; Guo, Yanan; Caroff, Philippe; Tan, Hark Hoe; Jagadish, Chennupati; He, Jun

    2017-01-01

    Periodic arrangement of twin planes creates a controllable polytype that can affect both the electronic and optical properties of nanowires. The approach that is most used for inducing twinning superlattice (TSL) formation in III-V nanowires is introducing impurity dopants during growth. Here, we demonstrate that controlling the growth parameters is sufficient to produce regular twinning planes in Au-catalysed InSb and InP nanowires. Our results show that TSL formation in InSb nanowires only exists in a very narrow growth window. We suggest that growth conditions induce a high concentration of In (or Sb) in the Au droplet, which plays a similar role to that of surfactant impurities such as Zn, and increases the droplet wetting angle to yield a geometry that is favorable for TSL formation. The demonstration of TSL structure in InSb and InP nanowires by controlling the input of In (or Sb) further enhances fundamental understanding of TSL formation in III-V nanowires and allows us to tune the properties of these nanowires by crystal phase engineering. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. An Efficient and Effective Design of InP Nanowires for Maximal Solar Energy Harvesting.

    Science.gov (United States)

    Wu, Dan; Tang, Xiaohong; Wang, Kai; He, Zhubing; Li, Xianqiang

    2017-11-25

    Solar cells based on subwavelength-dimensions semiconductor nanowire (NW) arrays promise a comparable or better performance than their planar counterparts by taking the advantages of strong light coupling and light trapping. In this paper, we present an accurate and time-saving analytical design for optimal geometrical parameters of vertically aligned InP NWs for maximal solar energy absorption. Short-circuit current densities are calculated for each NW array with different geometrical dimensions under solar illumination. Optimal geometrical dimensions are quantitatively presented for single, double, and multiple diameters of the NW arrays arranged both squarely and hexagonal achieving the maximal short-circuit current density of 33.13 mA/cm 2 . At the same time, intensive finite-difference time-domain numerical simulations are performed to investigate the same NW arrays for the highest light absorption. Compared with time-consuming simulations and experimental results, the predicted maximal short-circuit current densities have tolerances of below 2.2% for all cases. These results unambiguously demonstrate that this analytical method provides a fast and accurate route to guide high performance InP NW-based solar cell design.

  13. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  14. Synthesis and electrical characterization of vertically-aligned ZnO–CuO hybrid nanowire p–n junctions

    International Nuclear Information System (INIS)

    Pukird, Supakorn; Song, Wooseok; Noothongkaew, Suttinart; Kim, Seong Ku; Min, Bok Ki; Kim, Seong Jun; Kim, Ki Woong; Myung, Sung; An, Ki-Seok

    2015-01-01

    Highlights: • Vertically-aligned ZnO–CuO hybrid nanowire arrays were synthesized by a two-step thermal chemical vapor deposition process. • The diameter of parallel-connected ZnO and CuO NWs were estimated to be 146 ± 12 nm and 55 ± 11 nm, respectively, and the formation of high-quality hexagonal ZnO and monoclinic CuO NWs were observed. • Clear rectifying behavior related with thermionic emission of carriers and the presence of an electrical potential barrier between the ZnO and CuO NWs were observed. - Abstract: In order to form nanowire (NW)-based p–n junctions, vertically-aligned ZnO–CuO hybrid NW arrays were synthesized by a two-step thermal chemical vapor deposition process. The diameter of parallel-connected ZnO and CuO NWs were estimated to be 146 ± 12 nm and 55 ± 11 nm, respectively, as observed by scanning electron microscopy. Chemical and structural characterizations of ZnO–CuO hybrid NW arrays were performed using X-ray photoelectron spectroscopy and X-ray diffraction, resulting in the formation of high-quality hexagonal ZnO and monoclinic CuO NWs. The temperature dependence of I–V curves and impedance spectra suggested that clear rectifying behavior related with thermionic emission of carriers and the presence of an electrical potential barrier between the ZnO and CuO NWs

  15. Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication

    Science.gov (United States)

    Wang, Deli; Soci, Cesare; Bao, Xinyu; Wei, Wei; Jing, Yi; Sun, Ke

    2015-01-13

    Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures. An array of Group III-V nanowire structures is embedded in polymer. A fabrication method forms the vertical nanowires on a substrate, e.g., a silicon substrate. Preferably, the nanowires are formed by the preferred methods for fabrication of Group III-V nanowires on silicon. Devices can be formed with core/shell and core/multi-shell nanowires and the devices are released from the substrate upon which the nanowires were formed to create a flexible structure that includes an array of vertical nanowires embedded in polymer.

  16. Fabrication of vertical nanowire resonators for aerosol exposure assessment

    Science.gov (United States)

    Merzsch, Stephan; Wasisto, Hutomo Suryo; Stranz, Andrej; Hinze, Peter; Weimann, Thomas; Peiner, Erwin; Waag, Andreas

    2013-05-01

    Vertical silicon nanowire (SiNW) resonators are designed and fabricated in order to assess exposure to aerosol nanoparticles (NPs). To realize SiNW arrays, nanolithography and inductively coupled plasma (ICP) deep reactive ion etching (DRIE) at cryogenic temperature are utilized in a top-down fabrication of SiNW arrays which have high aspect ratios (i.e., up to 34). For nanolithography process, a resist film thickness of 350 nm is applied in a vacuum contact mode to serve as a mask. A pattern including various diameters and distances for creating pillars is used (i.e., 400 nm up to 5 μm). In dry etching process, the etch rate is set high of 1.5 μm/min to avoid underetching. The etch profiles of Si wires can be controlled aiming to have either perpendicularly, negatively or positively profiled sidewalls by adjusting the etching parameters (e.g., temperature and oxygen content). Moreover, to further miniaturize the wire, multiple sacrificial thermal oxidations and subsequent oxide stripping are used yielding SiNW arrays of 650 nm in diameter and 40 μm in length. In the resonant frequency test, a piezoelectric shear actuator is integrated with the SiNWs inside a scanning electron microscope (SEM) chamber. The observation of the SiNW deflections are performed and viewed from the topside of the SiNWs to reduce the measurement redundancy. Having a high deflection of ~10 μm during its resonant frequency of 452 kHz and a low mass of 31 pg, the proposed SiNW is potential for assisting the development of a portable aerosol resonant sensor.

  17. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    Science.gov (United States)

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  18. Electrostatic potential in a bent piezoelectric nanowire with consideration of size-dependent piezoelectricity and semiconducting characterization.

    Science.gov (United States)

    Wang, Kaifa; Wang, Baolin

    2018-03-26

    Determining the electric potential in a bent piezoelectric nanowire (NW) is a fundamental issue of nanogenerators and nanopiezotronics. The combined influence of the flexoelectric effect, the semiconducting performance and the angle of atomic force microscope (AFM) tip has never been studied previously and will be investigated in this paper. The exact solution for the electric potential of a bent piezoelectric semiconductor NW is derived. The electric potential of the present model with consideration of flexoelectric effect varies along the length of the NW and is different from that of the classical piezoelectric model. Flexoelectric effect enhances but the semiconducting performance reduces the electric potential of the NW. In addition, it is found that if the angle of the AFM tip reaches 30 degrees, the error of the electric potential obtained from the model ignored the effect of the angle of the AFM tip is almost 16%, which is unacceptable. © 2018 IOP Publishing Ltd.

  19. In situ electron backscattered diffraction of individual GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Prikhodko, S.V. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)], E-mail: sergey@seas.ucla.edu; Sitzman, S. [Oxford Instruments America, Concord, MA 01742 (United States); Gambin, V. [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Kodambaka, S. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2008-12-15

    We suggest and demonstrate that electron backscattered diffraction, a scanning electron microscope-based technique, can be used for non-destructive structural and morphological characterization of statistically significant number of nanowires in situ on their growth substrate. We obtain morphological, crystal phase, and crystal orientation information of individual GaAs nanowires in situ on the growth substrate GaAs(1 1 1) B. Our results, verified using transmission electron microscopy and selected area electron diffraction analyses of the same set of wires, indicate that most wires possess a wurtzite structure with a high density of thin structural defects aligned normal to the wire growth axis, while others grow defect-free with a zincblende structure. The demonstrated approach is general, applicable to other material systems, and is expected to provide important insights into the role of substrate structure on nanowire structure on nanowire crystallinity and growth orientation.

  20. Mechanical responses of a-axis GaN nanowires under axial loads

    Science.gov (United States)

    Wang, R. J.; Wang, C. Y.; Feng, Y. T.; Tang, Chun

    2018-03-01

    Gallium nitride (GaN) nanowires (NWs) hold technological significance as functional components in emergent nano-piezotronics. However, the examination of their mechanical responses, especially the mechanistic understanding of behavior beyond elasticity (at failure) remains limited due to the constraints of in situ experimentation. We therefore performed simulations of the molecular dynamics (MD) of the mechanical behavior of [1\\bar{2}10]-oriented GaN NWs subjected to tension or compression loading until failure. The mechanical properties and critical deformation processes are characterized in relation to NW sizes and loading conditions. Detailed examinations revealed that the failure mechanisms are size-dependent and controlled by the dislocation mobility on shuffle-set pyramidal planes. The size dependence of the elastic behavior is also examined in terms of the surface structure determined modification of Young’s modulus. In addition, a comparison with c-axis NWs is made to show how size-effect trends vary with the growth orientation of NWs.