WorldWideScience

Sample records for multicrystalline silicon grown

  1. Interactions of structural defects with metallic impurities in multicrystalline silicon

    International Nuclear Information System (INIS)

    McHugo, S.A.; Thompson, A.C.; Hieslmair, H.

    1997-01-01

    Multicrystalline silicon is one of the most promising materials for terrestrial solar cells. It is critical to getter impurities from the material as well as inhibit contamination during growth and processing. Standard processing steps such as, phosphorus in-diffusion for p-n junction formation and aluminum sintering for backside ohmic contact fabrication, intrinsically possess gettering capabilities. These processes have been shown to improve L n values in regions of multicrystalline silicon with low structural defect densities but not in highly dislocated regions. Recent Deep Level Transient Spectroscopy (DLTS) results indirectly reveal higher concentrations of iron in highly dislocated regions while further work suggests that the release of impurities from structural defects, such as dislocations, is the rate limiting step for gettering in multicrystalline silicon. The work presented here directly demonstrates the relationship between metal impurities, structural defects and solar cell performance in multicrystalline silicon. Edge-defined Film-fed Growth (EFG) multicrystalline silicon in the as-grown state and after full solar cell processing was used in this study. Standard solar cell processing steps were carried out at ASE Americas Inc. Metal impurity concentrations and distributions were determined by use of the x-ray fluorescence microprobe (beamline 10.3.1) at the Advanced Light Source, Lawrence Berkeley National Laboratory. The sample was at atmosphere so only elements with Z greater than silicon could be detected, which includes all metal impurities of interest. Structural defect densities were determined by preferential etching and surface analysis using a Scanning Electron Microscope (SEM) in secondary electron mode. Mapped areas were exactly relocated between the XRF and SEM to allow for direct comparison of impurity and structural defect distributions

  2. Overview of phosphorus diffusion and gettering in multicrystalline silicon

    International Nuclear Information System (INIS)

    Bentzen, A.; Holt, A.

    2009-01-01

    This paper gives an overview of phosphorus emitter diffusion and gettering as experienced in multicrystalline silicon solar cell processing. The paper gives a brief summary of the diffusion properties of phosphorus in silicon, explaining the nature behind the characteristic kink-and-tail profiles often encountered in silicon solar cells. Then, phosphorus diffusion gettering is discussed with particular focus to the inhomogeneous nature of multicrystalline silicon, and it is discussed how the abundant presence of dislocations in the areas of the material having a low recombination lifetime can cause only minor lifetime enhancements in such areas upon phosphorus diffusion. Attributed to dissociation of precipitated impurities in combination with longer effective diffusion lengths of the impurities, it is then seen that even poor areas of multicrystalline can exhibit a noticeable improvement by phosphorus diffusion gettering when applying a lower diffusion temperature for a longer duration.

  3. A comparison of gettering in single- and multicrystalline silicon for solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B.L. [National Renewable Energy Lab., Golden, CO (United States); Jastrzebski, L.; Tan, T.

    1996-05-01

    The differences in the impurity gettering between single and multicrystalline silicon are discussed. These differences arise from impurity-defect interactions that occur during thermal processing of multicrystalline material. A gettering model is proposed to explain the observed behaviour of gettering in multicrystalline cells.

  4. Reduction of absorption loss in multicrystalline silicon via combination of mechanical grooving and porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ben Rabha, Mohamed; Mohamed, Seifeddine Belhadj; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2011-03-15

    Surface texturing of silicon wafer is a key step to enhance light absorption and to improve the solar cell performances. While alkaline-texturing of single crystalline silicon wafers was well established, no efficient chemical solution has been successfully developed for multicrystalline silicon wafers. Thus, the use of alternative new methods for effective texturization of multicrystalline silicon is worth to be investigated. One of the promising texturing techniques of multicrystalline silicon wafers is the use of mechanical grooves. However, most often, physical damages occur during mechanical grooves of the wafer surface, which in turn require an additional step of wet processing-removal damage. Electrochemical surface treatment seems to be an adequate solution for removing mechanical damage throughout porous silicon formation. The topography of untreated and porous silicon-treated mechanically textured surface was investigated using scanning electron microscopy (SEM). As a result of the electrochemical surface treatment, the total reflectivity drops to about 5% in the 400-1000 nm wavelength range and the effective minority carrier diffusion length enhances from 190 {mu}m to about 230 {mu}m (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Precipitated iron. A limit on gettering efficacy in multicrystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Fenning, D.P.; Hofstetter, J.; Bertoni, M.I.; Buonassisi, T. [Massachusetts Institute of Technology MIT, Cambridge, Massachusetts 02139 (United States); Coletti, G. [ECN Solar Energy, Westerduinweg 3, NL-1755 LE Petten (Netherlands); Lai, B. [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Del Canizo, C. [Instituto de Energia Solar, Universidad Politecnica de Madrid, 28040 Madrid (Spain)

    2013-01-31

    A phosphorus diffusion gettering model is used to examine the efficacy of a standard gettering process on interstitial and precipitated iron in multicrystalline silicon. The model predicts a large concentration of precipitated iron remaining after standard gettering for most as-grown iron distributions. Although changes in the precipitated iron distribution are predicted to be small, the simulated post-processing interstitial iron concentration is predicted to depend strongly on the as-grown distribution of precipitates, indicating that precipitates must be considered as internal sources of contamination during processing. To inform and validate the model, the iron distributions before and after a standard phosphorus diffusion step are studied in samples from the bottom, middle, and top of an intentionally Fe-contaminated laboratory ingot. A census of iron-silicide precipitates taken by synchrotron-based X-ray fluorescence microscopy confirms the presence of a high density of iron-silicide precipitates both before and after phosphorus diffusion. A comparable precipitated iron distribution was measured in a sister wafer after hydrogenation during a firing step. The similar distributions of precipitated iron seen after each step in the solar cell process confirm that the effect of standard gettering on precipitated iron is strongly limited as predicted by simulation. Good agreement between the experimental and simulated data supports the hypothesis that gettering kinetics is governed by not only the total iron concentration but also by the distribution of precipitated iron. Finally, future directions based on the modeling are suggested for the improvement of effective minority carrier lifetime in multicrystalline silicon solar cells.

  6. Metal impurities profile in a 450kg multi-crystalline silicon ingot by Cold Neutron Prompt Gamma-ray Activation Analysis

    International Nuclear Information System (INIS)

    Baek, Hani; Sun, Gwang Min; Kim, Ji seok; Oh, Mok; Chung, Yong Sam; Moon, Jong Hwa; Kim, Sun Ha; Baek, Sung Yeol; Tuan, Hoang Sy Minh

    2014-01-01

    Metal impurities are harmful to multi-crystalline silicon solar cells. They reduce solar cell conversion efficiencies through increased carrier recombination. They are present as isolated point-like impurities or precipitates. This work is to study the concentration profiles of some metal impurities of the directionally solidified 450kg multi-crystalline silicon ingot grown for solar cell production. The concentration of such impurities are generally below 10 15 cm -3 , and as such cannot be detected by physical techniques such as secondary-ion-mass spectroscopy(SIMS). So, we have tried to apply Cold Neutron - Prompt Gamma ray Activation Analysis(CN-PGAA) at the HANARO reactor research. The impurity concentrations of Au, Mn, Pt, Mo of a photovoltaic grade multi-crystalline silicon ingot appear by segregation from the liquid to the solid phase in the central region of the ingot during the crystallization. In the impurities concentration of the bottom region is higher than middle region due to the solid state diffusion. Towards the top region the segregation impurities diffused, during cooling process

  7. Grooving of grain boundaries in multicrystalline silicon: Effect on solar cell performance

    International Nuclear Information System (INIS)

    Dimassi, W.; Bouaicha, M.; Nouri, H.; Boujmil, M.F.; Ben Nasrallah, S.; Bessais, B.

    2006-01-01

    In this work, we investigate the effect of grooving of grain boundaries (GB) in multicrystalline silicon using chemical etching in HF/HNO 3 solutions. The grain boundaries were grooved in order to reduce the area of these highly recombining regions. Using optimized conditions, grooved GBs enable deep phosphorus diffusion and deep metallic contacts. As a result, the internal quantum efficiency (IQE), and the I-V characteristics under the dark and AM1.5 illumination were improved. It was also observed a reduction of the GB recombination velocity, which was deduced from light-beam-induced-current (LBIC) measurements. Such grooving in multicrystalline silicon enables passivation of GB-related defects. These results are discussed and compared to solar cells based on untreated multicrystalline silicon wafers

  8. The rate-limiting mechanism of transition metal gettering in multicrystalline silicon

    International Nuclear Information System (INIS)

    McHugo, S.A.; Thompson, A.C.; Imaizumi, M.

    1997-01-01

    Multicrystalline silicon is a very interesting material for terrestrial solar cells. Its low cost and respectable energy conversion efficiency (12-15%) makes it arguably the most cost competitive material for large-volume solar power generation. However, the solar cell efficiency of this material is severely degraded by regions of high minority carrier recombination which have been shown to possess both dislocations and microdefects. These structural defects are known to increase in recombination activity with transition metal decoration. Therefore, gettering of metal impurities from the material would be expected to greatly enhance solar cell performance. Contrary to this rationale, experiments using frontside phosphorus and/or backside aluminum treatments have been found to improve regions with low recombination activity while having little or no effect on the high recombination regions and in turn only slightly improving the overall cell performance. The goal of this research is to determine the mechanism by which gettering is ineffectual on these high recombination regions. The authors have performed studies on integrated circuit (IC) quality single crystal and multicrystalline solar cell silicon (mc-silicon) in the as-grown state and after a variety of processing/gettering steps. With Surface Photovoltage measurements of the minority carrier diffusion length which is inversely proportional to carrier recombination, they have seen that aluminum gettering is effective for improving IC quality material but ineffective for improving the regions of initially low diffusion lengths (high recombination rates) in mc-silicon. Of particular interest is the great increase in diffusion length for IC material as compared to the mc-silicon. Clearly the IC material has benefited to a greater extent from the gettering procedure than the mc-silicon

  9. Double side multicrystalline silicon passivation by one step stain etching-based porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mohamed, Seifeddine Belhadj; Ben Rabha, Mohamed; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    In this paper, we investigate the effect of stain etching-based porous silicon on the double side multicrystalline silicon. Special attention is given to the use of the stain etched PS as an antireflection coating as well as for surface passivating capabilities. Stain etching of double side multicrystalline silicon leads to the formation of PS nanostructures, that dramatically decrease the surface reflectivity from 30% to about 7% and increase the effective lifetime from 1 {mu}s to 10 {mu}s at a minority carrier density ({Delta}n) of 10{sup 15} cm{sup -3}. These results let us correlate the rise of the lifetime values to the photoluminescence intensity to the hydrogen and oxide passivation as shown by FTIR analysis. This low-cost PS formation process can be applied in the photovoltaic cell technology as a standard procedure (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Increasing minority carrier lifetime in as-grown multicrystalline silicon by low temperature internal gettering

    Energy Technology Data Exchange (ETDEWEB)

    Al-Amin, M., E-mail: m.al-amin@warwick.ac.uk; Murphy, J. D., E-mail: john.d.murphy@warwick.ac.uk [School of Engineering, University of Warwick, Coventry, CV4 7AL (United Kingdom)

    2016-06-21

    We report a systematic study into the effects of long low temperature (≤500 °C) annealing on the lifetime and interstitial iron distributions in as-grown multicrystalline silicon (mc-Si) from different ingot height positions. Samples are characterised in terms of dislocation density, and lifetime and interstitial iron concentration measurements are made at every stage using a temporary room temperature iodine-ethanol surface passivation scheme. Our measurement procedure allows these properties to be monitored during processing in a pseudo in situ way. Sufficient annealing at 300 °C and 400 °C increases lifetime in all cases studied, and annealing at 500 °C was only found to improve relatively poor wafers from the top and bottom of the block. We demonstrate that lifetime in poor as-grown wafers can be improved substantially by a low cost process in the absence of any bulk passivation which might result from a dielectric surface film. Substantial improvements are found in bottom wafers, for which annealing at 400 °C for 35 h increases lifetime from 5.5 μs to 38.7 μs. The lifetime of top wafers is improved from 12.1 μs to 23.8 μs under the same conditions. A correlation between interstitial iron concentration reduction and lifetime improvement is found in these cases. Surprisingly, although the interstitial iron concentration exceeds the expected solubility values, low temperature annealing seems to result in an initial increase in interstitial iron concentration, and any subsequent decay is a complex process driven not only by diffusion of interstitial iron.

  11. Preventing light-induced degradation in multicrystalline silicon

    Science.gov (United States)

    Lindroos, J.; Boulfrad, Y.; Yli-Koski, M.; Savin, H.

    2014-04-01

    Multicrystalline silicon (mc-Si) is currently dominating the silicon solar cell market due to low ingot costs, but its efficiency is limited by transition metals, extended defects, and light-induced degradation (LID). LID is traditionally associated with a boron-oxygen complex, but the origin of the degradation in the top of the commercial mc-Si brick is revealed to be interstitial copper. We demonstrate that both a large negative corona charge and an aluminum oxide thin film with a built-in negative charge decrease the interstitial copper concentration in the bulk, preventing LID in mc-Si.

  12. Electron-beam-induced current study of small-angle grain boundaries in multicrystalline silicon

    International Nuclear Information System (INIS)

    Chen, J.; Sekiguchi, T.; Xie, R.; Ahmet, P.; Chikyo, T.; Yang, D.; Ito, S.; Yin, F.

    2005-01-01

    Recombination activity of small-angle grain boundaries (SA GBs) in multicrystalline silicon (mc-Si) was studied by means of electron-beam-induced current (EBIC) technique. In the as-grown mc-Si, the EBIC contrasts of special Σ and random GBs were weak at both 300 and 100 K, whereas those of SA GBs were weak (<3%) at 300 K and strong (30-40%) at 100 K. In the contaminated mc-Si, SA GBs showed stronger EBIC contrast than Σ and R GBs at 300 K. It is indicated that SA GBs possess high density of shallow levels and are easily contaminated with Fe compared to other GBs

  13. Low-temperature grown indium oxide nanowire-based antireflection coatings for multi-crystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Yu-Cian; Chen, Chih-Yao; Chen, I Chen [Institute of Materials Science and Engineering, National Central University, Taoyuan (China); Kuo, Cheng-Wen; Kuan, Ta-Ming; Yu, Cheng-Yeh [TSEC Corporation, Hsinchu (China)

    2016-08-15

    Light harvesting by indium oxide nanowires (InO NWs) as an antireflection layer on multi-crystalline silicon (mc-Si) solar cells has been investigated. The low-temperature growth of InO NWs was performed in electron cyclotron resonance (ECR) plasma with an O{sub 2}-Ar system using indium nanocrystals as seed particles via the self-catalyzed growth mechanism. The size-dependence of antireflection properties of InO NWs was studied. A considerable enhancement in short-circuit current (from 35.39 to 38.33 mA cm{sup -2}) without deterioration of other performance parameters is observed for mc-Si solar cells coated with InO NWs. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Application of CBD-Zinc Sulfide Film as an Antireflection Coating on Very Large Area Multicrystalline Silicon Solar Cell

    Directory of Open Access Journals (Sweden)

    U. Gangopadhyay

    2007-01-01

    Full Text Available The low-cost chemical bath deposition (CBD technique is used to prepare CBD-ZnS films as antireflective (AR coating for multicrystalline silicon solar cells. The uniformity of CBD-ZnS film on large area of textured multicrystalline silicon surface is the major challenge of CBD technique. In the present work, attempts have been made for the first time to improve the rate of deposition and uniformity of deposited film by controlling film stoichiometry and refractive index and also to minimize reflection loss by proper optimization of molar percentage of different chemical constituents and deposition conditions. Reasonable values of film deposition rate (12.13 Å′/min., good film uniformity (standard deviation <1, and refractive index (2.35 along with a low percentage of average reflection (6-7% on a textured mc-Si surface are achieved with proper optimization of ZnS bath. 12.24% efficiency on large area (125 mm × 125 mm multicrystalline silicon solar cells with CBD-ZnS antireflection coating has been successfully fabricated. The viability of low-cost CBD-ZnS antireflection coating on large area multicrystalline silicon solar cell in the industrial production level is emphasized.

  15. Electrical properties improvement of multicrystalline silicon solar cells using a combination of porous silicon and vanadium oxide treatment

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2013-01-01

    In this paper, we will report the enhancement of the conversion efficiency of multicrystalline silicon solar cells after coating the front surface with a porous silicon layer treated with vanadium oxide. The incorporation of vanadium oxide into the porous silicon (PS) structure, followed by a thermal treatment under oxygen ambient, leads to an important decrease of the surface reflectivity, a significant enhancement of the effective minority carrier lifetime (τ eff ) and a significant enhancement of the photoluminescence (PL) of the PS structure. We Obtained a noticeable increase of (τ eff ) from 3.11 μs to 134.74 μs and the surface recombination velocity (S eff ) have decreased from 8441 cm s −1 to 195 cm s −1 . The reflectivity spectra of obtained films, performed in the 300–1200 nm wavelength range, show an important decrease of the average reflectivity from 40% to 5%. We notice a significant improvement of the internal quantum efficiency (IQE) in the used multicrystalline silicon substrates. Results are analyzed and compared to those carried out on a reference (untreated) sample. The electrical properties of the treated silicon solar cells were improved noticeably as regard to the reference (untreated) sample.

  16. Application of CBD-Zinc Sulfide Film as an Antireflection Coating on Very Large Area Multicrystalline Silicon Solar Cell

    OpenAIRE

    U. Gangopadhyay; K. Kim; S. K. Dhungel; H. Saha; J. Yi

    2007-01-01

    The low-cost chemical bath deposition (CBD) technique is used to prepare CBD-ZnS films as antireflective (AR) coating for multicrystalline silicon solar cells. The uniformity of CBD-ZnS film on large area of textured multicrystalline silicon surface is the major challenge of CBD technique. In the present work, attempts have been made for the first time to improve the rate of deposition and uniformity of deposited film by controlling film stoichiometry and refractive index and also to minimize...

  17. Hydrogen passivation of multi-crystalline silicon solar cells

    Institute of Scientific and Technical Information of China (English)

    胡志华; 廖显伯; 刘祖明; 夏朝凤; 陈庭金

    2003-01-01

    The effects of hydrogen passivation on multi-crystalline silicon (mc-Si) solar cells are reported in this paper.Hydrogen plasma was generated by means of ac glow discharge in a hydrogen atmosphere. Hydrogen passivation was carried out with three different groups of mc-Si solar cells after finishing contacts. The experimental results demonstrated that the photovoltaic performances of the solar cell samples have been improved after hydrogen plasma treatment, with a relative increase in conversion efficiency up to 10.6%. A calculation modelling has been performed to interpret the experimental results using the model for analysis of microelectronic and photonic structures developed at Pennsylvania State University.

  18. Multi-crystalline II-VI based multijunction solar cells and modules

    Science.gov (United States)

    Hardin, Brian E.; Connor, Stephen T.; Groves, James R.; Peters, Craig H.

    2015-06-30

    Multi-crystalline group II-VI solar cells and methods for fabrication of same are disclosed herein. A multi-crystalline group II-VI solar cell includes a first photovoltaic sub-cell comprising silicon, a tunnel junction, and a multi-crystalline second photovoltaic sub-cell. A plurality of the multi-crystalline group II-VI solar cells can be interconnected to form low cost, high throughput flat panel, low light concentration, and/or medium light concentration photovoltaic modules or devices.

  19. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    OpenAIRE

    Cheng, Yuang-Tung; Ho, Jyh-Jier; Lee, William J.; Tsai, Song-Yeu; Lu, Yung-An; Liou, Jia-Jhe; Chang, Shun-Hsyung; Wang, Kang L.

    2010-01-01

    The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si) wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD). The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been d...

  20. Gettering effect in grain boundaries of multi-crystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Nouri, H.; Bouaicha, M.; Ben Rabha, M.; Bessais, B. [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia)

    2012-10-15

    In this work, we analyze the effect of three gettering procedures on the variation of the grain boundaries (GBs) defect density in multicrystalline silicon (mc-Si). The effective defect density (N{sup B}) was calculated using a theoretical model where we consider the potential barrier induced by the GB as being due to structural defects and impurities. Results are compared to those obtained from C-V measurements. The potential barrier was evaluated from the dark current-voltage (I-V) characteristic performed across the GB. In addition to the Rapid Thermal Annealing (RTA), we use aluminum (Al) in the first gettering procedure, in the second we use porous silicon (PS), whereas in the third one, we realize a chemical damage (grooving). Mc-Si wafers were annealed in an infrared furnace in the same conditions, at temperatures ranging from 600 C to 1000 C (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Influence of stain etching on low minority carrier lifetime areas of multicrystalline silicon for solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Montesdeoca-Santana, A. [Departamento de Fisica Basica, Universidad de La Laguna, Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain); Fraunhofer Institute for Solar Energy Systems, Laboratory and Servicecenter Gelsenkirchen, Auf der Reihe 2, 45884 Gelsenkirchen (Germany); Gonzalez-Diaz, B. [Departamento de Fisica Basica, Universidad de La Laguna, Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain); Departamento de Energia Fotovoltaica, Instituto Tecnologico y de Energias Renovables. Poligono Industrial de Granadilla s/n, 38600 San Isidro-Granadilla de Abona (Spain); Jimenez-Rodriguez, E. [Departamento de Fisica Basica, Universidad de La Laguna, Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain); Ziegler, J. [Fraunhofer Institute for Solar Energy Systems, Laboratory- and Servicecenter Gelsenkirchen. Auf der Reihe 2, 45884 Gelsenkirchen (Germany); Velazquez, J.J. [Departamento de Fisica Fundamental y Experimental, Electronica y Sistemas, Universidad de La Laguna. Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain); Hohage, S.; Borchert, D. [Fraunhofer Institute for Solar Energy Systems, Laboratory and Servicecenter Gelsenkirchen. Auf der Reihe 2, 45884 Gelsenkirchen (Germany); Guerrero-Lemus, R., E-mail: rglemus@ull.es [Departamento de Fisica Basica, Universidad de La Laguna, Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain)

    2011-11-15

    Highlights: > An enhanced minority carrier lifetime at extended defects in multicrystalline silicon is observed with the use of HF/HNO{sub 3} stain etching to texture the surface. > FTIR analysis shows no influence of oxide passivation in this effect. > SEM images show a preferential etching at extended defects suggesting smoothing at defects as one of the causes for the reduced recombination activity. > LBIC images show a reduction in IQE at extended defects in HF/HNO{sub 3} textured multicrystalline solar cells. - Abstract: In this work the use of HF/HNO{sub 3} solutions for texturing silicon-based solar cell substrates by stain etching and the influence of texturing on minority carrier lifetimes are studied. Stain etching is currently used to decrease the reflectance and, subsequently improve the photogenerated current of the cells, but also produces nanostructures on the silicon surface. In the textured samples it has been observed that an improvement on the minority carrier lifetime with respect to the samples treated with a conventional saw damage etching process is produced on grain boundaries and defects, and the origin of this effect has been discussed.

  2. Influence of stain etching on low minority carrier lifetime areas of multicrystalline silicon for solar cells

    International Nuclear Information System (INIS)

    Montesdeoca-Santana, A.; Gonzalez-Diaz, B.; Jimenez-Rodriguez, E.; Ziegler, J.; Velazquez, J.J.; Hohage, S.; Borchert, D.; Guerrero-Lemus, R.

    2011-01-01

    Highlights: → An enhanced minority carrier lifetime at extended defects in multicrystalline silicon is observed with the use of HF/HNO 3 stain etching to texture the surface. → FTIR analysis shows no influence of oxide passivation in this effect. → SEM images show a preferential etching at extended defects suggesting smoothing at defects as one of the causes for the reduced recombination activity. → LBIC images show a reduction in IQE at extended defects in HF/HNO 3 textured multicrystalline solar cells. - Abstract: In this work the use of HF/HNO 3 solutions for texturing silicon-based solar cell substrates by stain etching and the influence of texturing on minority carrier lifetimes are studied. Stain etching is currently used to decrease the reflectance and, subsequently improve the photogenerated current of the cells, but also produces nanostructures on the silicon surface. In the textured samples it has been observed that an improvement on the minority carrier lifetime with respect to the samples treated with a conventional saw damage etching process is produced on grain boundaries and defects, and the origin of this effect has been discussed.

  3. Combination of silicon nitride and porous silicon induced optoelectronic features enhancement of multicrystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Rabha, Mohamed Ben; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2011-06-15

    The effects of antireflection (ARC) and surface passivation films on optoelectronic features of multicrystalline silicon (mc-Si) were investigated in order to perform high efficiency solar cells. A double layer consisting of Plasma Enhanced Chemical Vapor Deposition (PECVD) of silicon nitride (SiN{sub x}) on porous silicon (PS) was achieved on mc-Si surfaces. It was found that this treatment decreases the total surface reflectivity from about 25% to around 6% in the 450-1100 nm wavelength range. As a result, the effective minority carrier diffusion length, estimated from the Laser-beam-induced current (LBIC) method, was found to increase from 312 {mu}m for PS-treated cells to about 798 {mu}m for SiN{sub x}/PS-treated ones. The deposition of SiN{sub x} was found to impressively enhance the minority carrier diffusion length probably due to hydrogen passivation of surface, grain boundaries and bulk defects. Fourier Transform Infrared Spectroscopy (FTIR) shows that the vibration modes of the highly suitable passivating Si-H bonds exhibit frequency shifts toward higher wavenumber, depending on the x ratio of the introduced N atoms neighbors. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Low-cost multicrystalline back-contact silicon solar cells with screen printed metallization

    International Nuclear Information System (INIS)

    Neu, W.; Kress, A.; Jooss, W.; Fath, P.; Bucher, E.

    2002-01-01

    Adaptation to market requirements is a permanent challenge in industrial solar-cell production. Both increase of cell efficiency as well as lowering costs is demanded. Back-contacted solar cells offer multiple advantages in terms of reducing module assembling costs and enhanced cell efficiency. The investigated emitter-wrap-through (EWT) design [1] has a collecting emitter on front and rear side. These emitter areas are electrically connected by small holes. Due to the double-sided collecting junction, this cell design is favourable for materials with a low-minority charge carrier diffusion length leading to a higher short circuit current density. Until now most investigations on EWT solar cells were performed on Cz or even FZ silicon. This was justified as long as different processing techniques had to be developed and compared. But as an industrially applicable process sequence has recently been developed [2], the advantages of the EWT concept compared to conventionally processed cells have to be shown on multicrystalline material. In the following, a manufacturing process of EWT solar cells is presented which is especially adapted to the requirements of multicrystalline silicon. Effective surface texturization was reached by mechanical V-texturization and bulk passivation by a hydrogen plasma treatment. The efficiency of the best solar cells within this process reached 14.2% which is the highest efficiency reported so far for mc-Si 10x10 cm 2 EWT solar cells [3]. (author)

  5. Effect of annealing temperature on the thermal stress and dislocation density of mc-Si ingot grown by DS process for solar cell application

    Science.gov (United States)

    Sanmugavel, S.; Srinivasan, M.; Aravinth, K.; Ramasamy, P.

    2018-04-01

    90% of the solar industries are using crystalline silicon. Cost wise the multi-crystalline silicon solar cells are better compared to mono crystalline silicon. But because of the presence of grain boundaries, dislocations and impurities, the efficiency of the multi-crystalline silicon solar cells is lower than that of mono crystalline silicon solar cells. By reducing the defect and dislocation we can achieve high conversion efficiency. The velocity of dislocation motion increases with stress. By annealing the grown ingot at proper temperature we can decrease the stress and dislocation. Our simulation results show that the value of stress and dislocation density is decreased by annealing the grown ingot at 1400K and the input parameters can be implemented in real system to grow a better mc-Si ingot for energy harvesting applications.

  6. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Yuang-Tung Cheng

    2010-01-01

    Full Text Available The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD. The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been discussed in this research. Using our optimal acid etching solution ratio, we are able to fabricate mc-Si solar cells of 16.34% conversion efficiency with double layers silicon nitride (Si3N4 coating. From our experiment, we find that depositing double layers silicon nitride coating on mc-Si solar cells can get the optimal performance parameters. Open circuit (Voc is 616 mV, short circuit current (Jsc is 34.1 mA/cm2, and minority carrier diffusion length is 474.16 μm. The isotropic texturing and silicon nitride layers coating approach contribute to lowering cost and achieving high efficiency in mass production.

  7. Passivation properties of alumina for multicrystalline silicon nanostructure prepared by spin-coating method

    Science.gov (United States)

    Jiang, Ye; Shen, Honglie; Yang, Wangyang; Zheng, Chaofan; Tang, Quntao; Yao, Hanyu; Raza, Adil; Li, Yufang; Huang, Chunlai

    2018-02-01

    In this paper, we report passivation properties of inverted pyramidal nanostructure based multi-crystalline silicon (mc-Si) by Al2O3 films with spin-coating method. Precursors AlCl3 and Al(acac)3 for Al2O3 films were chosen for comparison. Al2O3/SiO x stacks were found to be able to passivate the nanostructured surface well. With the number of spin-coating up to five, the Al2O3 films could conformally attach the nanostructure. The weighted average reflectance values (ranging from 400-900 nm) of the passivated silicon surface could be reduced to 10.74% (AlCl3) and 11.12% (Al(acac)3), and the effective carrier lifetime could reach 7.84 and 16.98 μs, respectively. This work presented a potential process to fabricate low cost high efficiency mc-Si solar cells.

  8. Silicon nanowire-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Stelzner, Th; Pietsch, M; Andrae, G; Falk, F; Ose, E; Christiansen, S [Institute of Photonic Technology, Albert-Einstein-Strasse 9, D-07745 Jena (Germany)], E-mail: thomas.stelzner@ipht-jena.de

    2008-07-23

    The fabrication of silicon nanowire-based solar cells on silicon wafers and on multicrystalline silicon thin films on glass is described. The nanowires show a strong broadband optical absorption, which makes them an interesting candidate to serve as an absorber in solar cells. The operation of a solar cell is demonstrated with n-doped nanowires grown on a p-doped silicon wafer. From a partially illuminated area of 0.6 cm{sup 2} open-circuit voltages in the range of 230-280 mV and a short-circuit current density of 2 mA cm{sup -2} were obtained.

  9. Silicon nanowire-based solar cells

    International Nuclear Information System (INIS)

    Stelzner, Th; Pietsch, M; Andrae, G; Falk, F; Ose, E; Christiansen, S

    2008-01-01

    The fabrication of silicon nanowire-based solar cells on silicon wafers and on multicrystalline silicon thin films on glass is described. The nanowires show a strong broadband optical absorption, which makes them an interesting candidate to serve as an absorber in solar cells. The operation of a solar cell is demonstrated with n-doped nanowires grown on a p-doped silicon wafer. From a partially illuminated area of 0.6 cm 2 open-circuit voltages in the range of 230-280 mV and a short-circuit current density of 2 mA cm -2 were obtained

  10. Combination of gettering and etching in multicrystalline silicon used in solar cells processing

    International Nuclear Information System (INIS)

    Dimassi, W.; Bouaicha, M.; Nouri, H.; Ben Nasrallah, S.; Bessais, B.

    2006-01-01

    Undesired impurities can be removed away from multicrystalline silicon (mc-Si) wafers by combining porous silicon (PS) formation and heat treatments. The gettering procedure used in this work is based on the formation of a PS film at both back and front sides of the mc-Si wafers, followed by a heat treatment. The latter was achieved in an infrared furnace at different temperatures and during various periods. We show that when the based material undergoes such a gettering, the electrical properties (short-circuit current, open-circuit voltage, serial and shunt resistances) and the electronic parameters (diffusion length and grain boundary recombination velocity) of the corresponding solar cells can be improved only if some regions of the wafers are etched. Compared to reference cells based on untreated wafers, the diffusion length and grain boundary recombination velocity of solar cells fabricated from gettered and etched samples was improved by about 30% and reduced by a factor of 10, respectively

  11. Enhancement of photovoltaic properties of multicrystalline silicon solar cells by combination of buried metallic contacts and thin porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ben Rabha, M.; Bessais, B. [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2010-03-15

    Photovoltaic properties of buried metallic contacts (BMCs) with and without application of a front porous silicon (PS) layer on multicrystalline silicon (mc-Si) solar cells were investigated. A Chemical Vapor Etching (CVE) method was used to perform front PS layer and BMCs of mc-Si solar cells. Good electrical performance for the mc-Si solar cells was observed after combination of BMCs and thin PS films. As a result the current-voltage (I-V) characteristics and the internal quantum efficiency (IQE) were improved, and the effective minority carrier diffusion length (Ln) increases from 75 to 110 {mu}m after BMCs achievement. The reflectivity was reduced to 8% in the 450-950 nm wavelength range. This simple and low cost technology induces a 12% conversion efficiency (surface area = 3.2 cm{sup 2}). The obtained results indicate that the BMCs improve charge carrier collection while the PS layer passivates the front surface. (author)

  12. Properties of iron-doped multicrystalline silicon grown by the float-zone technique

    Energy Technology Data Exchange (ETDEWEB)

    Ciszek, T.F.; Wang, T.H.; Ahrenkiel, R.K.; Matson, R. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    Multicrystalline Fe-doped Si ingots were float-zoned from high-purity feed rods. Fe was introduced by pill-doping, which gives uniform impurity content for small segregation coefficients (k {approximately} 10{sup {minus}5} for Fe in Si). Fe concentrations were calculated from the initial weight of the Fe pill, the molten zone geomet and the growth parameters. Values in the range of 10{sup 12}-10{sup 16} atoms/cm{sup 3} were targeted. No additional electrically active dopants were introduced. Minority charge carrier lifetime (via YAG-laser-excited, 430-MHz ultra-high-frequency-coupled, photoconductive decay) was measured on the ingots, and wafers were cut to examine grain structure and electron-beam-induced current response of grain boundaries. Observed lifetimes decreased monotonically with increasing Fe content for similar grain sizes (from {approximately}10 {mu}s to 2 {mu}s for < 10{sup {minus}3} cm{sup 2} grains, from {approximately}30 {mu}s to 2 {mu}s for {approximately}5 x 10{sup {minus}3} cm{sup 2} grains, and from {approximately}300 {mu}s to 2 {mu}s for > 10{sup {minus}2} cm{sup 2} grains) as the Fe content increased to 1 {times} 10{sup 16} atoms/cm{sup 3}.

  13. Electron-beam-induced current study of hydrogen passivation on grain boundaries in multicrystalline silicon: Influence of GB character and impurity contamination

    International Nuclear Information System (INIS)

    Chen Jun; Yang Deren; Xi Zhenqiang; Sekiguchi, Takashi

    2005-01-01

    The impacts of grain boundary (GB) character and impurity contamination level on the hydrogen passivation of GBs in multicrystalline silicon (mc-Si) were studied by means of an electron-beam-induced current (EBIC) technique. In mc-Si with a low contamination of Fe, the 300K EBIC contrast of all kinds of GBs in the H-passivated state was weak and similar to that in the as-grown state. The 100K EBIC contrast of Σ (Σ=3, 9, and 27) GBs decreased about 75-80%, whereas that of random and small-angle GBs decreased about 35-40%. Due to the different impurity gettering ability of different GBs, the variation in 100K EBIC contrast has suggested that the effect of H-passivation depends on both the GB character and impurity contamination level. In the mc-Si with heavy contamination of Fe, at both 300 and 100K, the EBIC contrast of both Σ (Σ=3) and random GBs decreased but the ratio was <40%, suggesting that the H-passivation is mainly affected by the impurity contamination level. on

  14. Technology for the large-scale production of multi-crystalline silicon solar cells and modules

    International Nuclear Information System (INIS)

    Weeber, A.W.; De Moor, H.H.C.

    1997-06-01

    In cooperation with Shell Solar Energy (formerly R and S Renewable Energy Systems) and the Research Institute for Materials of the Catholic University Nijmegen the Netherlands Energy Research Foundation (ECN) plans to develop a competitive technology for the large-scale manufacturing of solar cells and solar modules on the basis of multi-crystalline silicon. The project will be carried out within the framework of the Economy, Ecology and Technology (EET) program of the Dutch ministry of Economic Affairs and the Dutch ministry of Education, Culture and Sciences. The aim of the EET-project is to reduce the costs of a solar module by 50% by means of increasing the conversion efficiency as well as the development of cheap processes for large-scale production

  15. Texturization of diamond-wire-sawn multicrystalline silicon wafer using Cu, Ag, or Ag/Cu as a metal catalyst

    Science.gov (United States)

    Wang, Shing-Dar; Chen, Ting-Wei

    2018-06-01

    In this work, Cu, Ag, or Ag/Cu was used as a metal catalyst to study the surface texturization of diamond-wire-sawn (DWS) multi-crystalline silicon (mc-Si) wafer by a metal-assisted chemical etching (MACE) method. The DWS wafer was first etched by standard HF-HNO3 acidic etching, and it was labeled as AE-DWS wafer. The effects of ratios of Cu(NO3)2:HF, AgNO3:HF, and AgNO3:Cu(NO3)2 on the morphology of AE-DWS wafer were investigated. After the process of MACE, the wafer was treated with a NaF/H2O2 solution. In this process, H2O2 etched the nanostructure, and NaF removed the oxidation layer. The Si {1 1 1} plane was revealed by etching the wafer in a mixture of 0.03 M Cu(NO3)2 and 1 M HF at 55 °C for 2.5 min. These parallel Si {1 1 1} planes replaced some parallel saw marks on the surface of AE-DWS wafers without forming a positive pyramid or an inverted pyramid structure. The main topography of the wafer is comprised of silicon nanowires grown in direction when Ag or Ag/Cu was used as a metal catalyst. When silicon is etched in a mixed solution of Cu(NO3)2, AgNO3, HF and H2O2 at 55 °C with a concentration ratio of [Cu2+]/[Ag+] of 50 or at 65 °C with a concentration ratio of [Cu2+]/[Ag+] of 33, a quasi-inverted pyramid structure can be obtained. The reflectivity of the AE-DWS wafers treated with MACE is lower than that of the multiwire-slurry-sawn (MWSS) mc-Si wafers treated with traditional HF + HNO3 etching.

  16. Characterization of cell mismatch in a multi-crystalline silicon photovoltaic module

    International Nuclear Information System (INIS)

    Crozier, J.L.; Dyk, E.E. van; Vorster, F.J.

    2012-01-01

    In this study the causes and effects of cell mismatch were identified in a multi-crystalline silicon photovoltaic module. Different techniques were used to identify the causes of the mismatch, including Electroluminescence (EL) imaging, Infrared (IR) imaging, current–voltage (I–V) characteristics, worst-case cell determination and Large Area Laser Beam Induced Current (LA-LBIC) scans. In EL images the cracked cells, broken fingers and material defects are visible. The presence of poorly contacted cells results in the formation of hot-spots. LA-LBIC line scans give the relative photoresponse of the cells in the module. However, this technique is limited due to the penetration depth of the laser beam. The worst case cell determination compares the I–V curves of the whole module with the I–V curve of the module with one cell covered, allowing the evaluation of the performance of each cell in a series-connected string. These methods allowed detection of the poorly performing cells in the module. Using all these techniques an overall view of the photoresponse in the cells and their performance is obtained.

  17. Characterization of cell mismatch in a multi-crystalline silicon photovoltaic module

    Energy Technology Data Exchange (ETDEWEB)

    Crozier, J.L., E-mail: s207094248@live.nmmu.ac.za [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa); Dyk, E.E. van; Vorster, F.J. [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa)

    2012-05-15

    In this study the causes and effects of cell mismatch were identified in a multi-crystalline silicon photovoltaic module. Different techniques were used to identify the causes of the mismatch, including Electroluminescence (EL) imaging, Infrared (IR) imaging, current-voltage (I-V) characteristics, worst-case cell determination and Large Area Laser Beam Induced Current (LA-LBIC) scans. In EL images the cracked cells, broken fingers and material defects are visible. The presence of poorly contacted cells results in the formation of hot-spots. LA-LBIC line scans give the relative photoresponse of the cells in the module. However, this technique is limited due to the penetration depth of the laser beam. The worst case cell determination compares the I-V curves of the whole module with the I-V curve of the module with one cell covered, allowing the evaluation of the performance of each cell in a series-connected string. These methods allowed detection of the poorly performing cells in the module. Using all these techniques an overall view of the photoresponse in the cells and their performance is obtained.

  18. Recombination via point defects and their complexes in solar silicon

    Energy Technology Data Exchange (ETDEWEB)

    Peaker, A.R.; Markevich, V.P.; Hamilton, B. [Photon Science Institute, University of Manchester, Manchester M13 9PL (United Kingdom); Parada, G.; Dudas, A.; Pap, A. [Semilab, 2 Prielle Kornelia Str, 1117 Budapest (Hungary); Don, E. [Semimetrics, PO Box 36, Kings Langley, Herts WD4 9WB (United Kingdom); Lim, B.; Schmidt, J. [Institute for Solar Energy Research (ISFH) Hamlen, 31860 Emmerthal (Germany); Yu, L.; Yoon, Y.; Rozgonyi, G. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC 27695-7907 (United States)

    2012-10-15

    Electronic grade Czochralski and float zone silicon in the as grown state have a very low concentration of recombination generation centers (typically <10{sup 10} cm{sup -3}). Consequently, in integrated circuit technologies using such material, electrically active inadvertent impurities and structural defects are rarely detectable. The quest for cheap photovoltaic cells has led to the use of less pure silicon, multi-crystalline material, and low cost processing for solar applications. Cells made in this way have significant extrinsic recombination mechanisms. In this paper we review recombination involving defects and impurities in single crystal and in multi-crystalline solar silicon. Our main techniques for this work are recombination lifetime mapping measurements using microwave detected photoconductivity decay and variants of deep level transient spectroscopy (DLTS). In particular, we use Laplace DLTS to distinguish between isolated point defects, small precipitate complexes and decorated extended defects. We compare the behavior of some common metallic contaminants in solar silicon in relation to their effect on carrier lifetime and cell efficiency. Finally, we consider the role of hydrogen passivation in relation to transition metal contaminants, grain boundaries and dislocations. We conclude that recombination via point defects can be significant but in most multi-crystalline material the dominant recombination path is via decorated dislocation clusters within grains with little contribution to the overall recombination from grain boundaries. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Formation and growth of crystal defects in directionally solidified multicrystalline silicon for solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ryningen, Birgit

    2008-07-01

    Included in this thesis are five publications and one report. The common theme is characterisation of directionally solidified multicrystalline silicon for solar cells. Material characterisation of solar cell silicon is naturally closely linked to both the casting process and to the solar cell processing: Many of the material properties are determined by the casting process, and the solar cell processing will to some extend determine which properties will influence the solar cell performance. Solar grade silicon (SoG-Si) made by metallurgical refining route and supplied by Elkem Solar was directionally solidified and subsequently characterised, and a simple solar cell process was applied. Except from some metallic co-precipitates in the top of the ingot, no abnormalities were found, and it is suggested that within the limits of the tests performed in this thesis, the casting and the solar cell processing, rather than the assumed higher impurity content, was the limiting factor. It is suggested in this thesis that the main quality problem in multicrystalline silicon wafers is the existence of dislocation clusters covering large wafer areas. The clusters will reduce the effect of gettering and even if gettering could be performed successfully, the clusters will still reduce the minority carrier mobility and hence the solar cell performance. It has further been pointed out that ingots solidified under seemingly equal conditions might have a pronounced difference in minority carrier lifetime. Ingots with low minority carrier lifetime have high dislocation densities. The ingots with the substantially higher lifetime seem all to be dominated by twins. It is also found a link between a higher undercooling and the ingots dominated by twins. It is suggested that the two types of ingots are subject to different nucleation and crystal growth mechanisms: For the ingots dominated by dislocations, which are over represented, the crystal growth is randomly nucleated at the

  20. Large area multicrystalline silicon solar cells with high efficiency. Final report; Grossflaechige multikristalline Silizium-Solarzellen mit hohen Wirkungsraden. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Ebest, G.; Erler, K.; Mrwa, A.; Ball, M.

    2001-09-01

    Solar cells were produced of wafers of die-cast and strip-drawn multicrystalline silicon and characterized. Production methods like SOD (spin-on doping), RTP (rapid thermal processing), PECVD (plasma enhanced chemical vapor deposition), RIE (reactive ion etching) and screen printing were investigated. The results are summarized as follows: 1. Layer resistance can be adjusted by variation of the RTP temperature cycle and by selecting appropriate doping materials (P507 by Filmtronics); 2. The low resistance required for screen printing metallization are obtained only with a different doping material (P8545SF-Filmtronics); 3. Metallized aluminium and copper require a 30 nm TiN layer as diffusion barrier; 4. Reflectivity will be reduced most effectively by RIE with chlorine gas on monocrystalline and multicrystalline silicon wafers. [German] Im Rahmen des Projektes wurden auf Wafern aus blockgegossenem und bandgezogenem multikristallinen Silizium Solarzellen hergestellt und charakterisiert. Fuer die Herstellung wurden Verfahren wie SOD (spin-on doping), RTP (rapid thermal processing), PECVD (plasma enhanced chemical vapor deposition), RIE (reactive ion etching) und Siebdruck untersucht. Die Ergebnisse lassen sich wie folgt zusammenfassen: 1. eine Einstellung des Schichtwiderstandes wird durch Variation des RTP-Temperaturzyklus sowie Auswahl verschiedener Dotierstoffe (P507 von Filmtronics) erreicht; 2. die fuer die Siebdruckmetallisierung erforderlichen geringen Schichtwiderstaende werden nur durch die Wahl eines anderen Dotierstoffes (P8545SF-Filmtronics) erreicht; 3. Aluminium- und Kupfermetallisierungen benoetigen eine 30 nm dicke TiN-Schicht als Diffusionsbarriere; und 4. die wirksamste Verminderung des Reflexionsgrades ist mittels RIE-Verfahren unter Verwendung von Chlorgas auf ein- und multikristalline Siliziumwafer erreichbar.

  1. Infrared birefringence imaging of residual stress and bulk defects in multicrystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ganapati, Vidya; Schoenfelder, Stephan; Castellanos, Sergio; Oener, Sebastian; Koepge, Ringo; Sampson, Aaron; Marcus, Matthew A.; Lai, Barry; Morhenn, Humphrey; Hahn, Giso; Bagdahn, Joerg; Buonassisi1, Tonio

    2010-05-05

    This manuscript concerns the application of infrared birefringence imaging (IBI) to quantify macroscopic and microscopic internal stresses in multicrystalline silicon (mc-Si) solar cell materials. We review progress to date, and advance four closely related topics. (1) We present a method to decouple macroscopic thermally-induced residual stresses and microscopic bulk defect related stresses. In contrast to previous reports, thermally-induced residual stresses in wafer-sized samples are generally found to be less than 5 MPa, while defect-related stresses can be several times larger. (2) We describe the unique IR birefringence signatures, including stress magnitudes and directions, of common microdefects in mc-Si solar cell materials including: {beta}-SiC and {beta}-Si{sub 3}N{sub 4} microdefects, twin bands, nontwin grain boundaries, and dislocation bands. In certain defects, local stresses up to 40 MPa can be present. (3) We relate observed stresses to other topics of interest in solar cell manufacturing, including transition metal precipitation, wafer mechanical strength, and minority carrier lifetime. (4) We discuss the potential of IBI as a quality-control technique in industrial solar cell manufacturing.

  2. Control of the Gas Flow in an Industrial Directional Solidification Furnace for Production of High Purity Multicrystalline Silicon Ingots

    Directory of Open Access Journals (Sweden)

    Lijun Liu

    2015-01-01

    Full Text Available A crucible cover was designed as gas guidance to control the gas flow in an industrial directional solidification furnace for producing high purity multicrystalline silicon. Three cover designs were compared to investigate their effect on impurity transport in the furnace and contamination of the silicon melt. Global simulations of coupled oxygen (O and carbon (C transport were carried out to predict the SiO and CO gases in the furnace as well as the O and C distributions in the silicon melt. Cases with and without chemical reaction on the cover surfaces were investigated. It was found that the cover design has little effect on the O concentration in the silicon melt; however, it significantly influences CO gas transport in the furnace chamber and C contamination in the melt. For covers made of metal or with a coating on their surfaces, an optimal cover design can produce a silicon melt free of C contamination. Even for a graphite cover without a coating, the carbon concentration in the silicon melt can be reduced by one order of magnitude. The simulation results demonstrate a method to control the contamination of C impurities in an industrial directional solidification furnace by crucible cover design.

  3. Three dimensional modelling of grain boundary interaction and evolution during directional solidification of multi-crystalline silicon

    Science.gov (United States)

    Jain, T.; Lin, H. K.; Lan, C. W.

    2018-03-01

    The development of grain structures during directional solidification of multi-crystalline silicon (mc-Si) plays a crucial role in the materials quality for silicon solar cells. Three dimensional (3D) modelling of the grain boundary (GB) interaction and evolution based on phase fields by considering anisotropic GB energy and mobility for mc-Si is carried out for the first time to elucidate the process. The energy and mobility of GBs are allowed to depend on misorientation and the GB plane. To examine the correctness of our method, the known the coincident site lattice (CSL) combinations such as (∑ a + ∑ b → ∑ a × b) or (∑ a + ∑ b → ∑ a / b) are verified. We frther discuss how to use the GB normal to characterize a ∑ 3 twin GB into a tilt or a twist one, and show the interaction between tilt and twist ∑ 3 twin GBs. Two experimental scenarios are considered for comparison and the results are in good agreement with the experiments as well as the theoretical predictions.

  4. Effect of metal contamination on recombination properties of extended defects in multicrystalline Si

    Energy Technology Data Exchange (ETDEWEB)

    Feklisova, O.V.; Yakimov, E.B. [Institute of Microelectronics Technology, RAS, Chernogolovka 142432 (Russian Federation); Yu, X.; Yang, D. [State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China)

    2012-10-15

    The effect of iron and copper contamination on the recombination properties of extended defects in multicrystalline Si is investigated by the Electron Beam Induced Current (EBIC) method. Plastically deformed Si samples containing dislocations and dislocation trails are also studied for a comparison. It is shown that Fe contamination leads to an essential increase of the EBIC contrast of electrically active grain boundaries and dislocation trails. The EBIC contrast of deformation induced dislocations also increases after iron diffusion while the recombination activity of grown-in dislocations in multicrystalline Si does not practically change after such treatment. Cu contamination also leads to an essential increase of the EBIC contrast of electrically active grain boundaries and dislocation trails. But dislocation contrast in both plastically deformed Si and multicrystalline Si does not practically increase after Cu contamination. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Improving Efficiency of Multicrystalline Silicon and CIGS Solar Cells by Incorporating Metal Nanoparticles

    Directory of Open Access Journals (Sweden)

    Ming-Jer Jeng

    2015-10-01

    Full Text Available This work studies the use of gold (Au and silver (Ag nanoparticles in multicrystalline silicon (mc-Si and copper-indium-gallium-diselenide (CIGS solar cells. Au and Ag nanoparticles are deposited by spin-coating method, which is a simple and low cost process. The random distribution of nanoparticles by spin coating broadens the resonance wavelength of the transmittance. This broadening favors solar cell applications. Metal shadowing competes with light scattering in a manner that varies with nanoparticle concentration. Experimental results reveal that the mc-Si solar cells that incorporate Au nanoparticles outperform those with Ag nanoparticles. The incorporation of suitable concentration of Au and Ag nanoparticles into mc-Si solar cells increases their efficiency enhancement by 5.6% and 4.8%, respectively. Incorporating Au and Ag nanoparticles into CIGS solar cells improve their efficiency enhancement by 1.2% and 1.4%, respectively. The enhancement of the photocurrent in mc-Si solar cells is lower than that in CIGS solar cells, owing to their different light scattering behaviors and material absorption coefficients.

  6. Qualification of multi-crystalline silicon wafers by optical imaging for industrial use

    Energy Technology Data Exchange (ETDEWEB)

    Janssen, G.J.M.; Van der Borg, N.J.C.M.; Manshanden, P.; De Bruijne, M.; Bende, E.E. [ECN Solar Energy, Petten (Netherlands)

    2012-09-15

    We have developed a method to qualify multi-crystalline silicon (mc-Si) wafers that are being used in a production process. An optical image of an etched wafer is made. This etching can be a standard industrial acid etching for mc-Si wafers as is commonly used for saw damage removal and simultaneous iso-texturing. Digital image processing is then applied to identify the number of dislocations and their distribution over the wafer. This information is used as input for a cell performance prediction model, where the performance is characterized by the open circuit voltage (Voc) or the efficiency. The model can include various levels of sophistication, i.e. from using an average density of dislocations to the full spatial resolution of the dislocations in a 2D simulation that includes also the metallization pattern on the cell. The predicted performance is then evaluated against pre-selected criteria. The possibility to apply this optical qualification method in an initial stage in the production enables early rejection of the wafers, further tailoring of the cell production process or identification of instabilities in the production process.

  7. Improving the Quality of the Deteriorated Regions of Multicrystalline Silicon Ingots during General Solar Cell Processes

    International Nuclear Information System (INIS)

    Wu Shan-Shan; Wang Lei; Yang De-Ren

    2011-01-01

    The behavior of wafers and solar cells from the border of a multicrystalline silicon (mc-Si) ingot, which contain deteriorated regions, is investigated. It is found that the diffusion length distribution of minority carriers in the cells is uniform, and high efficiency of the solar cells (about 16%) is achieved. It is considered that the quality of the deteriorated regions could be improved to be similar to that of adjacent regions. Moreover, it is indicated that during general solar cell fabrication, phosphorus gettering and hydrogen passivation could significantly improve the quality of deteriorated regions, while aluminum gettering by RTP could not. Therefore, it is suggested that the border of a mc-Si ingot could be used to fabricate high efficiency solar cells, which will increase mc-Si utilization effectively. (condensed matter: structure, mechanical and thermal properties)

  8. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  9. The characterization of high quality multicrystalline silicon by the electron beam induced current method

    International Nuclear Information System (INIS)

    Chen, J; Sekiguchi, T; Nara, S; Yang, D

    2004-01-01

    Multicrystalline silicon (mc-Si) manufactured by a multi-stage solidification control casting method has been characterized by the electron beam induced current (EBIC) method. The average diffusion length of the ingot was over 250 μm, which was much longer than that of conventional mc-Si. The EBIC study revealed that the electrical activities of grain boundaries (GBs) varied with the ingot position due to the impurity contamination level. The main impurity detected was iron. The concentration of iron in the central position was much lower than that at the bottom and top positions. GBs in the central position showed no significant EBIC contrast at 300 K, suggesting low contamination level. GBs in the top and bottom positions, however, showed strong EBIC contrast at 300 K, suggesting high contamination level. At 100 K, a denuded zone with bright contrast developed around GBs in the top and bottom positions. The existence of the denuded zone suggested that impurities were gettered at the GBs. It was considered that the variation of the diffusion length in the ingot was related to the variation of recombination activities of GBs in the different positions, which mainly depended on the impurity contamination

  10. Electric properties and carrier multiplication in breakdown sites in multi-crystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Schneemann, Matthias; Carius, Reinhard; Rau, Uwe [IEK5-Photovoltaics, Forschungszentrum Jülich, Jülich 52425 (Germany); Kirchartz, Thomas, E-mail: t.kirchartz@fz-juelich.de [IEK5-Photovoltaics, Forschungszentrum Jülich, Jülich 52425 (Germany); Faculty of Engineering and CENIDE, University of Duisburg-Essen, Carl-Benz-Str. 199, Duisburg 47057 (Germany)

    2015-05-28

    This paper studies the effective electrical size and carrier multiplication of breakdown sites in multi-crystalline silicon solar cells. The local series resistance limits the current of each breakdown site and is thereby linearizing the current-voltage characteristic. This fact allows the estimation of the effective electrical diameters to be as low as 100 nm. Using a laser beam induced current (LBIC) measurement with a high spatial resolution, we find carrier multiplication factors on the order of 30 (Zener-type breakdown) and 100 (avalanche breakdown) as new lower limits. Hence, we prove that also the so-called Zener-type breakdown is followed by avalanche multiplication. We explain that previous measurements of the carrier multiplication using thermography yield results higher than unity, only if the spatial defect density is high enough, and the illumination intensity is lower than what was used for the LBIC method. The individual series resistances of the breakdown sites limit the current through these breakdown sites. Therefore, the measured multiplication factors depend on the applied voltage as well as on the injected photocurrent. Both dependencies are successfully simulated using a series-resistance-limited diode model.

  11. Phosphorous gettering in acidic textured multicrystalline solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Montesdeoca-Santana, A. [Departamento de Fisica Basica, Universidad de La Laguna (ULL), Avenida Astrofisico Francisco Sanchez 2, 38206 La Laguna, S/C de Tenerife (Spain); Fraunhofer Institut fuer Solare Energiesysteme ISE, Laboratory and Servicecenter Gelsenkirchen, Auf der Reihe 2, 45884 Gelsenkirchen (Germany); Jimenez-Rodriguez, E.; Diaz-Herrera, B.; Hernandez-Rodriguez, C. [Departamento de Fisica Basica, Universidad de La Laguna (ULL), Avenida Astrofisico Francisco Sanchez 2, 38206 La Laguna, S/C de Tenerife (Spain); Gonzalez-Diaz, B. [Departamento de Fisica Basica, Universidad de La Laguna (ULL), Avenida Astrofisico Francisco Sanchez 2, 38206 La Laguna, S/C de Tenerife (Spain); Departamento de Energia Fotovoltaica, Instituto Tecnologico y de Energias Renovables. Poligono Industrial de Granadilla s/n, 38600 San Isidro-Granadilla de Abona, S/C de Tenerife (Spain); Rinio, M.; Borchert, D. [Fraunhofer Institut fuer Solare Energiesysteme ISE, Laboratory and Servicecenter Gelsenkirchen, Auf der Reihe 2, 45884 Gelsenkirchen (Germany); Guerrero-Lemus, R. [Departamento de Fisica Basica, Universidad de La Laguna (ULL), Avenida Astrofisico Francisco Sanchez 2, 38206 La Laguna, S/C de Tenerife (Spain); Fundacion de Estudios de Economia Aplicada, Catedra Focus-Abengoa, Jorge Juan 46, 28001 Madrid (Spain)

    2011-03-15

    The influence of phosphorus gettering is studied in this work applied to an acidic textured multicrystalline silicon substrate. The texturization was achieved with an HF/HNO{sub 3} solution leading to nanostructures on the silicon surface. It has been demonstrated in previous works that this textured surface decreases the reflectance on the solar cell and increases the surface area improving the photon collection and enhancing the short circuit current. The present study investigates the effect on the minority carrier lifetime of the phosphorous diffusion when it is carried out on this textured surface. The lifetime is measured by means microwave photoconductance decay and quasi steady state phototoconductance devices. The diffused textured wafers are used to fabricate solar cells and their electrical parameters are analyzed. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. High-Performance Black Multicrystalline Silicon Solar Cells by a Highly Simplified Metal-Catalyzed Chemical Etching Method

    KAUST Repository

    Ying, Zhiqin

    2016-05-20

    A wet-chemical surface texturing technique, including a two-step metal-catalyzed chemical etching (MCCE) and an extra alkaline treatment, has been proven as an efficient way to fabricate high-efficiency black multicrystalline (mc) silicon solar cells, whereas it is limited by the production capacity and the cost cutting due to the complicated process. Here, we demonstrated that with careful control of the composition in etching solution, low-aspect-ratio bowl-like nanostructures with atomically smooth surfaces could be directly achieved by improved one-step MCCE and with no posttreatment, like alkali solution. The doublet surface texture of implementing this nanobowl structure upon the industrialized acidic-textured surface showed concurrent improvement in optical and electrical properties for realizing 18.23% efficiency mc-Si solar cells (156 mm × 156 mm), which is sufficiently higher than 17.7% of the solely acidic-textured cells in the same batch. The one-step MCCE method demonstrated in this study may provide a cost-effective way to manufacture high-performance mc-Si solar cells for the present photovoltaic industry. © 2016 IEEE.

  13. Effects of spectral variation on the device performance of copper indium diselenide and multi-crystalline silicon photovoltaic modules

    Energy Technology Data Exchange (ETDEWEB)

    Okullo, W.; Munji, M.K.; Vorster, F.J.; van Dyk, E.E. [Department of Physics, Nelson Mandela Metropolitan University, Box 77000, Port Elizabeth (South Africa)

    2011-02-15

    We present results of an experimental investigation of the effects of the daily spectral variation on the device performance of copper indium diselenide and multi-crystalline silicon photovoltaic modules. Such investigations are of importance in characterization of photovoltaic devices. The investigation centres on the analysis of outdoor solar spectral measurements carried out at 10 min intervals on clear-sky days. We have shown that the shift in the solar spectrum towards infrared has a negative impact on the device performance of both modules. The spectral bands in the visible region contribute more to the short circuit current than the bands in the infrared region while the ultraviolet region contributes least. The quantitative effects of the spectral variation on the performance of the two photovoltaic modules are reflected on their respective device performance parameters. The decrease in the visible and the increase in infrared of the late afternoon spectra in each case account for the decreased current collection and hence power and efficiency of both modules. (author)

  14. Efficiency improvement of multicrystalline silicon solar cells after surface and grain boundaries passivation using vanadium oxide

    Energy Technology Data Exchange (ETDEWEB)

    Derbali, L., E-mail: rayan.slat@yahoo.fr [Photovoltaiec Laboratory, Research and Technology Center of Energy, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia); Ezzaouia, H. [Photovoltaiec Laboratory, Research and Technology Center of Energy, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia)

    2012-08-01

    Highlights: Black-Right-Pointing-Pointer Evaporation of vanadium pentoxide onto the front surface leads to reduce the surface reflectivity considerably. Black-Right-Pointing-Pointer An efficient surface passivation can be obtained after thermal treatment of obtained films. Black-Right-Pointing-Pointer Efficiency of the obtained solar cells has been improved noticeably after thermal treatment of deposited thin films. - Abstract: The aim of this work is to investigate the effect of vanadium oxide deposition onto the front surface of multicrystalline silicon (mc-Si) substrat, without any additional cost in the fabrication process and leading to an efficient surface and grain boundaries (GBs) passivation that have not been reported before. The lowest reflectance of mc-Si coated with vanadium oxide film of 9% was achieved by annealing the deposited film at 600 Degree-Sign C. Vanadium pentoxide (V{sub 2}O{sub 5}) were thermally evaporated onto the surface of mc-Si substrates, followed by a short annealing duration at a temperature ranging between 600 Degree-Sign C and 800 Degree-Sign C, under O{sub 2} atmosphere. The chemical composition of the films was analyzed by means of Fourier transform infrared spectroscopy (FTIR). Surface and cross-section morphology were determined by atomic force microscope (AFM) and a scanning electron microscope (SEM), respectively. The deposited vanadium oxide thin films make the possibility of combining in one processing step an antireflection coating deposition along with efficient surface state passivation, as compared to a reference wafer. Silicon solar cells based on untreated and treated mc-Si wafers were achieved. We showed that mc-silicon solar cells, subjected to the above treatment, have better short circuit currents and open-circuit voltages than those made from untreated wafers. Thus, the efficiency of obtained solar cells has been improved.

  15. Efficiency improvement of multicrystalline silicon solar cells after surface and grain boundaries passivation using vanadium oxide

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2012-01-01

    Highlights: ► Evaporation of vanadium pentoxide onto the front surface leads to reduce the surface reflectivity considerably. ► An efficient surface passivation can be obtained after thermal treatment of obtained films. ► Efficiency of the obtained solar cells has been improved noticeably after thermal treatment of deposited thin films. - Abstract: The aim of this work is to investigate the effect of vanadium oxide deposition onto the front surface of multicrystalline silicon (mc-Si) substrat, without any additional cost in the fabrication process and leading to an efficient surface and grain boundaries (GBs) passivation that have not been reported before. The lowest reflectance of mc-Si coated with vanadium oxide film of 9% was achieved by annealing the deposited film at 600 °C. Vanadium pentoxide (V 2 O 5 ) were thermally evaporated onto the surface of mc-Si substrates, followed by a short annealing duration at a temperature ranging between 600 °C and 800 °C, under O 2 atmosphere. The chemical composition of the films was analyzed by means of Fourier transform infrared spectroscopy (FTIR). Surface and cross-section morphology were determined by atomic force microscope (AFM) and a scanning electron microscope (SEM), respectively. The deposited vanadium oxide thin films make the possibility of combining in one processing step an antireflection coating deposition along with efficient surface state passivation, as compared to a reference wafer. Silicon solar cells based on untreated and treated mc-Si wafers were achieved. We showed that mc-silicon solar cells, subjected to the above treatment, have better short circuit currents and open-circuit voltages than those made from untreated wafers. Thus, the efficiency of obtained solar cells has been improved.

  16. Dynamic hybrid life cycle assessment of energy and carbon of multicrystalline silicon photovoltaic systems.

    Science.gov (United States)

    Zhai, Pei; Williams, Eric D

    2010-10-15

    This paper advances the life cycle assessment (LCA) of photovoltaic systems by expanding the boundary of the included processes using hybrid LCA and accounting for the technology-driven dynamics of embodied energy and carbon emissions. Hybrid LCA is an extended method that combines bottom-up process-sum and top-down economic input-output (EIO) methods. In 2007, the embodied energy was 4354 MJ/m(2) and the energy payback time (EPBT) was 2.2 years for a multicrystalline silicon PV system under 1700 kWh/m(2)/yr of solar radiation. These results are higher than those of process-sum LCA by approximately 60%, indicating that processes excluded in process-sum LCA, such as transportation, are significant. Even though PV is a low-carbon technology, the difference between hybrid and process-sum results for 10% penetration of PV in the U.S. electrical grid is 0.13% of total current grid emissions. Extending LCA from the process-sum to hybrid analysis makes a significant difference. Dynamics are characterized through a retrospective analysis and future outlook for PV manufacturing from 2001 to 2011. During this decade, the embodied carbon fell substantially, from 60 g CO(2)/kWh in 2001 to 21 g/kWh in 2011, indicating that technological progress is realizing reductions in embodied environmental impacts as well as lower module price.

  17. Light and current induced degradation in p-type multi-crystalline cells and development of an inspection method and a stabilization method

    Energy Technology Data Exchange (ETDEWEB)

    Broek, K.M.; Bennett, I.J.; Jansen, M.J.; Borg, Van der N.J.C.M.; Eerenstein, W. [ECN Solar Energy, Petten (Netherlands)

    2012-09-15

    Stable solar cells are needed for durability testing of different combinations of module materials. In such a test, significant power losses in full-size modules with multi-crystalline cells after thermal cycling have been observed. This has been related to degradation of the solar cells used and it appeared that this was caused by current induced degradation. This phenomenon is not limited to boron doped Cz-Si, but can also occur in p-type multi-crystalline silicon. Work was done to develop an incoming inspection method for new batches of cells. Also, stabilisation procedures for modules containing cells that are sensitive to degradation have been determined.

  18. The analysis of low-energy ion from a gas-puff laser plasma. The observation of ablated particles from the silicon irradiated with a fs laser

    International Nuclear Information System (INIS)

    Azuma, Hirozumi; Kamiya, Nobuyuki; Takeuchi, Akihiro; Ito, Tadashi; Suzuki, Noritomo; Daido, Hiroyuki; Mori, Michiaki; Ogura, Kouichi; Sagisaka, Akito; Orimo, Satoshi; Hayashi, Yukio; Hazama, Hisanao

    2005-01-01

    The single-shot creation of tadpolelike silicon nanoparticles constructed with multi-crystalline heads and amorphous tails by a high brightness fs-pulse laser was demonstrated. This is also the first demonstration of the creation of a nanosized connection of multicrystalline silicon with amorphous silicon. This result should expand the creation of new materials by a laser ablation using a high-intensity fs laser, and the created silicon nanoparticles can be applied to scientific and industrial fields. (author)

  19. N-type nano-silicon powders with ultra-low electrical resistivity as anode materials in lithium ion batteries

    Science.gov (United States)

    Yue, Zhihao; Zhou, Lang; Jin, Chenxin; Xu, Guojun; Liu, Liekai; Tang, Hao; Li, Xiaomin; Sun, Fugen; Huang, Haibin; Yuan, Jiren

    2017-06-01

    N-type silicon wafers with electrical resistivity of 0.001 Ω cm were ball-milled to powders and part of them was further mechanically crushed by sand-milling to smaller particles of nano-size. Both the sand-milled and ball-milled silicon powders were, respectively, mixed with graphite powder (silicon:graphite = 5:95, weight ratio) as anode materials for lithium ion batteries. Electrochemical measurements, including cycle and rate tests, present that anode using sand-milled silicon powder performed much better. The first discharge capacity of sand-milled silicon anode is 549.7 mAh/g and it is still up to 420.4 mAh/g after 100 cycles. Besides, the D50 of sand-milled silicon powder shows ten times smaller in particle size than that of ball-milled silicon powder, and they are 276 nm and 2.6 μm, respectively. In addition, there exist some amorphous silicon components in the sand-milled silicon powder excepting the multi-crystalline silicon, which is very different from the ball-milled silicon powder made up of multi-crystalline silicon only.

  20. Towards solar grade silicon: Challenges and benefits for low cost photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Pizzini, Sergio [Ned Silicon Spa, Via Th. Edison 6, 60027 Osimo (Ancona) (Italy)

    2010-09-15

    It is well known that silicon in its various structural configurations (single crystal, multicrystalline, amorphous, micro-nanocrystalline) supplies almost 90% of the substrates used in the photovoltaic industry. It is also known, since years, that the photovoltaic (PV) industry shows a marked growth trend, which demanded and demands a continuous, huge increase of the bulk silicon supply in the order of 30%/yr. In order to fulfill their today- and future needs, many companies worldwide took the decision to start the installation of many thousand tons/year plants, most of them using the Siemens process, some of them using the MG route, to produce the so called solar grade (SG) silicon. The advantages of the Siemens process are well known, as it provides ultrapure silicon, directly usable for growing either single crystalline Czochralski ingots or multicrystalline ingots using the directional solidification (DS) technique. The disadvantages are its high energetic cost (a minimum of 120 kWH/kg) and the possible losses of chlorinated gases in the atmosphere, with possible severe environmental problems. The advantages of the MG route are still potential, as there is no commercially available production of solar silicon as yet, and rely on its reduced energetic costs (a maximum of 25-30 kWh/kg) for a feedstock directly usable for growing multicrystalline ingots using the DS technique. The drawbacks of silicon of MG origin are its larger concentration of metallic impurities, as compared with the Siemens one, the higher B and P content, and the potentially high carbon content. The aim of this paper is to deal with some of the problems encountered so far with the silicon of MG origin with respect to the metallic and non-metallic impurities content, as well as to propose technologically feasible solar grade feedstock specifications. (author)

  1. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  2. Realization of Colored Multicrystalline Silicon Solar Cells with SiO2/SiNx:H Double Layer Antireflection Coatings

    Directory of Open Access Journals (Sweden)

    Minghua Li

    2013-01-01

    Full Text Available We presented a method to use SiO2/SiNx:H double layer antireflection coatings (DARC on acid textures to fabricate colored multicrystalline silicon (mc-Si solar cells. Firstly, we modeled the perceived colors and short-circuit current density (Jsc as a function of SiNx:H thickness for single layer SiNx:H, and as a function of SiO2 thickness for the case of SiO2/SiNx:H (DARC with fixed SiNx:H (refractive index n=2.1 at 633 nm, and thickness = 80 nm. The simulation results show that it is possible to achieve various colors by adjusting the thickness of SiO2 to avoid significant optical losses. Therefore, we carried out the experiments by using electron beam (e-beam evaporation to deposit a layer of SiO2 over the standard SiNx:H for 156×156 mm2 mc-Si solar cells which were fabricated by a conventional process. Semisphere reflectivity over 300 nm to 1100 nm and I-V measurements were performed for grey yellow, purple, deep blue, and green cells. The efficiency of colored SiO2/SiNx:H DARC cells is comparable to that of standard SiNx:H light blue cells, which shows the potential of colored cells in industrial applications.

  3. Parameters extraction of the three diode model for the multi-crystalline solar cell/module using Moth-Flame Optimization Algorithm

    International Nuclear Information System (INIS)

    Allam, Dalia; Yousri, D.A.; Eteiba, M.B.

    2016-01-01

    Highlights: • More detailed models are proposed to emulate the multi-crystalline solar cell/module. • Moth-Flame Optimizer (MFO) is proposed for the parameter extraction process. • The performance of MFO technique is compared with the recent optimization algorithms. • MFO algorithm converges to the optimal solution more rapidly and more accurately. • MFO algorithm accomplished with three diode model achieves the most accurate model. - Abstract: As a result of the wide prevalence of using the multi-crystalline silicon solar cells, an accurate mathematical model for these cells has become an important issue. Therefore, a three diode model is proposed as a more precise model to meet the relatively complicated physical behavior of the multi-crystalline silicon solar cells. The performance of this model is compared to the performance of both the double diode and the modified double diode models of the same cell/module. Therefore, there is a persistent need to keep searching for a more accurate optimization algorithm to estimate the more complicated models’ parameters. Hence, a proper optimization algorithm which is called Moth-Flame Optimizer (MFO), is proposed as a new optimization algorithm for the parameter extraction process of the three tested models based on data measured at laboratory and other data reported at previous literature. To verify the performance of the suggested technique, its results are compared with the results of the most recent and powerful techniques in the literature such as Hybrid Evolutionary (DEIM) and Flower Pollination (FPA) algorithms. Furthermore, evaluation analysis is performed for the three algorithms of the selected models at different environmental conditions. The results show that, MFO algorithm achieves the least Root Mean Square Error (RMSE), Mean Bias Error (MBE), Absolute Error at the Maximum Power Point (AEMPP) and best Coefficient of Determination. In addition, MFO is reaching to the optimal solution with the

  4. Tailoring the optical characteristics of microsized InP nanoneedles directly grown on silicon.

    Science.gov (United States)

    Li, Kun; Sun, Hao; Ren, Fan; Ng, Kar Wei; Tran, Thai-Truong D; Chen, Roger; Chang-Hasnain, Connie J

    2014-01-08

    Nanoscale self-assembly offers a pathway to realize heterogeneous integration of III-V materials on silicon. However, for III-V nanowires directly grown on silicon, dislocation-free single-crystal quality could only be attained below certain critical dimensions. We recently reported a new approach that overcomes this size constraint, demonstrating the growth of single-crystal InGaAs/GaAs and InP nanoneedles with the base diameters exceeding 1 μm. Here, we report distinct optical characteristics of InP nanoneedles which are varied from mostly zincblende, zincblende/wurtzite-mixed, to pure wurtzite crystalline phase. We achieved, for the first time, pure single-crystal wurtzite-phase InP nanoneedles grown on silicon with bandgaps of 80 meV larger than that of zincblende-phase InP. Being able to attain excellent material quality while scaling up in size promises outstanding device performance of these nanoneedles. At room temperature, a high internal quantum efficiency of 25% and optically pumped lasing are demonstrated for single nanoneedle as-grown on silicon substrate. Recombination dynamics proves the excellent surface quality of the InP nanoneedles, which paves the way toward achieving multijunction photovoltaic cells, long-wavelength heterostructure lasers, and advanced photonic integrated circuits.

  5. Electrically active defects in solar grade multicrystalline silicon

    DEFF Research Database (Denmark)

    Dahl, Espen

    2013-01-01

    Shortage in high purity silicon feedstock, as a result of the formidable increased demand for solar cell devices during the last two decades, can be mitigated by the introduction of cheaper feedstock of solar grade (So-G) quality. Silicon produced through the metallurgical process route has shown...... the potential to be such a feedstock. However, this feedstock has only few years of active commercial history and the detailed understanding of the nature of structural defects in this material still has fundamental shortcomings. In this thesis the electrical activity of structural defects, commonly associated...

  6. Silicon transport in sputter-deposited tantalum layers grown under ion bombardment

    International Nuclear Information System (INIS)

    Gallais, P.; Hantzpergue, J.J.; Remy, J.C.; Roptin, D.

    1988-01-01

    Tantalum was sputter deposited on (111) Si substrate under low-energy ion bombardment in order to study the effects of the ion energy on the silicon transport into the Ta layer. The Si substrate was heated up to 500 0 C during growth. For ion energies up to 180 eV silicon is not transported into tantalum and the growth temperature has no effect. An ion bombardment energy of 280 eV enhances the transport of silicon throughout the tantalum layer. Growth temperatures up to 300 0 C have no effect on the silicon transport which is mainly enhanced by the ion bombardment. For growth temperatures between 300 and 500 0 C, the silicon transport is also enhanced by the thermal diffusion. The experimental depth distribution of silicon is similar to the theoretical depth distribution calculated for the case of an interdiffusion. The ion-enhanced process of silicon transport is characterized by an activation energy of 0.4 eV. Silicon into the layers as-grown at 500 0 C is in both states, amorphous silicide and microcrystalline cubic silicon

  7. Alloyed Aluminum Contacts for Silicon Solar Cells

    International Nuclear Information System (INIS)

    Tin Tin Aye

    2010-12-01

    Aluminium is usually deposited and alloyed at the back of p-p silicon solar cell for making a good ohmic contact and establishing a back electric field which avoids carrier recombination of the back surface. It was the deposition of aluminum on multicrystalline silicon (mc-Si) substrate at various annealing temperature. Physical and elemental analysis was carried out by using scanning electron microscopy (SEM) and X-rays diffraction (XRD). The electrical (I-V) characteristic of the photovoltaic cell was also measured.

  8. Stain-etched porous silicon nanostructures for multicrystalline silicon-based solar cells

    Science.gov (United States)

    Ben Rabha, M.; Hajji, M.; Belhadj Mohamed, S.; Hajjaji, A.; Gaidi, M.; Ezzaouia, H.; Bessais, B.

    2012-02-01

    In this paper, we study the optical, optoelectronic and photoluminescence properties of stain-etched porous silicon nanostructures obtained with different etching times. Special attention is given to the use of the stain-etched PS as an antireflection coating as well as for surface passivating capabilities. The surface morphology has been analyzed by scanning electron microscopy. The evolution of the Si-O and Si-H absorption bands was analyzed by Fourier transform infrared spectrometry before and after PS treatment. Results show that stain etching of the silicon surface drops the total reflectivity to about 7% in the 400-1100 nm wavelength range and the minority carrier lifetime enhances to about 48 μs.

  9. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  10. Annealing temperature dependence of photoluminescent characteristics of silicon nanocrystals embedded in silicon-rich silicon nitride films grown by PECVD

    International Nuclear Information System (INIS)

    Chao, D.S.; Liang, J.H.

    2013-01-01

    Recently, light emission from silicon nanostructures has gained great interest due to its promising potential of realizing silicon-based optoelectronic applications. In this study, luminescent silicon nanocrystals (Si–NCs) were in situ synthesized in silicon-rich silicon nitride (SRSN) films grown by plasma-enhanced chemical vapor deposition (PECVD). SRSN films with various excess silicon contents were deposited by adjusting SiH 4 flow rate to 100 and 200 sccm and keeping NH 3 one at 40 sccm, and followed by furnace annealing (FA) treatments at 600, 850 and 1100 °C for 1 h. The effects of excess silicon content and post-annealing temperature on optical properties of Si–NCs were investigated by photoluminescence (PL) and Fourier transform infrared spectroscopy (FTIR). The origins of two groups of PL peaks found in this study can be attributed to defect-related interface states and quantum confinement effects (QCE). Defect-related interface states lead to the photon energy levels almost kept constant at about 3.4 eV, while QCE results in visible and tunable PL emission in the spectral range of yellow and blue light which depends on excess silicon content and post-annealing temperature. In addition, PL intensity was also demonstrated to be highly correlative to the excess silicon content and post-annealing temperature due to its corresponding effects on size, density, crystallinity, and surface passivation of Si–NCs. Considering the trade-off between surface passivation and structural properties of Si–NCs, an optimal post-annealing temperature of 600 °C was suggested to maximize the PL intensity of the SRSN films

  11. Influence of additional heat exchanger block on directional solidification system for growing multi-crystalline silicon ingot - A simulation investigation

    Science.gov (United States)

    Nagarajan, S. G.; Srinivasan, M.; Aravinth, K.; Ramasamy, P.

    2018-04-01

    Transient simulation has been carried out for analyzing the heat transfer properties of Directional Solidification (DS) furnace. The simulation results revealed that the additional heat exchanger block under the bottom insulation on the DS furnace has enhanced the control of solidification of the silicon melt. Controlled Heat extraction rate during the solidification of silicon melt is requisite for growing good quality ingots which has been achieved by the additional heat exchanger block. As an additional heat exchanger block, the water circulating plate has been placed under the bottom insulation. The heat flux analysis of DS system and the temperature distribution studies of grown ingot confirm that the established additional heat exchanger block on the DS system gives additional benefit to the mc-Si ingot.

  12. Photoconductance-calibrated photoluminescence lifetime imaging of crystalline silicon

    International Nuclear Information System (INIS)

    Herlufsen, Sandra; Schmidt, Jan; Hinken, David; Bothe, Karsten; Brendel, Rolf

    2008-01-01

    We use photoluminescence (PL) measurements by a silicon charge-coupled device camera to generate high-resolution lifetime images of multicrystalline silicon wafers. Absolute values of the excess carrier density are determined by calibrating the PL image by means of contactless photoconductance measurements. The photoconductance setup is integrated in the camera-based PL setup and therefore identical measurement conditions are realised. We demonstrate the validity of this method by comparison with microwave-detected photoconductance decay measurements. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  13. Electrical properties of MOS structures on nitrogen-doped Czochralski-grown silicon: A positron annihilation study

    International Nuclear Information System (INIS)

    Slugen, V.; Harmatha, L.; Tapajna, M.; Ballo, P.; Pisecny, P.; Sik, J.; Koegel, G.; Krsjak, V.

    2006-01-01

    Measurements of interface trap density, effective generation lifetime (GL) and effective surface generation velocity have been performed using different methods on selected MOS structures prepared on nitrogen-doped Czochralski-grown (NCz) silicon. The application of the positron annihilation technique using a pulsed low energy positron system (PLEPS) focused on the detection of nitrogen-related defects in NCz silicon in the near surface region. In the case of p-type Cz silicon, all the results could be used for the testing of homogeneity. In n-type Cz silicon, positron annihilation was found insensitive to nitrogen doping

  14. Nanophotonic integrated circuits from nanoresonators grown on silicon.

    Science.gov (United States)

    Chen, Roger; Ng, Kar Wei; Ko, Wai Son; Parekh, Devang; Lu, Fanglu; Tran, Thai-Truong D; Li, Kun; Chang-Hasnain, Connie

    2014-07-07

    Harnessing light with photonic circuits promises to catalyse powerful new technologies much like electronic circuits have in the past. Analogous to Moore's law, complexity and functionality of photonic integrated circuits depend on device size and performance scale. Semiconductor nanostructures offer an attractive approach to miniaturize photonics. However, shrinking photonics has come at great cost to performance, and assembling such devices into functional photonic circuits has remained an unfulfilled feat. Here we demonstrate an on-chip optical link constructed from InGaAs nanoresonators grown directly on a silicon substrate. Using nanoresonators, we show a complete toolkit of circuit elements including light emitters, photodetectors and a photovoltaic power supply. Devices operate with gigahertz bandwidths while consuming subpicojoule energy per bit, vastly eclipsing performance of prior nanostructure-based optoelectronics. Additionally, electrically driven stimulated emission from an as-grown nanostructure is presented for the first time. These results reveal a roadmap towards future ultradense nanophotonic integrated circuits.

  15. Chemical and structural properties of polymorphous silicon thin films grown from dichlorosilane

    Energy Technology Data Exchange (ETDEWEB)

    Álvarez-Macías, C.; Monroy, B.M.; Huerta, L.; Canseco-Martínez, M.A. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico); Picquart, M. [Departamento de Física, Universidad Autónoma Metropolitana, Iztapalapa, A.P. 55-534, 09340 México, D.F. (Mexico); Santoyo-Salazar, J. [Departamento de Física, CINVESTAV-IPN, A.P. 14-740, C.P. 07000 México, D.F. (Mexico); Sánchez, M.F. García [Unidad Profesional Interdisciplinaria en Ingeniería y Tecnologías Avanzadas, Instituto Politécnico Nacional, Av. I.P.N. 2580, Gustavo A. Madero, 07340 México .D.F. (Mexico); Santana, G., E-mail: gsantana@iim.unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico)

    2013-11-15

    We have examined the effects of hydrogen dilution (R{sub H}) and deposition pressure on the morphological, structural and chemical properties of polymorphous silicon thin films (pm-Si:H), using dichlorosilane as silicon precursor in the plasma enhanced chemical vapor deposition (PECVD) process. The use of silicon chlorinated precursors enhances the crystallization process in as grown pm-Si:H samples, obtaining crystalline fractions from Raman spectra in the range of 65–95%. Atomic Force Microscopy results show the morphological differences obtained when the chlorine chemistry dominates the growth process and when the plasma–surface interactions become more prominent. Augmenting R{sub H} causes a considerable reduction in both roughness and topography, demonstrating an enhancement of ion bombardment and attack of the growing surface. X-ray Photoelectron Spectroscopy results show that, after ambient exposure, there is low concentration of oxygen inside the films grown at low R{sub H}, present in the form of Si-O, which can be considered as structural defects. Instead, oxidation increases with deposition pressure and dilution, along with film porosity, generating a secondary SiO{sub x} phase. For higher pressure and dilution, the amount of chlorine incorporated to the film decreases congruently with HCl chlorine extraction processes involving atomic hydrogen interactions with the surface. In all cases, weak silicon hydride (Si-H) bonds were not detected by infrared spectroscopy, while bonding configurations associated to the silicon nanocrystal surface were clearly observed. Since these films are generally used in photovoltaic devices, analyzing their chemical and structural properties such as oxygen incorporation to the films, along with chlorine and hydrogen, is fundamental in order to understand and optimize their electrical and optical properties.

  16. In-Situ Measurement of Power Loss for Crystalline Silicon Modules Undergoing Thermal Cycling and Mechanical Loading Stress Testing

    DEFF Research Database (Denmark)

    Spataru, Sergiu; Hacke, Peter; Sera, Dezso

    We analyze the degradation of multi-crystalline silicon photovoltaic modules undergoing simultaneous thermal, mechanical, and humidity-freeze stress testing to develop a dark environmental chamber in-situ measurement procedure for determining module power loss. We analyze dark I-V curves measured...

  17. Structural and optical properties of ZnO films grown on silicon and ...

    Indian Academy of Sciences (India)

    TECS

    Abstract. Photoluminescence (PL) properties of undoped ZnO thin films grown by rf magnetron sputtering on silicon .... voluted O1 s and (c) typical Zr 3d spectra of ZrO2/ZnO/Si film. .... strate doping concentration (NB) of ≈ 2⋅5 × 1015 cm–3 is.

  18. Production of Solar Grade (SoG) Silicon by Refining Liquid Metallurgical Grade (MG) Silicon: Final Report, 19 April 2001; FINAL

    International Nuclear Information System (INIS)

    Khattack, C. P.; Joyce, D. B.; Schmid, F.

    2001-01-01

    This report summarizes the results of the developed technology for producing SoG silicon by upgrading MG silicon with a cost goal of$20/kg in large-scale production. A Heat Exchanger Method (HEM) furnace originally designed to produce multicrystalline ingots was modified to refine molten MG silicon feedstock prior to directional solidification. Based on theoretical calculations, simple processing techniques, such as gas blowing through the melt, reaction with moisture, and slagging have been used to remove B from molten MG silicon. The charge size was scaled up from 1 kg to 300 kg in incremental steps and effective refining was achieved. After the refining parameters were established, improvements to increase the impurity reduction rates were emphasized. With this approach, 50 kg of commercially available as-received MG silicon was processed for a refining time of about 13 hours. A half life of and lt;2 hours was achieved, and the B concentration was reduced to 0.3 ppma and P concentration to 10 ppma from the original values of 20 to 60 ppma, and all other impurities to and lt;0.1 ppma. Achieving and lt;1 ppma B by this simple refining technique is a breakthrough towards the goal of achieving low-cost SoG silicon for PV applications. While the P reduction process was being optimized, the successful B reduction process was applied to a category of electronics industry silicon scrap previously unacceptable for PV feedstock use because of its high B content (50-400 ppma). This material after refining showed that its B content was reduced by several orders of magnitude, to(approx)1 ppma (0.4 ohm-cm, or about 5x1016 cm-3). NREL's Silicon Materials Research team grew and wafered small and lt;100 and gt; dislocation-free Czochralski (Cz) crystals from the new feedstock material for diagnostic tests of electrical properties, C and O impurity levels, and PV performance relative to similar crystals grown from EG feedstock and commercial Cz wafers. The PV conversion

  19. Photoluminescence at room temperature of liquid-phase crystallized silicon on glass

    Directory of Open Access Journals (Sweden)

    Michael Vetter

    2016-12-01

    Full Text Available The room temperature photoluminescence (PL spectrum due band-to-band recombination in an only 8 μm thick liquid-phase crystallized silicon on glass solar cell absorber is measured over 3 orders of magnitude with a thin 400 μm thick optical fiber directly coupled to the spectrometer. High PL signal is achieved by the possibility to capture the PL spectrum very near to the silicon surface. The spectra measured within microcrystals of the absorber present the same features as spectra of crystalline silicon wafers without showing defect luminescence indicating the high electronic material quality of the liquid-phase multi-crystalline layer after hydrogen plasma treatment.

  20. Optical characteristics of silicon nanowires grown from tin catalyst layers on silicon coated glass

    KAUST Repository

    Ball, Jeremy

    2012-08-20

    The optical characteristics of silicon nanowires grown on Si layers on glass have been modeled using the FDTD (Finite Difference Time Domain) technique and compared with experimental results. The wires were grown by the VLS (vapour-liquid-solid) method using Sn catalyst layers and exhibit a conical shape. The resulting measured and modeled absorption, reflectance and transmittance spectra have been investigated as a function of the thickness of the underlying Si layer and the initial catalyst layer, the latter having a strong influence on wire density. High levels of absorption (>90% in the visible wavelength range) and good agreement between the modeling and experiment have been observed when the nanowires have a relatively high density of ∼4 wires/μ m2. The experimental and modeled results diverge for samples with a lower density of wire growth. The results are discussed along with some implications for solar cell fabrication. © 2012 Optical Society of America.

  1. Optical characteristics of silicon nanowires grown from tin catalyst layers on silicon coated glass

    KAUST Repository

    Ball, Jeremy; Centeno, Anthony; Mendis, Budhika G.; Reehal, H. S.; Alford, Neil

    2012-01-01

    The optical characteristics of silicon nanowires grown on Si layers on glass have been modeled using the FDTD (Finite Difference Time Domain) technique and compared with experimental results. The wires were grown by the VLS (vapour-liquid-solid) method using Sn catalyst layers and exhibit a conical shape. The resulting measured and modeled absorption, reflectance and transmittance spectra have been investigated as a function of the thickness of the underlying Si layer and the initial catalyst layer, the latter having a strong influence on wire density. High levels of absorption (>90% in the visible wavelength range) and good agreement between the modeling and experiment have been observed when the nanowires have a relatively high density of ∼4 wires/μ m2. The experimental and modeled results diverge for samples with a lower density of wire growth. The results are discussed along with some implications for solar cell fabrication. © 2012 Optical Society of America.

  2. Microstructure and Mechanical Aspects of Multicrystalline Silicon Solar Cells

    NARCIS (Netherlands)

    Popovich, V.A.

    2013-01-01

    Due to pressure from the photovoltaic industry to decrease the cost of solar cell production, there is a tendency to reduce the thickness of silicon wafers. Unfortunately, wafers contain defects created by the various processing steps involved in solar cell production, which significantly reduce the

  3. Effect of porous silicon on the performances of silicon solar cells during the porous silicon-based gettering procedure

    Energy Technology Data Exchange (ETDEWEB)

    Nouri, H.; Bessais, B. [Laboratoire de Nanomateriaux et des Systemes pour l' Energie, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia); Bouaicha, M. [Laboratoire de Photovoltaique, des Semi-conducteurs et des Nanostructures, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2009-10-15

    In this work we analyse the effect of porous silicon on the performances of multicrystalline silicon (mc-Si) solar cells during the porous silicon-based gettering procedure. This procedure consists of forming PS layers on both front and back sides of the mc-Si wafers followed by an annealing in an infrared furnace under a controlled atmosphere at different temperatures. Three sets of samples (A, B and C) have been prepared; for samples A and B, the PS films were removed before and after annealing, respectively. In order to optimize the annealing temperature, we measure the defect density at a selected grain boundary (GB) using the dark current-voltage (I-V) characteristics across the GB itself. The annealing temperature was optimized to 1000 C. The effect of these treatments on the performances of mc-Si solar cells was studied by means of the current-voltage characteristic (at AM 1.5) and the internal quantum efficiency (IQE). The results obtained for cell A and cell B were compared to those obtained on a reference cell (C). (author)

  4. Investigation on nonlinear optical properties of MoS2 nanoflakes grown on silicon and quartz substrates

    Science.gov (United States)

    Bayesteh, Samaneh; Zahra Mortazavi, Seyedeh; Reyhani, Ali

    2018-05-01

    In this study, MoS2 nanoflakes were directly grown on different substrates—Si/SiO2 and quartz—by one-step thermal chemical vapor deposition using MoO3 and sulfide powders as precursors. Scanning electron microscopy and x-ray diffraction patterns demonstrated the formation of MoS2 structures on both substrates. Moreover, UV-visible and photoluminescence analysis confirmed the formation of MoS2 few-layer structures. According to Raman spectroscopy, by assessment of the line width and frequency shift differences between the and A 1g, it was inferred that the MoS2 grown on the silicon substrate was monolayer and that grown on the quartz substrate was multilayer. In addition, open-aperture and close-aperture Z-scan techniques were employed to study the nonlinear optical properties including nonlinear absorption and nonlinear refraction of the grown MoS2. All experiments were performed using a diode laser with a wavelength of 532 nm as the light source. It is noticeable that both samples demonstrate obvious self-defocusing behavior. The monolayer MoS2 grown on the silicon substrate displayed considerable two-photon absorption while, the multilayer MoS2 synthesized on the quartz exhibited saturable absorption. In general, few-layered MoS2 would be useful for the development of nanophotonic devices like optical limiters, optical switchers, etc.

  5. Opto-electronic analysis of silicon solar cells by LBIC investigations and current-voltage characterization

    International Nuclear Information System (INIS)

    Thantsha, N.M.; Macabebe, E.Q.B.; Vorster, F.J.; Dyk, E.E. van

    2009-01-01

    A different laser beam induced current (LBIC) mapping technique has been used for the measurements of spatial variation of light generated current of a solar cell. These variations are caused by parasitic resistances and defects at grain boundaries (GBs) in multicrystalline silicon solar cells (mc-Si). This study investigates and identifies the regions within mc-Si solar cells where dominating recombination and lifetime limiting processes occur. A description of the LBIC technique is presented and the results show how multicrystalline GBs and other defects affect the light generated current of a spot illuminated mc-Si solar cell. The results of the internal quantum efficiency (IQE) at wavelength of 660 nm revealed that some regions in mc-Si solar cell give rise to paths that lead current away from the intended load.

  6. Opto-electronic analysis of silicon solar cells by LBIC investigations and current-voltage characterization

    Energy Technology Data Exchange (ETDEWEB)

    Thantsha, N.M.; Macabebe, E.Q.B.; Vorster, F.J. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa); Dyk, E.E. van, E-mail: ernest.vandyk@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa)

    2009-12-01

    A different laser beam induced current (LBIC) mapping technique has been used for the measurements of spatial variation of light generated current of a solar cell. These variations are caused by parasitic resistances and defects at grain boundaries (GBs) in multicrystalline silicon solar cells (mc-Si). This study investigates and identifies the regions within mc-Si solar cells where dominating recombination and lifetime limiting processes occur. A description of the LBIC technique is presented and the results show how multicrystalline GBs and other defects affect the light generated current of a spot illuminated mc-Si solar cell. The results of the internal quantum efficiency (IQE) at wavelength of 660 nm revealed that some regions in mc-Si solar cell give rise to paths that lead current away from the intended load.

  7. Controlling the optical properties of monocrystalline 3C-SiC heteroepitaxially grown on silicon at low temperatures

    Science.gov (United States)

    Colston, Gerard; Myronov, Maksym

    2017-11-01

    Cubic silicon carbide (3C-SiC) offers an alternative wide bandgap semiconductor to conventional materials such as hexagonal silicon carbide (4H-SiC) or gallium nitride (GaN) for the detection of UV light and can offer a closely lattice matched virtual substrate for subsequent GaN heteroepitaxy. As 3C-SiC can be heteroepitaxially grown on silicon (Si) substrates its optical properties can be manipulated by controlling the thickness and doping concentrations. The optical properties of 3C-SiC epilayers have been characterized by measuring the transmission of light through suspended membranes. Decreasing the thickness of the 3C-SiC epilayers is shown to shift the absorbance edge to lower wavelengths, a result of the indirect bandgap nature of silicon carbide. This property, among others, can be exploited to fabricate very low-cost, tuneable 3C-SiC based UV photodetectors. This study investigates the effect of thickness and doping concentration on the optical properties of 3C-SiC epilayers grown at low temperatures by a standard Si based growth process. The results demonstrate the potential photonic applications of 3C-SiC and its heterogeneous integration into the Si industry.

  8. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  9. Silicon accumulation and distribution in petunia and sunflower grown in a rice hull-amended substrate

    Science.gov (United States)

    Silicon (Si) is a plant beneficial element associated with mitigation of abiotic and biotic stresses. Most greenhouse-grown ornamentals are considered low Si accumulators based on foliar Si concentration. However, Si accumulates in all tissues, and there is little published data on the distributio...

  10. Silicon alleviates Cd stress of wheat seedlings (Triticum turgidum L. cv. Claudio) grown in hydroponics

    DEFF Research Database (Denmark)

    Rizwan, M.; Meunier, J. D.; Davidian, J. C.

    2016-01-01

    We investigated the potential role of silicon in improving tolerance and decreasing cadmium (Cd) toxicity in durum wheat (Triticum turgidum L. durum) either through a reduced Cd uptake or exclusion/sequestration in non-metabolic tissues. For this, plants were grown in hydroponic conditions for 10...

  11. Defects in Czochralski-grown silicon crystals investigated by positron annihilation

    Energy Technology Data Exchange (ETDEWEB)

    Ikari, Atsushi; Kawakami, Kazuto; Haga, Hiroyo [Nippon Steel Corp., Sagamihara, Kanagawa (Japan). Electronics Research Labs.; Uedono, Akira; Wei, Long; Kawano, Takao; Tanigawa, Shoichiro

    1994-10-01

    Positron lifetime and Doppler broadening experiments were performed on Czochralski-grown silicon crystals. A monoenergetic positron beam was also used to measure the diffusion length of positrons in the wafer. From the measurements, it was observed that the value of diffusion length of positrons decreased at the region where microdefects were formed during the crystal growth process. It was also found that the line shape parameter S decreased and the lifetime of positrons increased at the region. These results can be attributed to the annihilation of positrons trapped by vacancy oxygen complexes which are formed in association with the microdefects. (author).

  12. Aggregation performance of CdO grains grown on surface of N silicon crystal

    International Nuclear Information System (INIS)

    Zhang Jizhong; Zhao Huan

    2010-01-01

    Four kinds of aggregation patterns of CdO grains were formed on the surface of N silicon substrate heated at 580 deg. C for 1 h in an evaporation-deposition device. They were ellipse-shaped or quasi-circular-shaped aggregate, long ribbon-shaped aggregate, long chain-shaped or long double-chain-shaped aggregate, and long ellipse-chain-shaped aggregate. These aggregates consisted of numerous grains or tiny crystals, and deposited on top of the CdO bush-like long crystal clusters grown earlier. They exhibited clearly spontaneous self-organization aggregation performance. Surface defects of the virgin N silicon crystal were analyzed, and mechanism of the self-organization aggregation was discussed with a defect induced aggregation (DIA) model.

  13. Electrical properties of as-grown and proton-irradiated high purity silicon

    Energy Technology Data Exchange (ETDEWEB)

    Krupka, Jerzy, E-mail: krupka@imio.pw.edu.pl [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Karcz, Waldemar [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna (Russian Federation); Kamiński, Paweł [Institute of Electronic Materials Technology, Wólczyńska 13, 301-919 Warsaw (Poland); Jensen, Leif [Topsil Semiconductor Materials A/S, Siliciumvej 1, DK-3600 Frederikssund (Denmark)

    2016-08-01

    The complex permittivity of as-grown and proton-irradiated samples of high purity silicon obtained by the floating zone method was measured as a function of temperature at a few frequencies in microwave spectrum by employing the quasi TE{sub 011} and whispering gallery modes excited in the samples under test. The resistivity of the samples was determined from the measured imaginary part of the permittivity. The resistivity was additionally measured at RF frequencies employing capacitive spectroscopy as well as in a standard direct current experiment. The sample of as-grown material had the resistivity of ∼85 kΩ cm at room temperature. The sample irradiated with 23-MeV protons had the resistivity of ∼500 kΩ cm at 295 K and its behavior was typical of the intrinsic material at room and at elevated temperatures. For the irradiated sample, the extrinsic conductivity region is missing and at temperatures below 250 K hopping conductivity occurs. Thermal cycle hysteresis of the resistivity for the sample of as-grown material is observed. After heating and subsequent cooling of the sample, its resistivity decreases and then slowly (∼50 h) returns to the initial value.

  14. Electron-spin-resonance study of radiation-induced paramagnetic defects in oxides grown on (100) silicon substrates

    International Nuclear Information System (INIS)

    Kim, Y.Y.; Lenahan, P.M.

    1988-01-01

    We have used electron-spin resonance to investigate radiation-induced point defects in Si/SiO 2 structures with (100) silicon substrates. We find that the radiation-induced point defects are quite similar to defects generated in Si/SiO 2 structures grown on (111) silicon substrates. In both cases, an oxygen-deficient silicon center, the E' defect, appears to be responsible for trapped positive charge. In both cases trivalent silicon (P/sub b/ centers) defects are primarily responsible for radiation-induced interface states. In earlier electron-spin-resonance studies of unirradiated (100) substrate capacitors two types of P/sub b/ centers were observed; in oxides prepared in three different ways only one of these centers, the P/sub b/ 0 defect, is generated in large numbers by ionizing radiation

  15. Polarization dependent femtosecond laser modification of MBE-grown III-V nanostructures on silicon

    OpenAIRE

    Zandbergen, Sander R.; Gibson, Ricky; Amirsolaimani, Babak; Mehravar, Soroush; Keiffer, Patrick; Azarm, Ali; Kieu, Khanh

    2017-01-01

    We report a novel, polarization dependent, femtosecond laser-induced modification of surface nanostructures of indium, gallium, and arsenic grown on silicon via molecular beam epitaxy, yielding shape control from linear and circular polarization of laser excitation. Linear polarization causes an elongation effect, beyond the dimensions of the unexposed nanostructures, ranging from 88 nm to over 1 um, and circular polarization causes the nanostructures to flatten out or form loops of material,...

  16. High Efficiency, Low Cost Solar Cells Manufactured Using 'Silicon Ink' on Thin Crystalline Silicon Wafers

    Energy Technology Data Exchange (ETDEWEB)

    Antoniadis, H.

    2011-03-01

    Reported are the development and demonstration of a 17% efficient 25mm x 25mm crystalline Silicon solar cell and a 16% efficient 125mm x 125mm crystalline Silicon solar cell, both produced by Ink-jet printing Silicon Ink on a thin crystalline Silicon wafer. To achieve these objectives, processing approaches were developed to print the Silicon Ink in a predetermined pattern to form a high efficiency selective emitter, remove the solvents in the Silicon Ink and fuse the deposited particle Silicon films. Additionally, standard solar cell manufacturing equipment with slightly modified processes were used to complete the fabrication of the Silicon Ink high efficiency solar cells. Also reported are the development and demonstration of a 18.5% efficient 125mm x 125mm monocrystalline Silicon cell, and a 17% efficient 125mm x 125mm multicrystalline Silicon cell, by utilizing high throughput Ink-jet and screen printing technologies. To achieve these objectives, Innovalight developed new high throughput processing tools to print and fuse both p and n type particle Silicon Inks in a predetermined pat-tern applied either on the front or the back of the cell. Additionally, a customized Ink-jet and screen printing systems, coupled with customized substrate handling solution, customized printing algorithms, and a customized ink drying process, in combination with a purchased turn-key line, were used to complete the high efficiency solar cells. This development work delivered a process capable of high volume producing 18.5% efficient crystalline Silicon solar cells and enabled the Innovalight to commercialize its technology by the summer of 2010.

  17. ZnO nanocoral reef grown on porous silicon substrates without catalyst

    International Nuclear Information System (INIS)

    Abdulgafour, H.I.; Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J.

    2011-01-01

    Research highlights: → Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. → Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. → The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. → This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 μm and from 217-229 nm to 0.6-0.7 μm, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.

  18. ZnO nanocoral reef grown on porous silicon substrates without catalyst

    Energy Technology Data Exchange (ETDEWEB)

    Abdulgafour, H.I., E-mail: hind_alshaikh@yahoo.com [School of Physics, University Sains Malaysia 11800 Penang (Malaysia); Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J. [School of Physics, University Sains Malaysia 11800 Penang (Malaysia)

    2011-05-05

    Research highlights: > Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. > Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. > The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. > This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 {mu}m and from 217-229 nm to 0.6-0.7 {mu}m, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.

  19. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  20. Realizing a facile and environmental-friendly fabrication of high-performance multi-crystalline silicon solar cells by employing ZnO nanostructures and an Al2O3 passivation layer

    Science.gov (United States)

    Chen, Hong-Yan; Lu, Hong-Liang; Sun, Long; Ren, Qing-Hua; Zhang, Hao; Ji, Xin-Ming; Liu, Wen-Jun; Ding, Shi-Jin; Yang, Xiao-Feng; Zhang, David Wei

    2016-01-01

    Nowadays, the multi-crystalline silicon (mc-Si) solar cells dominate the photovoltaic industry. However, the current acid etching method on mc-Si surface used by firms can hardly suppress the average reflectance value below 25% in the visible light spectrum. Meanwhile, the nitric acid and the hydrofluoric contained in the etching solution is both environmental unfriendly and highly toxic to human. Here, a mc-Si solar cell based on ZnO nanostructures and an Al2O3 spacer layer is demonstrated. The eco-friendly fabrication is realized by low temperature atomic layer deposition of Al2O3 layer as well as ZnO seed layer. Moreover, the ZnO nanostructures are prepared by nontoxic and low cost hydro-thermal growth process. Results show that the best passivation quality of the n+ -type mc-Si surface can be achieved by balancing the Si dangling bond saturation level and the negative charge concentration in the Al2O3 film. Moreover, the average reflectance on cell surface can be suppressed to 8.2% in 400–900 nm range by controlling the thickness of ZnO seed layer. With these two combined refinements, a maximum solar cell efficiency of 15.8% is obtained eventually. This work offer a facile way to realize the environmental friendly fabrication of high performance mc-Si solar cells. PMID:27924911

  1. Study on defects and impurities in cast-grown polycrystalline silicon substrates for solar cells

    International Nuclear Information System (INIS)

    Arafune, K.; Sasaki, T.; Wakabayashi, F.; Terada, Y.; Ohshita, Y.; Yamaguchi, M.

    2006-01-01

    We focused on the defects and impurities in polycrystalline silicon substrates, which deteriorate solar cell efficiency. Comparison of the minority carrier lifetime with the grain size showed that the region with short minority carrier lifetimes did not correspond to the region with small grains. Conversely, the minority carrier lifetime decreased as the etch-pit density (EPD) increased, suggesting that the minority carrier lifetime is strongly affected by the EPD. Electron beam induced current measurements revealed that a combination of grain boundaries and point defects had high recombination activity. Regarding impurities, the interstitial oxygen concentration was relatively low compared with that in a Czochralski-grown silicon substrate, the total carbon concentration exceeded the solubility limit of silicon melt. X-ray microprobe fluorescence measurements revealed a large amount of iron in the regions where there were many etch-pits and grain boundaries with etch-pits. X-ray absorption near edge spectrum analysis revealed trapped iron in the form of oxidized iron

  2. Impurity segregation behavior in polycrystalline silicon ingot grown with variation of electron-beam power

    Science.gov (United States)

    Lee, Jun-Kyu; Lee, Jin-Seok; Jang, Bo-Yun; Kim, Joon-Soo; Ahn, Young-Soo; Cho, Churl-Hee

    2014-08-01

    Electron beam melting (EBM) systems have been used to improve the purity of metallurgical grade silicon feedstock for photovoltaic application. Our advanced EBM system is able to effectively remove volatile impurities using a heat source with high energy from an electron gun and to continuously allow impurities to segregate at the top of an ingot solidified in a directional solidification (DS) zone in a vacuum chamber. Heat in the silicon melt should move toward the ingot bottom for the desired DS. However, heat flux though the ingot is changed as the ingot becomes longer due to low thermal conductivity of silicon. This causes a non-uniform microstructure of the ingot, finally leading to impurity segregation at its middle. In this research, EB power irradiated on the silicon melt was controlled during the ingot growth in order to suppress the change of heat flux. EB power was reduced from 12 to 6.6 kW during the growth period of 45 min with a drop rate of 0.125 kW/min. Also, the silicon ingot was grown under a constant EB power of 12 kW to estimate the effect of the drop rate of EB power. When the EB power was reduced, the grains with columnar shape were much larger at the middle of the ingot compared to the case of constant EB power. Also, the present research reports a possible reason for the improvement of ingot purity by considering heat flux behaviors.

  3. Micro-spectroscopy on silicon wafers and solar cells

    Directory of Open Access Journals (Sweden)

    Gundel Paul

    2011-01-01

    Full Text Available Abstract Micro-Raman (μRS and micro-photoluminescence spectroscopy (μPLS are demonstrated as valuable characterization techniques for fundamental research on silicon as well as for technological issues in the photovoltaic production. We measure the quantitative carrier recombination lifetime and the doping density with submicron resolution by μPLS and μRS. μPLS utilizes the carrier diffusion from a point excitation source and μRS the hole density-dependent Fano resonances of the first order Raman peak. This is demonstrated on micro defects in multicrystalline silicon. In comparison with the stress measurement by μRS, these measurements reveal the influence of stress on the recombination activity of metal precipitates. This can be attributed to the strong stress dependence of the carrier mobility (piezoresistance of silicon. With the aim of evaluating technological process steps, Fano resonances in μRS measurements are analyzed for the determination of the doping density and the carrier lifetime in selective emitters, laser fired doping structures, and back surface fields, while μPLS can show the micron-sized damage induced by the respective processes.

  4. Crystalline silicon cell performance at low light intensities

    Energy Technology Data Exchange (ETDEWEB)

    Reich, N.H.; van Sark, W.G.J.H.M.; Alsema, E.A.; Turkenburg, W.C. [Utrecht University, Faculty of Science, Copernicus Institute for Sustainable Development and Innovation, Department of Science, Techonology and Society, Heidelberglaan 2, 3584 CS Utrecht (Netherlands); Lof, R.W.; Schropp, R.E.I. [Utrecht University, Faculty of Science, Debye Institute for Nanomaterials Science, Nanophotonics - Physics of Device, Utrecht University, P.O. Box 80.000, 3508 TA Utrecht (Netherlands); Sinke, W.C. [Energy research Centre of the Netherlands (ECN), P.O. Box 1, 1755 ZG Petten (Netherlands)

    2009-09-15

    Measured and modelled JV characteristics of crystalline silicon cells below one sun intensity have been investigated. First, the JV characteristics were measured between 3 and 1000 W/m{sup 2} at 6 light levels for 41 industrially produced mono- and multi-crystalline cells from 8 manufacturers, and at 29 intensity levels for a single multi-crystalline silicon between 0.01 and 1000 W/m{sup 2}. Based on this experimental data, the accuracy of the following four modelling approaches was evaluated: (1) empirical fill factor expressions, (2) a purely empirical function, (3) the one-diode model and (4) the two-diode model. Results show that the fill factor expressions and the empirical function fail at low light intensities, but a new empirical equation that gives accurate fits could be derived. The accuracy of both diode models are very high. However, the accuracy depends considerably on the used diode model parameter sets. While comparing different methods to determine diode model parameter sets, the two-diode model is found to be preferred in principle: particularly its capability in accurately modelling V{sub OC} and efficiency with one and the same parameter set makes the two-diode model superior. The simulated energy yields of the 41 commercial cells as a function of irradiance intensity suggest unbiased shunt resistances larger than about 10 k{omega} cm{sup 2} may help to avoid low energy yields of cells used under predominantly low light intensities. Such cells with diode currents not larger than about 10{sup -9} A/cm{sup 2} are excellent candidates for Product Integrated PV (PIPV) appliances. (author)

  5. Defects in silicon carbide grown by fluorinated chemical vapor deposition chemistry

    Science.gov (United States)

    Stenberg, Pontus; Booker, Ian D.; Karhu, Robin; Pedersen, Henrik; Janzén, Erik; Ivanov, Ivan G.

    2018-04-01

    Point defects in n- and p-type 4H-SiC grown by fluorinated chemical vapor deposition (CVD) have been characterized optically by photoluminescence (PL) and electrically by deep-level transient spectroscopy (DLTS) and minority carrier transient spectroscopy (MCTS). The results are considered in comparison with defects observed in non-fluorinated CVD growth (e.g., using SiH4 instead of SiF4 as silicon precursor), in order to investigate whether specific fluorine-related defects form during the fluorinated CVD growth, which might prohibit the use of fluorinated chemistry for device-manufacturing purposes. Several new peaks identifying new defects appear in the PL of fluorinated-grown samples, which are not commonly observed neither in other halogenated chemistries, nor in the standard CVD chemistry using silane (SiH4). However, further investigation is needed in order to determine their origin and whether they are related to incorporation of F in the SiC lattice, or not. The electric characterization does not find any new electrically-active defects that can be related to F incorporation. Thus, we find no point defects prohibiting the use of fluorinated chemistry for device-making purposes.

  6. Wurtzite-Phased InP Micropillars Grown on Silicon with Low Surface Recombination Velocity.

    Science.gov (United States)

    Li, Kun; Ng, Kar Wei; Tran, Thai-Truong D; Sun, Hao; Lu, Fanglu; Chang-Hasnain, Connie J

    2015-11-11

    The direct growth of III-V nanostructures on silicon has shown great promise in the integration of optoelectronics with silicon-based technologies. Our previous work showed that scaling up nanostructures to microsize while maintaining high quality heterogeneous integration opens a pathway toward a complete photonic integrated circuit and high-efficiency cost-effective solar cells. In this paper, we present a thorough material study of novel metastable InP micropillars monolithically grown on silicon, focusing on two enabling aspects of this technology-the stress relaxation mechanism at the heterogeneous interface and the microstructure surface quality. Aberration-corrected transmission electron microscopy studies show that InP grows directly on silicon without any amorphous layer in between. A set of periodic dislocations was found at the heterointerface, relaxing the 8% lattice mismatch between InP and Si. Single crystalline InP therefore can grow on top of the fully relaxed template, yielding high-quality micropillars with diameters expanding beyond 1 μm. An interesting power-dependence trend of carrier recombination lifetimes was captured for these InP micropillars at room temperature, for the first time for micro/nanostructures. By simply combining internal quantum efficiency with carrier lifetime, we revealed the recombination dynamics of nonradiative and radiative portions separately. A very low surface recombination velocity of 1.1 × 10(3) cm/sec was obtained. In addition, we experimentally estimated the radiative recombination B coefficient of 2.0 × 10(-10) cm(3)/sec for pure wurtzite-phased InP. These values are comparable with those obtained from InP bulk. Exceeding the limits of conventional nanowires, our InP micropillars combine the strengths of both nanostructures and bulk materials and will provide an avenue in heterogeneous integration of III-V semiconductor materials onto silicon platforms.

  7. Advances in Contactless Silicon Defect and Impurity Diagnostics Based on Lifetime Spectroscopy and Infrared Imaging

    Directory of Open Access Journals (Sweden)

    Jan Schmidt

    2007-01-01

    Full Text Available This paper gives a review of some recent developments in the field of contactless silicon wafer characterization techniques based on lifetime spectroscopy and infrared imaging. In the first part of the contribution, we outline the status of different lifetime spectroscopy approaches suitable for the identification of impurities in silicon and discuss—in more detail—the technique of temperature- and injection-dependent lifetime spectroscopy. The second part of the paper focuses on the application of infrared cameras to analyze spatial inhomogeneities in silicon wafers. By measuring the infrared signal absorbed or emitted from light-generated free excess carriers, high-resolution recombination lifetime mappings can be generated within seconds to minutes. In addition, mappings of non-recombination-active trapping centers can be deduced from injection-dependent infrared lifetime images. The trap density has been demonstrated to be an important additional parameter in the characterization and assessment of solar-grade multicrystalline silicon wafers, as areas of increased trap density tend to deteriorate during solar cell processing.

  8. Annealing effects on recombinative activity of nickel at direct silicon bonded interface

    International Nuclear Information System (INIS)

    Kojima, Takuto; Ohshita, Yoshio; Yamaguchi, Masafumi

    2015-01-01

    By performing capacitance transient analyses, the recombination activity at a (110)/(100) direct silicon bonded (DSB) interface contaminated with nickel diffused at different temperatures, as a model of grain boundaries in multicrystalline silicon, was studied. The trap level depth from the valence band, trap density of states, and hole capture cross section peaked at an annealing temperature of 300 °C. At temperatures ⩾400 °C, the hole capture cross section increased with temperature, but the density of states remained unchanged. Further, synchrotron-based X-ray analyses, microprobe X-ray fluorescence (μ-XRF), and X-ray absorption near edge structure (XANES) analyses were performed. The analysis results indicated that the chemical phase after the sample was annealed at 200 °C was a mixture of NiO and NiSi 2

  9. Covalent functionalization of carbon nanotube forests grown in situ on a metal-silicon chip

    KAUST Repository

    Johansson, Johan R.

    2012-03-12

    We report on the successful covalent functionalization of carbon nanotube (CNT) forests, in situ grown on a silicon chip with thin metal contact film as the buffer layer between the CNT forests and the substrate. The CNT forests were successfully functionalized with active amine and azide groups, which can be used for further chemical reactions. The morphology of the CNT forests was maintained after the functionalization. We thus provide a promising foundation for a miniaturized biosensor arrays system that can be easily integrated with Complementary Metal-Oxide Semiconductor (CMOS) technology.

  10. Covalent functionalization of carbon nanotube forests grown in situ on a metal-silicon chip

    KAUST Repository

    Johansson, Johan R.; Bosaeus, Niklas; Kann, Nina; Å kerman, Bjö rn; Nordé n, Bengt; Khalid, Waqas

    2012-01-01

    We report on the successful covalent functionalization of carbon nanotube (CNT) forests, in situ grown on a silicon chip with thin metal contact film as the buffer layer between the CNT forests and the substrate. The CNT forests were successfully functionalized with active amine and azide groups, which can be used for further chemical reactions. The morphology of the CNT forests was maintained after the functionalization. We thus provide a promising foundation for a miniaturized biosensor arrays system that can be easily integrated with Complementary Metal-Oxide Semiconductor (CMOS) technology.

  11. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  12. Annealing effects on recombinative activity of nickel at direct silicon bonded interface

    Energy Technology Data Exchange (ETDEWEB)

    Kojima, Takuto, E-mail: tkojima@toyota-ti.ac.jp; Ohshita, Yoshio; Yamaguchi, Masafumi [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku-ku, Nagoya, 468-8511 (Japan)

    2015-09-15

    By performing capacitance transient analyses, the recombination activity at a (110)/(100) direct silicon bonded (DSB) interface contaminated with nickel diffused at different temperatures, as a model of grain boundaries in multicrystalline silicon, was studied. The trap level depth from the valence band, trap density of states, and hole capture cross section peaked at an annealing temperature of 300 °C. At temperatures ⩾400 °C, the hole capture cross section increased with temperature, but the density of states remained unchanged. Further, synchrotron-based X-ray analyses, microprobe X-ray fluorescence (μ-XRF), and X-ray absorption near edge structure (XANES) analyses were performed. The analysis results indicated that the chemical phase after the sample was annealed at 200 °C was a mixture of NiO and NiSi{sub 2}.

  13. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  14. Solar cells based upon multicrystalline Si with DLC antireflection and passivating coatings

    International Nuclear Information System (INIS)

    Klyui, N.; Litovchenko, V.; Neselevska, L.; Kostylyov, V.; Sarikov, A.; Taraschenko, N.; Kittler, M.; Seifert, W.

    2006-01-01

    The characteristics of multicrystalline Si solar cells covered by diamond-like carbon (DLC) antireflection coatings been experimentally studied. It has been shown that this kind of coating provides a significant increase of the efficiency of solar cells mainly due to the increase of the short-circuit current density. The effects of antireflection and of the surface and bulk passivation on the SC current-voltage characteristics due to the DLC deposition have been investigated theoretically. Physical mechanisms underlying the observed effects have been proposed

  15. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Crystal structure of (110) oriented La0.7Sr0.3MnO3 grown on (001) silicon

    International Nuclear Information System (INIS)

    Sinha, Umesh Kumar; Sahoo, Antarjami; Padhan, Prahallad

    2016-01-01

    The mixed valance perovskite manganites have attracted a considerable attention due to their colossal magnetoresistance behavior. In particular, La 0.7 Sr 0.3 MnO 3 (LSMO) show half metallicity and possess Curie temperature (T C ) above room temperature, which makes this material an attractive candidate for spintronic device application. Thin films of LSMO were grown on (001) oriented Silicon (Si) using sputtering technique

  17. Performance Improvements of Selective Emitters by Laser Openings on Large-Area Multicrystalline Si Solar Cells

    Directory of Open Access Journals (Sweden)

    Sheng-Shih Wang

    2014-01-01

    Full Text Available This study focuses on the laser opening technique used to form a selective emitter (SE structure on multicrystalline silicon (mc-Si. This technique can be used in the large-area (156 × 156 mm2 solar cells. SE process of this investigation was performed using 3 samples SE1–SE3. Laser fluences can vary in range of 2–5 J/cm2. The optimal conversion efficiency of 15.95% is obtained with the SE3 (2 J/cm2 fluence after laser opening with optimization of heavy and light dopant, which yields a gain of 0.48%abs compared with that of a reference cell (without fluence. In addition, this optimal SE3 cell displays improved characteristics compared with other cells with a higher average value of external quantum efficiency (EQEavg = 68.6% and a lower average value of power loss (Ploss = 2.33 mW/cm2. For the fabrication of solar cells, the laser opening process comprises fewer steps than traditional photolithography does. Furthermore, the laser opening process decreases consumption of chemical materials; therefore, the laser opening process decreases both time and cost. Therefore, SE process is simple, cheap, and suitable for commercialization. Moreover, the prominent features of the process render it effective means to promote overall performance in the photovoltaic industry.

  18. Microdefects in an as-grown Czochralski silicon crystal studied by synchrotron radiation section topography with aid of computer simulation

    International Nuclear Information System (INIS)

    Iida, Satoshi; Aoki, Yoshirou; Okitsu, Kouhei; Sugita, Yoshimitsu; Kawata, Hiroshi; Abe, Takao

    1998-01-01

    Grown-in microdefects of a Czochralski (CZ) silicon crystal grown at a slow growth rate were studied by section topography using high energy synchrotron radiation. Images of the microdefects in the section topographs were analyzed quantitatively using computer simulation based on the Takagi-Taupin type dynamical diffraction theory of X-rays, and reproduced successfully by the simulation when the microdefects were assumed to be spherical strain centers. Sizes and positions of the microdefects were able to be determined by detailed comparison between the experiments and the computer simulations. The validity of the computer simulation in an analysis of the section topographs is discussed. (author)

  19. Quantum efficiency of InAs/InP nanowire heterostructures grown on silicon substrates

    International Nuclear Information System (INIS)

    Anufriev, Roman; Chauvin, Nicolas; Bru-Chevallier, Catherine; Khmissi, Hammadi; Naji, Khalid; Gendry, Michel; Patriarche, Gilles

    2013-01-01

    Photoluminescence (PL) quantum efficiency (QE) is experimentally investigated, using an integrating sphere, as a function of excitation power on both InAs/InP quantum rod nanowires (QRod-NWs) and radial quantum well nanowires (QWell-NWs) grown on silicon substrates. The measured values of the QE are compared with those of the planar analogues such as quantum dash and quantum well samples, and found to be comparable for the quantum well structures at relatively low power density. Further studies reveal that the values of QE of the QRod-NWs and QWell-NWs are limited by the low quality of the InP NW structure and the quality of radial quantum well, respectively. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Semi-transparent perovskite solar cells for tandems with silicon and CIGS

    KAUST Repository

    Bailie, Colin D.

    2015-01-01

    © 2015 The Royal Society of Chemistry. A promising approach for upgrading the performance of an established low-bandgap solar technology without adding much cost is to deposit a high bandgap polycrystalline semiconductor on top to make a tandem solar cell. We use a transparent silver nanowire electrode on perovskite solar cells to achieve a semi-transparent device. We place the semi-transparent cell in a mechanically-stacked tandem configuration onto copper indium gallium diselenide (CIGS) and low-quality multicrystalline silicon (Si) to achieve solid-state polycrystalline tandem solar cells with a net improvement in efficiency over the bottom cell alone. This work paves the way for integrating perovskites into a low-cost and high-efficiency (>25%) tandem cell.

  1. Influence of the impurity-defect and impurity-impurity interactions on the crystalline silicon solar cells conversion efficiency; Influence des interactions impurete-defaut et impurete-impurete sur le rendement de conversion des cellules photovoltaiques au silicium cristallin

    Energy Technology Data Exchange (ETDEWEB)

    Dubois, S

    2007-05-15

    This study aims at understanding the influence of the impurity - defect interaction on the silicon solar cell performances. We studied first the case of single-crystalline silicon. We combined numerical simulations and experimental data providing new knowledge concerning metal impurities in silicon, to quantify the evolution of the conversion efficiency with the impurity concentration. Mainly due to the gettering effects, iron appears to be quite well tolerated. It is not the case for gold, diffusing too slowly. Hydrogenation effects were limited. We transposed then this study toward multi-crystalline silicon. Iron seems rather well tolerated, due to the gettering effects but also due to the efficiency of the hydrogenation. When slow diffusers are present, multi crystalline silicon is sensitive to thermal degradation. n-type silicon could solve this problem, this material being less sensitive to metal impurities. (author)

  2. Diode behavior in ultra-thin low temperature ALD grown zinc-oxide on silicon

    Directory of Open Access Journals (Sweden)

    Nazek El-Atab

    2013-10-01

    Full Text Available A thin-film ZnO(n/Si(p+ heterojunction diode is demonstrated. The thin film ZnO layer is deposited by Atomic Layer Deposition (ALD at different temperatures on a p-type silicon substrate. Atomic force microscopy (AFM AC-in-Air method in addition to conductive AFM (CAFM were used for the characterization of ZnO layer and to measure the current-voltage characteristics. Forward and reverse bias n-p diode behavior with good rectification properties is achieved. The diode with ZnO grown at 80°C exhibited the highest on/off ratio with a turn-on voltage (VON ∼3.5 V. The measured breakdown voltage (VBR and electric field (EBR for this diode are 5.4 V and 3.86 MV/cm, respectively.

  3. Analysis of Side-Wall Structure of Grown-in Twin-Type Octahedral Defects in Czochralski Silicon

    Science.gov (United States)

    Ueki, Takemi; Itsumi, Manabu; Takeda, Tadao

    1998-04-01

    We analyzed the side-wall structure of grown-in octahedral defects in Czochralski silicon standard wafers for large-scale integrated circuits. There are two types of twin octahedral defects: an overlapping type and an adjacent type. In the twin octahedral defects of the overlapping type, a hole is formed in the connection part. The side-wall layer in the hole part is formed continually and is the same thickness as the side-wall layers of both octahedrons. In the twin octahedral defects of the adjacent type, a partition layer is formed in the connection part. Our electron energy-loss spectroscopy analyses identified that the side-wall layer includes SiO2.

  4. Optoelectronic properties of Black-Silicon generated through inductively coupled plasma (ICP) processing for crystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hirsch, Jens, E-mail: J.Hirsch@emw.hs-anhalt.de [Anhalt University of Applied Sciences, Faculty EMW, Bernburger Str. 55, DE-06366 Köthen (Germany); Fraunhofer Center for Silicon Photovoltaics CSP, Otto-Eißfeldt-Str. 12, DE-06120 Halle (Saale) (Germany); Gaudig, Maria; Bernhard, Norbert [Anhalt University of Applied Sciences, Faculty EMW, Bernburger Str. 55, DE-06366 Köthen (Germany); Lausch, Dominik [Fraunhofer Center for Silicon Photovoltaics CSP, Otto-Eißfeldt-Str. 12, DE-06120 Halle (Saale) (Germany)

    2016-06-30

    Highlights: • Fabrication of black silicon through inductively coupled plasma (ICP) processing. • Suppressed formation a self-bias and therefore a reduced ion bombardment of the silicon sample. • Reduction of the average hemispherical reflection between 300 and 1120 nm up to 8% within 5 min ICP process time. • Reflection is almost independent of the angle of incidence up to 60°. • 2.5 ms effective lifetime at 10{sup 15} cm{sup −3} MCD after ALD Al{sub 2}O{sub 3} surface passivation. - Abstract: The optoelectronic properties of maskless inductively coupled plasma (ICP) generated black silicon through SF{sub 6} and O{sub 2} are analyzed by using reflection measurements, scanning electron microscopy (SEM) and quasi steady state photoconductivity (QSSPC). The results are discussed and compared to capacitively coupled plasma (CCP) and industrial standard wet chemical textures. The ICP process forms parabolic like surface structures in a scale of 500 nm. This surface structure reduces the average hemispherical reflection between 300 and 1120 nm up to 8%. Additionally, the ICP texture shows a weak increase of the hemispherical reflection under tilted angles of incidence up to 60°. Furthermore, we report that the ICP process is independent of the crystal orientation and the surface roughness. This allows the texturing of monocrystalline, multicrystalline and kerf-less wafers using the same parameter set. The ICP generation of black silicon does not apply a self-bias on the silicon sample. Therefore, the silicon sample is exposed to a reduced ion bombardment, which reduces the plasma induced surface damage. This leads to an enhancement of the effective charge carrier lifetime up to 2.5 ms at 10{sup 15} cm{sup −3} minority carrier density (MCD) after an atomic layer deposition (ALD) with Al{sub 2}O{sub 3}. Since excellent etch results were obtained already after 4 min process time, we conclude that the ICP generation of black silicon is a promising technique

  5. Bulk solar grade silicon: how chemistry and physics play to get a benevolent microstructured material

    Energy Technology Data Exchange (ETDEWEB)

    Pizzini, S. [University of Milano-Bicocca, Department of Materials Science, Milan (Italy); Nedsilicon SpA, Osimo, Ancona (Italy)

    2009-07-15

    The availability of low-cost alternatives to electronic grade silicon has been and still is the condition for the extensive use of photovoltaics as an efficient sun harvesting system. The first step towards this objective was positively carried out in the 1980s and resulted in the reduction in cost and energy of the growth process using as feedstock electronic grade scraps and a variety of solidification procedures, all of which deliver a multi-crystalline material of high photovoltaic quality. The second step was an intense R and D activity aiming at defining and developing at lab scale a new variety of silicon, called ''solar grade'' silicon, which should fulfil the requirement of both cost effectiveness and high conversion efficiency. The third step involved and still involves the development of cost-effective technologies for the manufacture of solar grade silicon, in alternative to the classical Siemens route, which relays, as is well-known, to the pyrolitic decomposition of high-purity trichlorosilane and which is, also in its more advanced versions, extremely energy intensive. Aim of this paper is to give the author's viewpoint about some open questions concerning bulk solar silicon for PV applications and about challenges and chances of novel feedstocks of direct metallurgical origin. (orig.)

  6. Silicon crystal growth using a liquid-feeding Czochralski method

    Science.gov (United States)

    Shiraishi, Yutaka; Kurosaka, Shoei; Imai, Masato

    1996-09-01

    Silicon single crystals with uniformity along the growth direction were grown using a new continuous Czochralski (CCZ) method. Polycrystalline silicon rods used as charge materials are melted by carbon heaters over a crucible without contact between the raw material and other substances. Using this method, silicon crystals with diameters as large as 6 or 8 inch and good uniformity along the growth direction were grown.

  7. Behavior of Particle Depots in Molten Silicon During Float-Zone Growth in Strong Static Magnetic Fields

    Science.gov (United States)

    Jauss, T.; SorgenFrei, T.; Croell, A.; Azizi, M.; Reimann, C.; Friedrich, J.; Volz, M. P.

    2014-01-01

    In the photovoltaics industry, the largest market share is represented by solar cells made from multicrystalline silicon, which is grown by directional solidification. During the growth process, the silicon melt is in contact with the silicon nitride coated crucible walls and the furnace atmosphere which contains carbon monoxide. The dissolution of the crucible coating, the carbon bearing gas, and the carbon already present in the feedstock, lead to the precipitation of silicon carbide, and silicon nitride, at later stages of the growth process. The precipitation of Si3N4 and SiC particles of up to several hundred micrometers in diameter leads to severe problems during the wire sawing process for wafering the ingots. Furthermore the growth of the silicon grains can be negatively influenced by the presence of particles, which act as nucleation sources and lead to a grit structure of small grains and are sources for dislocations. If doped with Nitrogen from the dissolved crucible coating, SiC is a semi conductive material, and can act as a shunt, short circuiting parts of the solar cell. For these reasons, the incorporation of such particles needs to be avoided. In this contribution we performed model experiments in which the transport of intentionally added SiC particles and their interaction with the solid-liquid interface during float zone growth of silicon in strong steady magnetic fields was investigated. SiC particles of 7µm and 60µm size are placed in single crystal silicon [100] and [111] rods of 8mm diameter. This is achieved by drilling a hole of 2mm diameter, filling in the particles and closing the hole by melting the surface of the rod until a film of silicon covers the hole. The samples are processed under a vacuum of 1x10(exp -5) mbar or better, to prevent gas inclusions. An oxide layer to suppress Marangoni convection is applied by wet oxidation. Experiments without and with static magnetic field are carried out to investigate the influence of melt

  8. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  9. Engineering piezoresistivity using biaxially strained silicon

    DEFF Research Database (Denmark)

    Pedersen, Jesper Goor; Richter, Jacob; Brandbyge, Mads

    2008-01-01

    of the piezocoefficient on temperature and dopant density is altered qualitatively for strained silicon. In particular, we find that a vanishing temperature coefficient may result for silicon with grown-in biaxial tensile strain. These results suggest that strained silicon may be used to engineer the iezoresistivity...

  10. Characteristics of AlN/GaN nanowire Bragg mirror grown on (001) silicon by molecular beam epitaxy

    KAUST Repository

    Heo, Junseok

    2013-10-01

    GaN nanowires containing AlN/GaN distributed Bragg reflector (DBR) heterostructures have been grown on (001) silicon substrate by molecular beam epitaxy. A peak reflectance of 70% with normal incidence at 560 nm is derived from angle resolved reflectance measurements on the as-grown nanowire DBR array. The measured peak reflectance wavelength is significantly blue-shifted from the ideal calculated value. The discrepancy is explained by investigating the reflectance of the nanoscale DBRs with a finite difference time domain technique. Ensemble nanowire microcavities with In0.3Ga 0.7N nanowires clad by AlN/GaN DBRs have also been characterized. Room temperature emission from the microcavity exhibits considerable linewidth narrowing compared to that measured for unclad In0.3Ga0.7N nanowires. The resonant emission is characterized by a peak wavelength and linewidth of 575 nm and 39 nm, respectively. © 2013 AIP Publishing LLC.

  11. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  12. Solution-Grown Silicon Nanowires for Lithium-Ion Battery Anodes

    KAUST Repository

    Chan, Candace K.; Patel, Reken N.; O’ Connell, Michael J.; Korgel, Brian A.; Cui, Yi

    2010-01-01

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires

  13. Transport Measurements and Synchrotron-Based X-Ray Absorption Spectroscopy of Iron Silicon Germanide Grown by Molecular Beam Epitaxy

    Science.gov (United States)

    Elmarhoumi, Nader; Cottier, Ryan; Merchan, Greg; Roy, Amitava; Lohn, Chris; Geisler, Heike; Ventrice, Carl, Jr.; Golding, Terry

    2009-03-01

    Some of the iron-based metal silicide and germanide phases have been predicted to be direct band gap semiconductors. Therefore, they show promise for use as optoelectronic materials. We have used synchrotron-based x-ray absorption spectroscopy to study the structure of iron silicon germanide films grown by molecular beam epitaxy. A series of Fe(Si1-xGex)2 thin films (2000 -- 8000å) with a nominal Ge concentration of up to x = 0.04 have been grown. X-ray absorption near edge structure (XANES) and extended x-ray absorption fine structure (EXAFS) measurements have been performed on the films. The nearest neighbor co-ordination corresponding to the β-FeSi2 phase of iron silicide provides the best fit with the EXAFS data. Temperature dependent (20 coefficient was calculated. Results suggest semiconducting behavior of the films which is consistent with the EXAFS results.

  14. Improvement of conversion efficiency of silicon solar cells using up-conversion molybdate La2Mo2O9:Yb,R (R=Er, Ho) phosphors

    Institute of Scientific and Technical Information of China (English)

    Yen-Chi Chen; Teng-Ming Chen

    2011-01-01

    The goal of this work was aimed to improve the power conversion efficiency of single crystalline silicon-based photovoltaic cells by using the solar spectral conversion principle,which employs an up-conversion phosphor to convert a low energy infrared photon to the more energetic visible photons to improve the spectral response.In this study,the surface of multicrystalline silicon solar cells was coated with an up-conversion molybdate phosphor to improve the spectral response of the solar cell in the ncar-infiared spectral range.The short circuit current (Isc),open circuit voltage (Voc),and conversion efficiency (η) of spectral conversion cells were measured.Preliminary experimental results revealed that the light conversion efficiency of a 1.5%-2.7% increase in Si-based cell was achieved.

  15. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  16. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  17. Silicon Web Process Development. [for solar cell fabrication

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Hopkins, R. H.; Mchugh, J. P.; Hill, F. E.; Heimlich, M. E.; Driggers, J. M.

    1979-01-01

    Silicon dendritic web, ribbon form of silicon and capable of fabrication into solar cells with greater than 15% AMl conversion efficiency, was produced from the melt without die shaping. Improvements were made both in the width of the web ribbons grown and in the techniques to replenish the liquid silicon as it is transformed to web. Through means of improved thermal shielding stress was reduced sufficiently so that web crystals nearly 4.5 cm wide were grown. The development of two subsystems, a silicon feeder and a melt level sensor, necessary to achieve an operational melt replenishment system, is described. A gas flow management technique is discussed and a laser reflection method to sense and control the melt level as silicon is replenished is examined.

  18. A multiple p-n junction structure obtained from as-grown Czochralski silicon crystals by heat treatment - Application to solar cells

    Science.gov (United States)

    Chi, J. Y.; Gatos, H. C.; Mao, B. Y.

    1980-01-01

    Multiple p-n junctions have been prepared in as-grown Czochralski p-type silicon through overcompensation near the oxygen periodic concentration maxima by oxygen thermal donors generated during heat treatment at 450 C. Application of the multiple p-n-junction configuration to photovoltaic energy conversion has been investigated. A new solar-cell structure based on multiple p-n-junctions was developed. Theoretical analysis showed that a significant increase in collection efficiency over the conventional solar cells can be achieved.

  19. Vertical integration of high-Q silicon nitride microresonators into silicon-on-insulator platform.

    Science.gov (United States)

    Li, Qing; Eftekhar, Ali A; Sodagar, Majid; Xia, Zhixuan; Atabaki, Amir H; Adibi, Ali

    2013-07-29

    We demonstrate a vertical integration of high-Q silicon nitride microresonators into the silicon-on-insulator platform for applications at the telecommunication wavelengths. Low-loss silicon nitride films with a thickness of 400 nm are successfully grown, enabling compact silicon nitride microresonators with ultra-high intrinsic Qs (~ 6 × 10(6) for 60 μm radius and ~ 2 × 10(7) for 240 μm radius). The coupling between the silicon nitride microresonator and the underneath silicon waveguide is based on evanescent coupling with silicon dioxide as buffer. Selective coupling to a desired radial mode of the silicon nitride microresonator is also achievable using a pulley coupling scheme. In this work, a 60-μm-radius silicon nitride microresonator has been successfully integrated into the silicon-on-insulator platform, showing a single-mode operation with an intrinsic Q of 2 × 10(6).

  20. Oxygen measurements in thin ribbon silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hyland, S L; Ast, D G; Baghdadi, A

    1987-03-01

    The oxygen content of thin silicon ribbons grown by the dendritic web technique was measured using a modification of the ASTM method based on Fourier transform infrared spectroscopy. Web silicon was found to have a high oxygen content, ranging from 13 to 19 ppma, calculated from the absorption peak associated with interstitial oxygen and using the new ASTM conversion coefficient. The oxygen concentration changed by about 10% along the growth direction of the ribbon. In some samples, a shoulder was detected on the absorption peak. A similar shoulder in Czochralski grown material has been variously interpreted in the literature as due to a complex of silicon, oxygen, and vacancies, or to a phase of SiO/sub 2/ developed along dislocations in the material. In the case of web silicon, it is not clear which is the correct interpretation.

  1. On red-shift of UV photoluminescence with decreasing size of silicon nanoparticles embedded in SiO2 matrix grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Chaturvedi, Amita; Joshi, M.P.; Rani, Ekta; Ingale, Alka; Srivastava, A.K.; Kukreja, L.M.

    2014-01-01

    Ensembles of silicon nanoparticles (Si-nps) embedded in SiO 2 matrix were grown by alternate ablation of Si and SiO 2 targets using KrF excimer laser based pulsed laser deposition (PLD). The sizes of Si-nps (mean size ranging from 1–5 nm) were controlled by varying the ablation time of silicon target. Transmission electron microscopy (TEM) along with selected area electron diffraction (SAED) and Raman spectroscopy were used to confirm the growth of silicon nanoparticles, its size variation with growth time and the crystalline quality of the grown nanoparticles. TEM analysis showed that mean size and size distribution of Si-nps increased with increase in the ablation time of Si target. Intense peaks ∼521 cm −1 in Raman analysis showed reasonably good crystalline quality of grown Si-nps. We observed asymmetric broadening of phonon line shapes which also redshift with decreasing size of Si-nps. Photoluminescence (PL) from these samples, obtained at room temperature, was broad band and consisted of three bands in UV and visible range. The intensity of PL band in UV spectral range (peak ∼3.2 eV) was strong compared to visible range bands (peaks ∼2.95 eV and ∼2.55 eV). We observed a small red-shift (∼0.07 eV) of peak position of UV range PL with the decrease in the mean sizes of Si-nps, while there was no appreciable size dependent shift of PL peak positions for other bands in the visible range. The width of UV PL band was also found to increase with decrease of Si-nps mean sizes. Based on the above observations of size dependent redshift of UV range PL band together with the PL lifetimes and PL excitation spectroscopy, the origin of UV PL band is attributed to the direct band transition at the Γ point of Si band structure. Visible range bands were ascribed as defect related transitions. The weak intensities of PL bands ∼2.95 eV and ∼2.55 eV suggested that Si nanoparticles grown by PLD were efficiently capped or passivated by SiO 2 with low density of

  2. Growth, Carbon Isotope Discrimination and Nitrogen Uptake in Silicon and/or Potassium Fed barley Grown under Two Watering Regimes

    OpenAIRE

    Kurdali, Fawaz; Al-Chammaa, Mohammad

    2013-01-01

    The present pot experiment was an attempt to monitor the beneficial effects of silicon (Si) and/or potassium (K) applications on growth and nitrogen uptake in barley plants grown under water (FC1) and non water (FC2) stress conditions using 15N and 13C isotopes. Three fertilizer rates of Si (Si 50, Si 100 and Si 200) and one fertilizer rate of K were used. Dry matter (DM) and N yield (NY) in different plant parts of barley plants was affected by Si and/ or K fertilization as well as by the wa...

  3. VLS-grown diffusion doped ZnO nanowires and their luminescence properties

    International Nuclear Information System (INIS)

    Roy, Pushan Guha; Dutta, Amartya; Das, Arpita; Bhattacharyya, Anirban; Sen, Sayantani; Pramanik, Pallabi

    2015-01-01

    Zinc Oxide (ZnO) nanowires were deposited by vapor–liquid–solid (VLS) method on to aluminum doped ZnO (AZO) thin films grown by sol-gel technique. For various device applications, current injection into such nanowires is critical. This is expected to be more efficient for ZnO nanowires deposited on to AZO compared to those deposited on to a foreign substrate such as silicon. In this work we compare the morphological and optical properties of nanowires grown on AZO with those grown under similar conditions on silicon (Si) wafers. For nanowires grown on silicon, diameters around 44 nm with heights around 2.2 μm were obtained. For the growth on to AZO, the diameters were around 90 nm while the heights were around 520 nm. Room temperature photoluminescence (RT-PL) measurements show improved near band-edge emission for nanowires grown on to AZO, indicating higher material quality. This is further established by low temperature photoluminescence (LT-PL) measurements where excitonic transitions with width as small as 14 meV have been obtained at 4 K for such structures. Electron energy loss spectroscopy (EELS) studies indicate the presence of Al in the nanowires, indicating a new technique for introduction of dopants into these structures. These results indicate that ZnO nanowires on sol-gel grown AZO thin films show promise in the development of various optoelectronic devices. (paper)

  4. A continuous Czochralski silicon crystal growth system

    Science.gov (United States)

    Wang, C.; Zhang, H.; Wang, T. H.; Ciszek, T. F.

    2003-03-01

    Demand for large silicon wafers has driven the growth of silicon crystals from 200 to 300 mm in diameter. With the increasing silicon ingot sizes, melt volume has grown dramatically. Melt flow becomes more turbulent as melt height and volume increase. To suppress turbulent flow in a large silicon melt, a new Czochralski (CZ) growth furnace has been designed that has a shallow melt. In this new design, a crucible consists of a shallow growth compartment in the center and a deep feeding compartment around the periphery. Two compartments are connected with a narrow annular channel. A long crystal may be continuously grown by feeding silicon pellets into the dedicated feeding compartment. We use our numerical model to simulate temperature distribution and velocity field in a conventional 200-mm CZ crystal growth system and also in the new shallow crucible CZ system. By comparison, advantages and disadvantages of the proposed system are observed, operating conditions are determined, and the new system is improved.

  5. Photoluminescence studies of cubic phase GaN grown by molecular beam epitaxy on (001) silicon covered with SiC layer

    International Nuclear Information System (INIS)

    Godlewski, M.; Ivanov, V.Yu.; Bergman, J.P.; Monemar, B.; Barski, A.; Langer, R.

    1997-01-01

    In this work we evaluate optical properties of cubic phase GaN epilayers grown on top of (001) silicon substrate prepared by new process. Prior to the growth Si substrate was annealed at 1300-1400 o C in propane. The so-prepared substrate is covered within a thin (∼ 4 nm) SiC wafer, which allowed a successful growth of good morphological quality cubic phase GaN epilayers. The present results confirm recent suggestion on smaller ionization energies of acceptors in cubic phase GaN epilayers. (author)

  6. Application of hydrogen-plasma technology for property modification of silicon and producing the silicon-based structures

    International Nuclear Information System (INIS)

    Fedotov, A.K.; Mazanik, A.V.; Ul'yashin, A.G.; Dzhob, R; Farner, V.R.

    2000-01-01

    Effects of atomic hydrogen on the properties of Czochralski-grown single crystal silicon as well as polycrystalline shaped silicon have been investigated. It was established that the buried defect layers created by high-energy hydrogen or helium ion implantation act as a good getter centers for hydrogen atoms introduced in silicon in the process of hydrogen plasma hydrogenation. Atomic hydrogen was shown to be active as a catalyzer significantly enhancing the rate of thermal donors formation in p-type single crystal silicon. This effect can be used for n-p- and p-n-p-silicon based device structures producing [ru

  7. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    Science.gov (United States)

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  8. Breakdown voltage mapping through voltage dependent ReBEL intensity imaging of multi-crystalline Si solar cells

    Science.gov (United States)

    Dix-Peek, RM.; van Dyk, EE.; Vorster, FJ.; Pretorius, CJ.

    2018-04-01

    Device material quality affects both the efficiency and the longevity of photovoltaic (PV) cells. Therefore, identifying these defects can be beneficial in the development of more efficient and longer lasting PV cells. In this study, a combination of spatially-resolved, electroluminescence (EL), and light beam induced current (LBIC) measurements, were used to identify specific defects and features of a multi-crystalline Si PV cells. In this study, a novel approach is used to map the breakdown voltage of a PV cell through voltage dependent Reverse Bias EL (ReBEL) intensity imaging.

  9. Strong white and blue photoluminescence from silicon nanocrystals in SiNx grown by remote PECVD using SiCl4/NH3

    International Nuclear Information System (INIS)

    Benami, A; Santana, G; Ortiz, A; Ponce, A; Romeu, D; Aguilar-Hernandez, J; Contreras-Puente, G; Alonso, J C

    2007-01-01

    Strong white and blue photoluminescence (PL) from as-grown silicon nanocrystals (nc-Si) in SiN x films prepared by remote plasma enhanced chemical vapour deposition using SiCl 4 /NH 3 mixtures is reported. The colour and intensity of the PL could be controlled by adjusting the NH 3 flow rate. Samples with white emission were annealed at 1000 deg. C, obtaining a strong improvement of the PL intensity with a blue colour. The PL can be attributed to quantum confinement effect in nc-Si embedded in SiN x matrix, which is improved when a better passivation of nc-Si surface with chlorine and nitrogen atoms is obtained. The size, density and structure of the nc-Si in the as-grown and annealed films were confirmed and measured by high-resolution transmission electron microscopy

  10. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  11. Structural and optical properties of 70-keV carbon ion beam synthesized carbon nanoclusters in thermally grown silicon dioxide

    International Nuclear Information System (INIS)

    Poudel, P.R.; Poudel, P.P.; Paramo, J.A.; Strzhemechny, Y.M.; Rout, B.; McDaniel, F.D.

    2015-01-01

    The structural and optical properties of carbon nanoclusters formed in thermally grown silicon dioxide film via the ion beam synthesis process have been investigated. A low-energy (70 keV) carbon ion beam (C - ) at a fluence of 3 x 10 17 atoms/cm 2 was used for implantation into a thermally grown silicon dioxide layer (500 nm thick) on a Si (100) wafer. Several parts of the implanted samples were subsequently annealed in a gas mixture (4 % H 2 + 96 % Ar) at 900 C for different time periods. The as-implanted and annealed samples were characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy, Raman spectroscopy, transmission electron microscopy (TEM), and photoluminescence spectroscopy (PL). The carbon ion implantation depth profile was simulated using a widely used Monte Carlo-based simulation code SRIM-2012. Additionally, the elemental depth profile of the implanted carbon along with host elements of silicon and oxygen were simulated using a dynamic ion-solid interaction code T-DYN, which incorporates the effects of the surface sputtering and gradual change in the elemental composition in the implanted layers due to high-fluence ion implantation. The elemental depth profile obtained from the XPS measurements matches closely to the T-DYN predictions. Raman measurements indicate the formation of graphitic phases in the annealed samples. The graphitic peak (G-peak) was found to be increased with the annealing time duration. In the sample annealed for 10 min, the sizes of the carbon nanoclusters were found to be 1-4 nm in diameter using TEM. The PL measurements at room temperature using a 325-nm laser show broad-band emissions in the ultraviolet to visible range in the as-implanted sample. Intense narrow bands along with the broad bands were observed in the annealed samples. The defects present in the as-grown samples along with carbon ion-induced defect centers in the as-implanted samples are the main contributors to the observed

  12. Structural and optical properties of 70-keV carbon ion beam synthesized carbon nanoclusters in thermally grown silicon dioxide

    Energy Technology Data Exchange (ETDEWEB)

    Poudel, P.R. [University of North Texas, Ion Beam Modification and Analysis Laboratory, Department of Physics, Denton, TX (United States); Intel Corporation, Rio Rancho, NM (United States); Poudel, P.P. [University of Kentucky, Department of Chemistry, Lexington, KY (United States); Paramo, J.A.; Strzhemechny, Y.M. [Texas Christian University, Department of Physics and Astronomy, Fort Worth, TX (United States); Rout, B. [University of North Texas, Ion Beam Modification and Analysis Laboratory, Department of Physics, Denton, TX (United States); University of North Texas, Center for Advanced Research and Technology, Denton, TX (United States); McDaniel, F.D. [University of North Texas, Ion Beam Modification and Analysis Laboratory, Department of Physics, Denton, TX (United States)

    2014-09-18

    The structural and optical properties of carbon nanoclusters formed in thermally grown silicon dioxide film via the ion beam synthesis process have been investigated. A low-energy (70 keV) carbon ion beam (C{sup -}) at a fluence of 3 x 10{sup 17} atoms/cm{sup 2} was used for implantation into a thermally grown silicon dioxide layer (500 nm thick) on a Si (100) wafer. Several parts of the implanted samples were subsequently annealed in a gas mixture (4 % H{sub 2} + 96 % Ar) at 900 C for different time periods. The as-implanted and annealed samples were characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy, Raman spectroscopy, transmission electron microscopy (TEM), and photoluminescence spectroscopy (PL). The carbon ion implantation depth profile was simulated using a widely used Monte Carlo-based simulation code SRIM-2012. Additionally, the elemental depth profile of the implanted carbon along with host elements of silicon and oxygen were simulated using a dynamic ion-solid interaction code T-DYN, which incorporates the effects of the surface sputtering and gradual change in the elemental composition in the implanted layers due to high-fluence ion implantation. The elemental depth profile obtained from the XPS measurements matches closely to the T-DYN predictions. Raman measurements indicate the formation of graphitic phases in the annealed samples. The graphitic peak (G-peak) was found to be increased with the annealing time duration. In the sample annealed for 10 min, the sizes of the carbon nanoclusters were found to be 1-4 nm in diameter using TEM. The PL measurements at room temperature using a 325-nm laser show broad-band emissions in the ultraviolet to visible range in the as-implanted sample. Intense narrow bands along with the broad bands were observed in the annealed samples. The defects present in the as-grown samples along with carbon ion-induced defect centers in the as-implanted samples are the main

  13. High brightness InP micropillars grown on silicon with Fermi level splitting larger than 1 eV.

    Science.gov (United States)

    Tran, Thai-Truong D; Sun, Hao; Ng, Kar Wei; Ren, Fan; Li, Kun; Lu, Fanglu; Yablonovitch, Eli; Chang-Hasnain, Constance J

    2014-06-11

    The growth of III-V nanowires on silicon is a promising approach for low-cost, large-scale III-V photovoltaics. However, performances of III-V nanowire solar cells have not yet been as good as their bulk counterparts, as nanostructured light absorbers are fundamentally challenged by enhanced minority carriers surface recombination rates. The resulting nonradiative losses lead to significant reductions in the external spontaneous emission quantum yield, which, in turn, manifest as penalties in the open-circuit voltage. In this work, calibrated photoluminescence measurements are utilized to construct equivalent voltage-current characteristics relating illumination intensities to Fermi level splitting ΔF inside InP microillars. Under 1 sun, we show that splitting can exceed ΔF ∼ 0.90 eV in undoped pillars. This value can be increased to values of ΔF ∼ 0.95 eV by cleaning pillar surfaces in acidic etchants. Pillars with nanotextured surfaces can yield splitting of ΔF ∼ 0.90 eV, even though they exhibit high densities of stacking faults. Finally, by introducing n-dopants, ΔF of 1.07 eV can be achieved due to a wider bandgap energy in n-doped wurzite InP, the higher brightness of doped materials, and the extraordinarily low surface recombination velocity of InP. This is the highest reported value for InP materials grown on a silicon substrate. These results provide further evidence that InP micropillars on silicon could be a promising material for low-cost, large-scale solar cells with high efficiency.

  14. Simulation of atomistic processes during silicon oxidation

    OpenAIRE

    Bongiorno, Angelo

    2003-01-01

    Silicon dioxide (SiO2) films grown on silicon monocrystal (Si) substrates form the gate oxides in current Si-based microelectronics devices. The understanding at the atomic scale of both the silicon oxidation process and the properties of the Si(100)-SiO2 interface is of significant importance in state-of-the-art silicon microelectronics manufacturing. These two topics are intimately coupled and are both addressed in this theoretical investigation mainly through first-principles calculations....

  15. Solution-grown silicon nanowires for lithium-ion battery anodes.

    Science.gov (United States)

    Chan, Candace K; Patel, Reken N; O'Connell, Michael J; Korgel, Brian A; Cui, Yi

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles.

  16. Solution-Grown Silicon Nanowires for Lithium-Ion Battery Anodes

    KAUST Repository

    Chan, Candace K.

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles. © 2010 American Chemical Society.

  17. Influence of intermediate layers on the surface condition of laser crystallized silicon thin films and solar cell performance

    Energy Technology Data Exchange (ETDEWEB)

    Höger, Ingmar, E-mail: ingmar.hoeger@ipht-jena.de; Gawlik, Annett; Brückner, Uwe; Andrä, Gudrun [Leibniz-Institut für Photonische Technologien, PF 100239, 07702 Jena (Germany); Himmerlich, Marcel; Krischok, Stefan [Institut für Mikro-und Nanotechnologien, Technische Universität Ilmenau, PF 100565, 98684 Ilmenau (Germany)

    2016-01-28

    The intermediate layer (IL) between glass substrate and silicon plays a significant role in the optimization of multicrystalline liquid phase crystallized silicon thin film solar cells on glass. This study deals with the influence of the IL on the surface condition and the required chemical surface treatment of the crystallized silicon (mc-Si), which is of particular interest for a-Si:H heterojunction thin film solar cells. Two types of IL were investigated: sputtered silicon nitride (SiN) and a layer stack consisting of silicon nitride and silicon oxide (SiN/SiO). X-ray photoelectron spectroscopy measurements revealed the formation of silicon oxynitride (SiO{sub x}N{sub y}) or silicon oxide (SiO{sub 2}) layers at the surface of the mc-Si after liquid phase crystallization on SiN or SiN/SiO, respectively. We propose that SiO{sub x}N{sub y} formation is governed by dissolving nitrogen from the SiN layer in the silicon melt, which segregates at the crystallization front during crystallization. This process is successfully hindered, when additional SiO layers are introduced into the IL. In order to achieve solar cell open circuit voltages above 500 mV, a removal of the formed SiO{sub x}N{sub y} top layer is required using sophisticated cleaning of the crystallized silicon prior to a-Si:H deposition. However, solar cells crystallized on SiN/SiO yield high open circuit voltage even when a simple wet chemical surface treatment is applied. The implementation of SiN/SiO intermediate layers facilitates the production of mesa type solar cells with open circuit voltages above 600 mV and a power conversion efficiency of 10%.

  18. Electrical activation of phosphorus in silicon

    International Nuclear Information System (INIS)

    Goh, K.E.J.; Oberbeck, L.; Simmons, M.Y.; Clark, R.G.

    2003-01-01

    Full text: We present studies of phosphorus δ-doping in silicon with a view to determining the degree of electrical activation of the dopants. These results have a direct consequence for the use of phosphorus as a qubit in a silicon-based quantum computer such as that proposed by Kane. Room temperature and 4 K Hall effect measurements are presented for phosphorus δ-doped layers grown in n-type silicon using two different methods. In the first method, the δ-layer was deposited by a phosphorus effusion cell in an MBE chamber. In the second method, the Si surface was dosed with phosphine gas and then annealed to 550 deg C to incorporate P into the substrate. In both methods, the P δ-doped layer was subsequently encapsulated by ∼25 nm of Si grown epitaxially. We discuss the implications of our results on the fabrication of the Kane quantum computer

  19. Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon

    Science.gov (United States)

    Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca

    2018-06-01

    Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.

  20. Bulletin of Materials Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    The effect of formation of porous silicon on the performance of multi-crystalline silicon (mc-Si) solar cells is presented. Surface treatment of mc-Si solar cells was performed by electrochemical etching in HF-based solution. The effect of etching is viewed through scanning electron microscope (SEM) photographs that ...

  1. A DLTS study of hydrogen doped czochralski-grown silicon

    Energy Technology Data Exchange (ETDEWEB)

    Jelinek, M. [Infineon Technologies Austria AG, 9500 Villach (Austria); Laven, J.G. [Infineon Technologies AG, 81726 Munich (Germany); Kirnstoetter, S. [Institute of Solid State Physics, Graz University of Technology, 8010 Graz (Austria); Schustereder, W. [Infineon Technologies Austria AG, 9500 Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, 81726 Munich (Germany); Rommel, M. [Fraunhofer Institute of Integrated Systems and Devices IISB, 91058 Erlangen (Germany); Frey, L. [Fraunhofer Institute of Integrated Systems and Devices IISB, 91058 Erlangen (Germany); Chair of Electron Devices, FAU Erlangen-Nuremberg, 91058 Erlangen (Germany)

    2015-12-15

    In this study we examine proton implanted and subsequently annealed commercially available CZ wafers with the DLTS method. Depth-resolved spreading resistance measurements are shown, indicating an additional peak in the induced doping profile, not seen in the impurity-lean FZ reference samples. The additional peak lies about 10–15 μm deeper than the main peak near the projected range of the protons. A DLTS characterization in the depth of the additional peak indicates that it is most likely not caused by classical hydrogen-related donors known also from FZ silicon but by an additional donor complex whose formation is assisted by the presence of silicon self-interstitials.

  2. Reprogramming hMSCs morphology with silicon/porous silicon geometric micro-patterns.

    Science.gov (United States)

    Ynsa, M D; Dang, Z Y; Manso-Silvan, M; Song, J; Azimi, S; Wu, J F; Liang, H D; Torres-Costa, V; Punzon-Quijorna, E; Breese, M B H; Garcia-Ruiz, J P

    2014-04-01

    Geometric micro-patterned surfaces of silicon combined with porous silicon (Si/PSi) have been manufactured to study the behaviour of human Mesenchymal Stem Cells (hMSCs). These micro-patterns consist of regular silicon hexagons surrounded by spaced columns of silicon equilateral triangles separated by PSi. The results show that, at an early culture stage, the hMSCs resemble quiescent cells on the central hexagons with centered nuclei and actin/β-catenin and a microtubules network denoting cell adhesion. After 2 days, hMSCs adapted their morphology and cytoskeleton proteins from cell-cell dominant interactions at the center of the hexagonal surface. This was followed by an intermediate zone with some external actin fibres/β-catenin interactions and an outer zone where the dominant interactions are cell-silicon. Cells move into silicon columns to divide, migrate and communicate. Furthermore, results show that Runx2 and vitamin D receptors, both specific transcription factors for skeleton-derived cells, are expressed in cells grown on micropatterned silicon under all observed circumstances. On the other hand, non-phenotypic alterations are under cell growth and migration on Si/PSi substrates. The former consideration strongly supports the use of micro-patterned silicon surfaces to address pending questions about the mechanisms of human bone biogenesis/pathogenesis and the study of bone scaffolds.

  3. to view fulltext PDF

    Indian Academy of Sciences (India)

    Administrator

    Improvement of multicrystalline silicon wafer solar cells by ... On statistical behaviour of stress drops in Portevin–Le. Chatelier .... Synthesis, growth, optical, mechanical and electrical ..... D.C. conductivity and spectroscopic studies of polyaniline.

  4. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  5. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  6. Bulletin of Materials Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Keywords. Silicon; PECVD; passivation; AFM; H3PO4 etch. Abstract. In this study, we have improved electrical characteristics such as the efficiency () and the fill factor (FF) of finished multicrystalline silicon (-Si) solar cells by using a new chemical treatment with a hot phosphoric (H3PO4) acidic solution. These -Si ...

  7. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  8. Quality evaluation of resistivity-controlled silicon crystals

    Science.gov (United States)

    Wang, Jong Hoe

    2006-01-01

    The segregation phenomenon of dopants causes a low production yield of silicon crystal that meets the resistivity tolerance required by device manufacturers. In order to control the macroscopic axial resistivity distribution in bulk crystal growth, numerous studies including continuous Czochralski method and double crucible technique have been studied. The simple B-P codoping method for improving the productivity of p-type silicon single-crystal growth by controlling axial specific resistivity distribution was proposed by Wang [Jpn. J. Appl. Phys. 43 (2004) 4079]. In this work, the quality of Czochralski-grown silicon single crystals with a diameter 200 mm using B-P codoping method was studied from the chemical and structural points of view. It was found that the characteristics of B-P codoped wafers including the oxygen precipitation behavior and the grown-in defects are same as that of conventional B-doped Czochralski crystals.

  9. Influence of Chemical Composition and Structure in Silicon Dielectric Materials on Passivation of Thin Crystalline Silicon on Glass.

    Science.gov (United States)

    Calnan, Sonya; Gabriel, Onno; Rothert, Inga; Werth, Matteo; Ring, Sven; Stannowski, Bernd; Schlatmann, Rutger

    2015-09-02

    In this study, various silicon dielectric films, namely, a-SiOx:H, a-SiNx:H, and a-SiOxNy:H, grown by plasma enhanced chemical vapor deposition (PECVD) were evaluated for use as interlayers (ILs) between crystalline silicon and glass. Chemical bonding analysis using Fourier transform infrared spectroscopy showed that high values of oxidant gases (CO2 and/or N2), added to SiH4 during PECVD, reduced the Si-H and N-H bond density in the silicon dielectrics. Various three layer stacks combining the silicon dielectric materials were designed to minimize optical losses between silicon and glass in rear side contacted heterojunction pn test cells. The PECVD grown silicon dielectrics retained their functionality despite being subjected to harsh subsequent processing such as crystallization of the silicon at 1414 °C or above. High values of short circuit current density (Jsc; without additional hydrogen passivation) required a high density of Si-H bonds and for the nitrogen containing films, additionally, a high N-H bond density. Concurrently high values of both Jsc and open circuit voltage Voc were only observed when [Si-H] was equal to or exceeded [N-H]. Generally, Voc correlated with a high density of [Si-H] bonds in the silicon dielectric; otherwise, additional hydrogen passivation using an active plasma process was required. The highest Voc ∼ 560 mV, for a silicon acceptor concentration of about 10(16) cm(-3), was observed for stacks where an a-SiOxNy:H film was adjacent to the silicon. Regardless of the cell absorber thickness, field effect passivation of the buried silicon surface by the silicon dielectric was mandatory for efficient collection of carriers generated from short wavelength light (in the vicinity of the glass-Si interface). However, additional hydrogen passivation was obligatory for an increased diffusion length of the photogenerated carriers and thus Jsc in solar cells with thicker absorbers.

  10. Polycrystalline ZnO: B grown by LPCVD as TCO for thin film silicon solar cells

    International Nuclear Information System (INIS)

    Fay, Sylvie; Steinhauser, Jerome; Nicolay, Sylvain; Ballif, Christophe

    2010-01-01

    Conductive zinc oxide (ZnO) grown by low pressure chemical vapor deposition (LPCVD) technique possesses a rough surface that induces an efficient light scattering in thin film silicon (TF Si) solar cells, which makes this TCO an ideal candidate for contacting such devices. IMT-EPFL has developed an in-house LPCVD process for the deposition of nanotextured boron doped ZnO films used as rough TCO for TF Si solar cells. This paper is a general review and synthesis of the study of the electrical, optical and structural properties of the ZnO:B that has been performed at IMT-EPFL. The influence of the free carrier absorption and the grain size on the electrical and optical properties of LPCVD ZnO:B is discussed. Transport mechanisms at grain boundaries are studied. It is seen that high doping of the ZnO grains facilitates the tunnelling of the electrons through potential barriers that are located at the grain boundaries. Therefore, even if these potential barriers increase after an exposition of the film to a humid atmosphere, the heavily doped LPCVD ZnO:B layers show a remarkable stable conductivity. However, the introduction of diborane in the CVD reaction induces also a degradation of the intra-grain mobility and increases over-proportionally the optical absorption of the ZnO:B films. Hence, the necessity to finely tune the doping level of LPCVD ZnO:B films is highlighted. Finally, the next challenges to push further the optimization of LPCVD ZnO:B films for thin film silicon solar cells are discussed, as well as some remarkable record cell results achieved with LPCVD ZnO:B as front electrode.

  11. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  12. High resolution x-ray scattering studies of strain in epitaxial thin films of yttrium silicide grown on silicon (111)

    International Nuclear Information System (INIS)

    Marthinez-Miranda, L.J.; Santiago-Aviles, J.J.; Siegal, M.P.; Graham, W.R.; Heiney, P.A.

    1990-01-01

    The authors have used high resolution grazing incidence x-ray scattering (GIXS) to study the in- plane and out-of-plane structure of epitaxial YSi 2-x films grown on Si(111), with thicknesses ranging from 85 Angstrom to 510 Angstrom. Their results indicate that the films are strained, and that film strain increases as a function of thickness, with lattice parameters varying from a = 3.846 Angstrom/c = 4.142 Angstrom for the 85 Angstrom film to a = 3.877 Angstrom/c = 4.121 Angstrom for the 510 Angstrom film. The authors correlate these results with an increase in pinhole areal coverage as a function of thickness. In addition, the authors' measurements show no evidence for the existence of ordered silicon vacancies in the films

  13. Effect of Processing Parameters on Thickness of Columnar Structured Silicon Wafers Directly Grown from Silicon Melts

    Directory of Open Access Journals (Sweden)

    Jin-Seok Lee

    2012-01-01

    Full Text Available In order to obtain optimum growth conditions for desired thickness and more effective silicon feedstock usage, effects of processing parameters such as preheated substrate temperatures, time intervals, moving velocity of substrates, and Ar gas blowing rates on silicon ribbon thickness were investigated in the horizontal growth process. Most of the parameters strongly affected in the control of ribbon thickness with columnar grain structure depended on the solidification rate. The thickness of the silicon ribbon decreased with an increasing substrate temperature, decreasing time interval, and increasing moving velocity of the substrate. However, the blowing of Ar gas onto a liquid layer existing on the surface of solidified ribbon contributed to achieving smooth surface roughness but did not closely affect the change of ribbon thickness in the case of a blowing rate of ≥0.65 Nm3/h because the thickness of the solidified layer was already determined by the exit height of the reservoir.

  14. Silicon alleviates Cd stress of wheat seedlings (Triticum turgidum L. cv. Claudio) grown in hydroponics.

    Science.gov (United States)

    Rizwan, M; Meunier, J-D; Davidian, J-C; Pokrovsky, O S; Bovet, N; Keller, C

    2016-01-01

    We investigated the potential role of silicon in improving tolerance and decreasing cadmium (Cd) toxicity in durum wheat (Triticum turgidum L. durum) either through a reduced Cd uptake or exclusion/sequestration in non-metabolic tissues. For this, plants were grown in hydroponic conditions for 10 days either in presence or absence of 1 mM Si and for 11 additional days in various Cd concentrations (0, 0.5, 5.0 and 50 μM). After harvesting, morphological and physiological parameters as well as elemental concentrations were recorded. Cadmium caused reduction in growth parameters, photosynthetic pigments and mineral nutrient concentrations both in shoots and roots. Shoot and root contents of malate, citrate and aconitate increased, while contents of phosphate, nitrate and sulphate decreased with increasing Cd concentrations in plants. Addition of Si to the nutrient solution mitigated these adverse effects: Cd concentration in shoots decreased while concentration of Cd adsorbed at the root cell apoplasmic level increased together with Zn uptake by roots. Overall, total Cd uptake decreased in presence of Si. There was no co-localisation of Cd and Si either at the shoot or at the root levels. No Cd was detected in leaf phytoliths. In roots, Cd was mainly detected in the cortical parenchyma and Si at the endodermis level, while analysis of the outer thin root surface of the plants grown in the 50 μM Cd + 1 mM Si treatment highlighted non-homogeneous Cd and Si enrichments. These data strongly suggest the existence of a root localised protection mechanism consisting in armoring the root surface by Si- and Cd-bearing compounds and in limiting root-shoot translocation.

  15. Effects of ion implantation on charges in the silicon--silicon dioxide system

    International Nuclear Information System (INIS)

    Learn, A.J.; Hess, D.W.

    1977-01-01

    Structures consisting of thermally grown oxide on silicon were implanted with boron, arsenic, or argon ions. For argon implantation through oxides, an increased fixed oxide charge (Q/sub ss/) was observed with the increase being greater for than for silicon. This effect is attributed to oxygen recoil which produces additional excess ionized silicon in the oxide of a type similar to that arising in thermal oxidation. Fast surface state (N/sub st/) generation was also noted which in most cases obscured the Q/sub ss/ increase. Of various heat treatments tested, only a 900 degreeC anneal in hydrogen annihilated N/sub st/ and allowed Q/sub ss/ measurement. Such N/sub st/ apparently arises as a consequence of implantation damage at the silicon--silicon dioxide interface. With the exception of boron implantations into thick oxides or through aluminum electrodes, reduction of the mobile ionic charge (Q/sub o/) was achieved by implantation. The reduction again is presumably damage related and is not negated by high-temperature annealing but may be counterbalanced by aluminum incorporation in the oxide

  16. Dislocation dynamics of web type silicon ribbon

    Energy Technology Data Exchange (ETDEWEB)

    Dillon, Jr, O W; Tsai, C T; DeAngelis, R J

    1987-03-01

    Silicon ribbon grown by the dendritic web process passes through a rapidly changing thermal profile in the growth direction. This rapidly changing profile induces stresses which produce changes in the dislocation density in the ribbon. A viscoplastic material response function (Haasen-Sumino model) is used herein to calculate the stresses and the dislocation density at each point in the silicon ribbon. The residual stresses are also calculated.

  17. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  18. Synchrotron X-ray imaging applied to solar photovoltaic silicon

    International Nuclear Information System (INIS)

    Lafford, T A; Villanova, J; Plassat, N; Dubois, S; Camel, D

    2013-01-01

    Photovoltaic (PV) cell performance is dictated by the material of the cell, its quality and purity, the type, quantity, size and distribution of defects, as well as surface treatments, deposited layers and contacts. A synchrotron offers unique opportunities for a variety of complementary X-ray techniques, given the brilliance, spectrum, energy tunability and potential for (sub-) micron-sized beams. Material properties are revealed within in the bulk and at surfaces and interfaces. X-ray Diffraction Imaging (X-ray Topography), Rocking Curve Imaging and Section Topography reveal defects such as dislocations, inclusions, misorientations and strain in the bulk and at surfaces. Simultaneous measurement of micro-X-Ray Fluorescence (μ-XRF) and micro-X-ray Beam Induced Current (μ-XBIC) gives direct correlation between impurities and PV performance. Together with techniques such as microscopy and Light Beam Induced Current (LBIC) measurements, the correlation between structural properties and photovoltaic performance can be deduced, as well as the relative influence of parameters such as defect type, size, spatial distribution and density (e.g [1]). Measurements may be applied at different stages of solar cell processing in order to follow the evolution of the material and its properties through the manufacturing process. Various grades of silicon are under study, including electronic and metallurgical grades in mono-crystalline, multi-crystalline and mono-like forms. This paper aims to introduce synchrotron imaging to non-specialists, giving example results on selected solar photovoltaic silicon samples.

  19. Disorder and defect formation mechanisms in molecular-beam-epitaxy grown silicon epilayers

    International Nuclear Information System (INIS)

    Akbari-Sharbaf, Arash; Baribeau, Jean-Marc; Wu, Xiaohua; Lockwood, David J.; Fanchini, Giovanni

    2013-01-01

    We investigate the role of disorder, stress and crystallite size in determining the density of defects in disordered and partially ordered silicon thin films deposited at low or moderate temperatures by molecular beam epitaxy. We find that the paramagnetic defect density measured by electron spin resonance (ESR) is strongly dependent on the growth temperature of the films, decreasing from ∼ 2 · 10 19 cm −3 at 98 °C to ∼ 1 · 10 18 cm −3 at 572 °C. The physical nature of the defects is strongly dependent on the range of order in the films: ESR spectra consistent with dangling bonds in an amorphous phase are observed at the lowest temperatures, while the ESR signal gradually becomes more anisotropic as medium-range order improves and the stress level (measured both by X-ray diffraction and Raman spectroscopy) is released in more crystalline films. Anisotropic ESR spectra consistent with paramagnetic defects embedded in an epitaxial phase are observed at the highest growth temperature (572 °C). - Highlights: ► Disordered Si epilayers were grown by molecular beam epitaxy. ► Growth has been carried out at temperatures T = 98 °C–514 °C. ► A correlation between defect density and disorder in the films has been found. ► Lack of medium range order and stress cause the formation of defects at low T. ► At high T, defects are associated to grain boundaries and oriented stacking faults

  20. Characterization of ZnO thin films grown on different p-Si substrate elaborated by solgel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others

    2015-10-15

    Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.

  1. Creating New VLS Silicon Nanowire Contact Geometries by Controlling Catalyst Migration

    DEFF Research Database (Denmark)

    Alam, Sardar Bilal; Panciera, Federico; Hansen, Ole

    2015-01-01

    The formation of self-assembled contacts between vapor-liquid-solid grown silicon nanowires and flat silicon surfaces was imaged in situ using electron microscopy. By measuring the structural evolution of the contact formation process, we demonstrate how different contact geometries are created b...

  2. Characterization of nanostructured CuO-porous silicon matrixformed on copper coated silicon substrate via electrochemical etching

    International Nuclear Information System (INIS)

    Naddaf, M.; Mrad, O.; Al-Zier, A.

    2015-01-01

    A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak (blue) PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.(author)

  3. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  4. Study on grown-in defects in CZ-Si by positron annihilation

    International Nuclear Information System (INIS)

    Nakagawa, S.; Hori, F.; Oshima, R.

    2004-01-01

    In order to study the nature of grown-in microdefects of a silicon wafer taken from a czochralski-grown single crystal (CZ-Si) in which ring oxidation-induced stacking faults (ring-OSF) are formed after oxidation heat treatment, positron annihilation coincidence Doppler broadening experiments (CDB) have been performed. Vacancy-type defects were detected in the central region of a wafer of an as-grown crystal, and they were changed with annealing. It was confirmed that different types of defects were formed in the regions of outside and inside of the ring-OSF. (orig.)

  5. Growth of YBCO superconducting thin films on CaF sub 2 buffered silicon

    CERN Document Server

    Bhagwat, S S; Patil, J M; Shirodkar, V S

    2000-01-01

    CaF sub 2 films were grown on silicon using the neutral cluster beam deposition technique. These films were highly crystalline and c-axis oriented. Superconducting YBCO thin films were grown on the Ca F sub 2 buffered silicon using the laser ablation technique. These films showed T sub c (onset) at 90 K and Tc(zero) at 86 K. X-ray diffraction analysis showed that the YBCO films were also oriented along the c-axis.

  6. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    Energy Technology Data Exchange (ETDEWEB)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B

    2003-04-15

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T{sub S}=450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal {beta}-MoSi{sub 2} could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet.

  7. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    International Nuclear Information System (INIS)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B.

    2003-01-01

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T S =450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal β-MoSi 2 could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet

  8. Field Experiments of PV-Thermal Collectors for Residential Application in Bangkok

    Directory of Open Access Journals (Sweden)

    Atsushi Akisawa

    2012-04-01

    Full Text Available This study presents experimental results on Photovoltaic-thermal (PVT solar systems, the commercial photovoltaic (PV panels used as solar absorbers in PVT collectors, which are amorphous and multi-crystalline silicon. Testing was done with outdoor experiments in the climate of Bangkok corresponding to energy consumption behavior of medium size Thai families. The experimental results show that the thermal recovery of amorphous silicon PVT collector is almost the same as that of multi-crystalline silicon PVT collectors while electricity generation of multi crystalline silicon PVT is 1.2 times as much as that of amorphous silicon PVT. The maximum of heat gain from the PVT systems were obtained in March in summer. It was found that PVT collectors of unit area annually produced 1.1 × 103 kWh/m2 .year of heat and 55–83 kWh/m2.year of electricity, respectively. The results show that annual average solar factor of hot water supply is 0.45 for unit collector area. Economical evaluation based on energy costs in Thailand was conducted, which estimated the payback time would be 7 and 14 years for a-Si PVT and mc-Si PV, respectively.

  9. Characterization of nanostructured CuO-porous silicon matrix formed on copper-coated silicon substrate via electrochemical etching

    Science.gov (United States)

    Naddaf, M.; Mrad, O.; Al-zier, A.

    2014-06-01

    A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak `blue' PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.

  10. Formation of copper precipitates in silicon

    Science.gov (United States)

    Flink, Christoph; Feick, Henning; McHugo, Scott A.; Mohammed, Amna; Seifert, Winfried; Hieslmair, Henry; Heiser, Thomas; Istratov, Andrei A.; Weber, Eicke R.

    1999-12-01

    The formation of copper precipitates in silicon was studied after high-temperature intentional contamination of p- and n-type FZ and Cz-grown silicon and quench to room temperature. With the Transient Ion Drift (TID) technique on p-type silicon a critical Fermi level position at EC-0.2 eV was found. Only if the Fermi level position, which is determined by the concentrations of the acceptors and the copper donors, surpasses this critical value precipitation takes place. If the Fermi level is below this level the supersaturated interstitial copper diffuses out. An electrostatic precipitation model is introduced that correlates the observed precipitation behavior with the electrical activity of the copper precipitates as detected with Deep Level Transient Spectroscopy (DLTS) on n-type and with Minority Carrier Transient Spectroscopy (MCTS) on p-type silicon.

  11. Large-Signal Injection-Level Spectroscopy of Impurities in Silicon

    International Nuclear Information System (INIS)

    Ahrenkiel, R.K.; Johnston, S.W.

    1998-01-01

    Deep level defects in silicon are identified by measuring the recombination lifetime as a function of the injection level. The basic models for recombination at deep and shallow centers is developed. The defect used for the theoretical model is the well-known interstitial Fe ion in silicon. Data are presented on silicon samples ranging in defect content from intentionally Fe-doped samples to an ultra-pure float-zone grown sample. These data are analyzed in terms of the injection-level spectroscopy model

  12. X-ray diffraction study of directionally grown perylene crystallites

    DEFF Research Database (Denmark)

    Breiby, Dag W.; Lemke, H. T.; Hammershøj, P.

    2008-01-01

    Using grazing incidence X-ray diffraction, perylene crystallites grown on thin highly oriented poly(tetrafluoroethylene) (PTFE) films on silicon substrates have been investigated. All the perylene crystallites are found to orient with the ab plane of the monoclinic unit cell parallel to the subst......Using grazing incidence X-ray diffraction, perylene crystallites grown on thin highly oriented poly(tetrafluoroethylene) (PTFE) films on silicon substrates have been investigated. All the perylene crystallites are found to orient with the ab plane of the monoclinic unit cell parallel...... to the substrate. The scattering data is interpreted as a trimodal texture of oriented perylene crystallites, induced by interactions between the perylene molecules and the oriented PTFE substrate. Three families of biaxial orientations are seen, with the axes (h = 1, 2, or 3) parallel to the PTFE alignment......, all having the ab-plane parallel to the substrate. About 92% of the scattered intensity corresponds to a population with highly parallel to (PTFE)....

  13. A proposed mechanism for investigating the effect of porous silicon buffer layer on TiO{sub 2} nanorods growth

    Energy Technology Data Exchange (ETDEWEB)

    Rahmani, N. [Department of Physics, Alzahra University, Tehran, 1993893973 (Iran, Islamic Republic of); Dariani, R.S., E-mail: dariani@alzahra.ac.ir [Department of Physics, Alzahra University, Tehran, 1993893973 (Iran, Islamic Republic of); Rajabi, M. [Deparment of Advanced Materials and Renewable Energies, Iranian Research Organization for Science and Technology (IROST), Tehran 3353136846 (Iran, Islamic Republic of)

    2016-03-15

    Graphical abstract: - Highlights: • TiO{sub 2} nanorods (NRs) are synthesized on silicon and porous silicon (PS) substrates by hydrothermal method. • TiO{sub 2} NRs grown on PS substrates have a better growth compared to those grown on silicon. • Also increasing substrate porosity leads to an increase in density of the NRs. • We proposed a growth mechanism to explain how can control the local surface chemical potential. - Abstract: In this study, we have synthesized TiO{sub 2} nanorods (NRs) on silicon and porous silicon (PS) substrates by hydrothermal method. The PS substrates with different porosities were fabricated by electrochemical anodization on silicon. According to the field emission electron microscopy images, TiO{sub 2} NRs grown on PS substrates have a better growth compared to those grown on silicon. Also increasing substrate porosity leads to an increase in density of the NRs. Atomic force microscopy observation demonstrates that porous layer formation due to etching of silicon surface leads to an increase of its roughness. Results indicate surface roughness evolution with porosity increasing enhances TiO{sub 2} nucleation on substrate and thus increases TiO{sub 2} NRs density. We propose a growth mechanism to explain how we can control the local surface chemical potential and thus the nucleation and alignment of TiO{sub 2} NRs by surface roughness variation. Also, photoluminescence studies show a red-shift in band gap energy of NRs compared to that of common bulk TiO{sub 2}.

  14. Growth, Carbon Isotope Discrimination and Nitrogen Uptake in Silicon and/or Potassium Fed barley Grown under Two Watering Regimes

    Directory of Open Access Journals (Sweden)

    Kurdali, Fawaz

    2013-02-01

    Full Text Available The present pot experiment was an attempt to monitor the beneficial effects of silicon (Si and/or potassium (K applications on growth and nitrogen uptake in barley plants grown under water (FC1 and non water (FC2 stress conditions using 15N and 13C isotopes. Three fertilizer rates of Si (Si50, Si100 and Si200 and one fertilizer rate of K were used. Dry matter (DM and N yield (NY in different plant parts of barley plants was affected by Si and/ or K fertilization as well as by the watering regime level under which the plants have been grown. Solely added K or in combination with adequate rate of Si (Si 100 were more effective in alleviating water stress and producing higher yield in barley plants than solely added Si. However, the latter nutrient was found to be more effective than the former in producing higher spike's N yield. Solely added Si or in combination with K significantly reduced leaves ∆13 C reflecting their bifacial effects on water use efficiency (WUE, particularly in plants grown under well watering regime. This result indicated that Si might be involved in saving water loss through reducing transpiration rate and facilitating water uptake; consequently, increasing WUE. Although the rising of soil humidity generally increased fertilizer nitrogen uptake (Ndff and its use efficiency (%NUE in barley plants, applications of K or Si fertilizers to water stressed plants resulted in significant increments of these parameters as compared with the control. Our results highlight that Si or K is not only involved in amelioration of growth of barley plants, but can also improve nitrogen uptake and fertilizer nitrogen use efficiency particularly under water deficit conditions.

  15. Self-diffusion in single crystalline silicon nanowires

    Science.gov (United States)

    Südkamp, T.; Hamdana, G.; Descoins, M.; Mangelinck, D.; Wasisto, H. S.; Peiner, E.; Bracht, H.

    2018-04-01

    Self-diffusion experiments in single crystalline isotopically controlled silicon nanowires with diameters of 70 and 400 nm at 850 and 1000 °C are reported. The isotope structures were first epitaxially grown on top of silicon substrate wafers. Nanowires were subsequently fabricated using a nanosphere lithography process in combination with inductively coupled plasma dry reactive ion etching. Three-dimensional profiling of the nanosized structure before and after diffusion annealing was performed by means of atom probe tomography (APT). Self-diffusion profiles obtained from APT analyses are accurately described by Fick's law for self-diffusion. Data obtained for silicon self-diffusion in nanowires are equal to the results reported for bulk silicon crystals, i.e., finite size effects and high surface-to-volume ratios do not significantly affect silicon self-diffusion. This shows that the properties of native point defects determined from self-diffusion in bulk crystals also hold for nanosized silicon structures with diameters down to 70 nm.

  16. Counting molecular-beam grown graphene layers

    Energy Technology Data Exchange (ETDEWEB)

    Plaut, Annette S. [School of Physics, University of Exeter, Exeter EX4 4QL (United Kingdom); Wurstbauer, Ulrich [Department of Physics, Columbia University, New York, New York 10027 (United States); Pinczuk, Aron [Department of Physics, Columbia University, New York, New York 10027 (United States); Department of Applied Physics and Applied Mathematics, Columbia University, New York, New York 10027 (United States); Garcia, Jorge M. [MBE Lab, IMM-Instituto de Microelectronica de Madrid (CNM-CSIC), Madrid, E-28760 (Spain); Pfeiffer, Loren N. [Electrical Engineering Department, Princeton University, New Jersey 08544 (United States)

    2013-06-17

    We have used the ratio of the integrated intensity of graphene's Raman G peak to that of the silicon substrate's first-order optical phonon peak, accurately to determine the number of graphene layers across our molecular-beam (MB) grown graphene films. We find that these results agree well both, with those from our own exfoliated single and few-layer graphene flakes, and with the results of Koh et al.[ACS Nano 5, 269 (2011)]. We hence distinguish regions of single-, bi-, tri-, four-layer, etc., graphene, consecutively, as we scan coarsely across our MB-grown graphene. This is the first, but crucial, step to being able to grow, by such molecular-beam-techniques, a specified number of large-area graphene layers, to order.

  17. Investigating PID Shunting in Polycrystalline Silicon Modules via Multiscale, Multitechnique Characterization

    Energy Technology Data Exchange (ETDEWEB)

    Harvey, Steven P [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Moseley, John [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Norman, Andrew [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Hacke, Peter L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Johnston, Steven [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Al-Jassim, Mowafak M [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Stokes, Adam [Colorado School of Mines; Gorman, Brian [Colorado School of Mines

    2018-02-27

    We investigated the potential-induced degradation (PID) shunting mechanism in multicrystalline-silicon photovoltaic modules by using a multiscale, multitechnique characterization approach. Both field-stressed modules and laboratory-stressed mini modules were studied. We used photoluminescence, electroluminescence, and dark lock-in thermography imaging to identify degraded areas at the module scale. Small samples were then removed from degraded areas, laser marked, and imaged by scanning electron microscopy. We used simultaneous electron-beam induced current imaging and focused ion beam milling to mark around PID shunts for chemical analysis by time-of-flight secondary-ion mass spectrometry or to isolate individual shunt defects for transmission electron microscopy and atom-probe tomography analysis. By spanning a range of 10 orders of magnitude in size, this approach enabled us to investigate the root-cause mechanisms for PID shunting. We observed a direct correlation between recombination active shunts and sodium content. The sodium content in shunted areas peaks at the SiNX/Si interface and is consistently observed at a concentration of 0.1% to 2% in shunted areas. Analysis of samples subjected to PID recovery, either activated by electron beam or thermal effects only, reveals that recovery of isolated shunts correlates with diffusion of sodium out of the structural defects to the silicon surface. We observed the role of oxygen and chlorine in PID shunting and found that those species - although sometimes present in structural defects where PID shunting was observed - do not play a consistent role in PID shunting.

  18. Migration of CrSi2 nanocrystals through nanopipes in the silicon cap

    International Nuclear Information System (INIS)

    Galkin, N.G.; Dozsa, L.; Chusovitin, E.A.; Pecz, B.; Dobos, L.

    2010-01-01

    CrSi 2 nanocrystals (NC) were grown by reactive deposition epitaxy of Cr at 550 deg. C. After deposition the Cr is localized in about 20-30 nm dots on the Si surface. The NCs were covered by silicon cap grown by molecular beam epitaxy at 700 deg. C. The redistribution of NCs in the silicon cap was investigated by transmission electron microscopy and atomic force microscopy. The NCs are partly localized at the deposition depth, and partly migrate near the surface. A new migration mechanism of the CrSi 2 NCs is observed, they are transferred from the bulk toward the surface through nanopipes formed in the silicon cap. The redistribution of CrSi 2 NCs strongly depends on Cr deposition rate and on the cap growth temperature.

  19. Depth distribution of carrier lifetime in 65 MeV oxygen ion irradiated silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Shinde, N.S. [Ecotopia Science Institute, Division of Energy Science, Nagoya University, Nagoya (Japan); Dahiwale, S.S. [Department of Physics, University of Pune, Pune 411 007 (India); Kanjilal, D. [Nuclear Science Centre, New Delhi (India); Bhoraskar, V.N. [Department of Physics, University of Pune, Pune 411 007 (India); Dhole, S.D. [Department of Physics, University of Pune, Pune 411 007 (India)]. E-mail: sanjay@physics.unipune.ernet.in

    2006-03-15

    CZ-grown, n-doped crystalline Si(1 1 1) of resistivity 60 {omega} cm and 140 {omega} cm were irradiated with 65 MeV energy oxygen ions, in the fluence range of 2 x 10{sup 1}-10{sup 14} ions/cm{sup 2}. The depth and spatial profile of excess minority carrier recombination time {tau} (lifetime) was measured using photoconductive decay (PCD) method. Lifetime measurements were carried out before the stopping range of impinging ions. Results show a monotonous decrease in lifetime with fluence, which is attributed to defect creation mechanism by electronic energy loss based on the thermal spike model. Also, surface modification is expected with a small loss in crystalline quality. This surface is considered to be a multi-crystalline surface with large grain boundaries that act as trapping sites for excess holes in n-Si(1 1 1). Annealing of the irradiated samples showed a near complete recovery at 750 deg. C for a period of 1 h.

  20. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  1. Mechanical Properties of Photovoltaic Silicon in Relation to Wafer Breakage

    Science.gov (United States)

    Kulshreshtha, Prashant Kumar

    This thesis focuses on the fundamental understanding of stress-modified crack-propagation in photovoltaic (PV) silicon in relation to the critical issue of PV silicon "wafer breakage". The interactions between a propagating crack and impurities/defects/residual stresses have been evaluated for consequential fracture path in a thin PV Si wafer. To investigate the mechanism of brittle fracture in silicon, the phase transformations induced by elastic energy released at a propagating crack-tip have been evaluated by locally stressing the diamond cubic Si lattice using a rigid Berkovich nanoindenter tip (radius ≈50 nm). Unique pressure induced phase transformations and hardness variations have been then related to the distribution of precipitates (O, Cu, Fe etc.), and the local stresses in the wafer. This research demonstrates for the first time the "ductile-like fracture" in almost circular crack path that significantly deviates from its energetically favorable crystallographic [110](111) system. These large diameter (≈ 200 mm) Si wafers were sliced to less than 180 microm thickness from a Czochralski (CZ) ingot that was grown at faster than normal growth rates. The vacancy (vSi) driven precipitation of oxygen at enhanced thermal gradients in the wafer core develops large localized stresses (upto 100 MPa) which we evaluated using Raman spectral analysis. Additional micro-FTIR mapping and microscopic etch pit measurements in the wafer core have related the observed crack path deviations to the presence of concentric ring-like distributions of oxygen precipitates (OPs). To replicate these "real-world" breakage scenarios and provide better insight on crack-propagation, several new and innovative tools/devices/methods have been developed in this study. An accurate quantitative profiling of local stress, phase changes and load-carrying ability of Si lattice has been performed in the vicinity of the controlled micro-cracks created using micro-indentations to represent

  2. Laser process for extended silicon thin film solar cells

    International Nuclear Information System (INIS)

    Hessmann, M.T.; Kunz, T.; Burkert, I.; Gawehns, N.; Schaefer, L.; Frick, T.; Schmidt, M.; Meidel, B.; Auer, R.; Brabec, C.J.

    2011-01-01

    We present a large area thin film base substrate for the epitaxy of crystalline silicon. The concept of epitaxial growth of silicon on large area thin film substrates overcomes the area restrictions of an ingot based monocrystalline silicon process. Further it opens the possibility for a roll to roll process for crystalline silicon production. This concept suggests a technical pathway to overcome the limitations of silicon ingot production in terms of costs, throughput and completely prevents any sawing losses. The core idea behind these thin film substrates is a laser welding process of individual, thin silicon wafers. In this manuscript we investigate the properties of laser welded monocrystalline silicon foils (100) by micro-Raman mapping and spectroscopy. It is shown that the laser beam changes the crystalline structure of float zone grown silicon along the welding seam. This is illustrated by Raman mapping which visualizes compressive stress as well as tensile stress in a range of - 147.5 to 32.5 MPa along the welding area.

  3. Electrical characterization of high-pressure reactive sputtered ScOx films on silicon

    International Nuclear Information System (INIS)

    Castan, H.; Duenas, S.; Gomez, A.; Garcia, H.; Bailon, L.; Feijoo, P.C.; Toledano-Luque, M.; Prado, A. del; San Andres, E.; Lucia, M.L.

    2011-01-01

    Al/ScO x /SiN x /n-Si and Al/ScO x /SiO x /n-Si metal-insulator-semiconductor capacitors have been electrically characterized. Scandium oxide was grown by high-pressure sputtering on different substrates to study the dielectric/insulator interface quality. The substrates were silicon nitride and native silicon oxide. The use of a silicon nitride interfacial layer between the silicon substrate and the scandium oxide layer improves interface quality, as interfacial state density and defect density inside the insulator are decreased.

  4. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  5. Improved field emission properties of carbon nanotubes grown on stainless steel substrate and its application in ionization gauge

    Science.gov (United States)

    Li, Detian; Cheng, Yongjun; Wang, Yongjun; Zhang, Huzhong; Dong, Changkun; Li, Da

    2016-03-01

    Vertically aligned carbon nanotube (CNT) arrays were fabricated by chemical vapor deposition (CVD) technique on different substrates. Microstructures and field emission characteristics of the as-grown CNT arrays were investigated systematically, and its application in ionization gauge was also evaluated preliminarily. The results indicate that the as-grown CNT arrays are vertically well-aligned relating to the substrate surfaces, but the CNTs grown on stainless steel substrate are longer and more crystalline than the ones grown on silicon wafer substrate. The field emission behaviors of the as-grown CNT arrays are strongly dependent upon substrate properties. Namely, the CNT array grown on stainless steel substrate has better field emission properties, including lower turn on and threshold fields, better emission stability and repeatability, compared with the one grown on silicon wafer substrate. The superior field emission properties of the CNT array grown on stainless steel substrate are mainly attributed to low contact resistance, high thermal conductivity, good adhesion strength, etc. In addition, the metrological behaviors of ionization gauge with the CNT array grown on stainless steel substrate as an electron source were investigated, and this novel cathode ionization gauge extends the lower limit of linear pressure measurement to 10-8 Pa, which is one order of magnitude lower than the result reported for the same of gauge with CNT cathode.

  6. Author Details

    African Journals Online (AJOL)

    The effect of ambient temperature and solar panel's surface temperature on output performance of solar power system. Abstract · Vol 12, No 1 (2013) - Articles Determination of temperature coefficients of open-circuit voltage and short-circuit current of multi-crystalline silicon solar cells using empirical approach. Abstract.

  7. Multimodal Electrothermal Silicon Microgrippers for Nanotube Manipulation

    DEFF Research Database (Denmark)

    Nordström Andersen, Karin; Petersen, Dirch Hjorth; Carlson, Kenneth

    2009-01-01

    Microgrippers that are able to manipulate nanoobjects reproducibly are key components in 3-D nanomanipulation systems. We present here a monolithic electrothermal microgripper prepared by silicon microfabrication, and demonstrate pick-and-place of an as-grown carbon nanotube from a 2-D array onto...

  8. Silicon Crystal Growth by the Electromagnetic Czochralski (EMCZ) Method

    Science.gov (United States)

    Watanabe, Masahito; Eguchi, Minoru; Hibiya, Taketoshi

    1999-01-01

    A new method for growing silicon crystals by using electromagnetic force to rotate the melt without crucible rotation has been developed. We call it electromagnetic Czochralski (EMCZ) growth. An electromagnetic force in the azimuthal direction is generated in the melt by the interaction between an electric current (I) through the melt in the radial direction and a vertical magnetic field (B). The rotation rate (ωm) of the silicon melt is continuously changed from 0 to over 105 rpm under I = 0 to 8 A and B = 0 to 0.1 T. Thirty-mm-diameter silicon single crystals free of dislocations could be grown under two conditions: I = 2.0 A and B = 0.05 T (ωm = 105 rpm); and I =0.2 A and B = 0.1 T (ωm = 15 rpm). The oxygen concentration in the crystals was 8 ×1017 atoms/cm3 for the high rotation rate and 1×1017 atoms/cm3 for the low rotation rate. The oxygen-concentration distributions in the radial direction in both crystals were more homogeneous than those in the crystals grown by conventional CZ and/or MCZ growth. This new crystal-growth method can be easily adopted for growing large-diameter silicon crystals.

  9. The role of extra-atomic relaxation in determining Si2p binding energy shifts at silicon/silicon oxide interfaces

    International Nuclear Information System (INIS)

    Zhang, K.Z.; Greeley, J.N.; Banaszak Holl, M.M.; McFeely, F.R.

    1997-01-01

    The observed binding energy shift for silicon oxide films grown on crystalline silicon varies as a function of film thickness. The physical basis of this shift has previously been ascribed to a variety of initial state effects (Si endash O ring size, strain, stoichiometry, and crystallinity), final state effects (a variety of screening mechanisms), and extrinsic effects (charging). By constructing a structurally homogeneous silicon oxide film on silicon, initial state effects have been minimized and the magnitude of final state stabilization as a function of film thickness has been directly measured. In addition, questions regarding the charging of thin silicon oxide films on silicon have been addressed. From these studies, it is concluded that initial state effects play a negligible role in the thickness-dependent binding energy shift. For the first ∼30 Angstrom of oxide film, the thickness-dependent binding energy shift can be attributed to final state effects in the form of image charge induced stabilization. Beyond about 30 Angstrom, charging of the film occurs. copyright 1997 American Institute of Physics

  10. Radiation damage in lithium-counterdoped N/P silicon solar cells

    Science.gov (United States)

    Hermann, A. M.; Swartz, C. K.; Brandhorst, H. W., Jr.; Weinberg, I.

    1980-01-01

    The radiation resistance and low-temperature annealing properties of lithium-counterdoped n(+)-p silicon solar cells are investigated. Cells fabricated from float zone and Czochralski grown silicon were irradiated with 1 MeV electrons and their performance compared to that of 0.35 ohm-cm control cells. The float zone cells demonstrated superior radiation resistance compared to the control cells, while no improvement was noted for the Czochralski grown cells. Annealing kinetics were found to lie between first and second order for relatively short times, and the most likely annealing mechanism was found to be the diffusion of lithium to defects with the subsequent neutralization of defects by combination with lithium. Cells with zero lithium gradients exhibited the best radiation resistance.

  11. New, mechanically textured high-efficiency solar cells of low-cost silicon foil material. Final report; Neuartige, mechanisch texturierte Hochleistungssolarzellen aus kostenguenstigem Siliziumfolienmaterial. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Bucher, E.; Fath, P.; Boueke, A.; Gerhards, C.; Huster, F.; Kuehn, R.; Hahn, G.; Terheiden, B.

    2001-07-01

    The project investigated the efficiency increase of solar cells made of multicrystalline silicon. Since 1992, Constance University has been working on a texturing process based on fast rotating profile tools. The technology is a low-cost grinding technology and will enhance the efficiency of multicrystalline Si solar cell processes in industrial applications. Combined with innovative cell concepts (semi-transparent POWER solar cells, rolling pressure metallization, innovative cell connection), the process has considerable technology transfer and marketing potential. The project intended a systematic improvement of the results achieved so far on the basis of new ideas and full exploitation of the available technological potential in the field of wafer, foil and thin film processes. [German] Zu Beginn des Vorhabens zeichnete sich weltweit der Trend ab, zunehmend multikristallines Silizium, blockgegossenes sowie foliengezogenes, in der Photovoltaik einzusetzen. Daraus ergab sich die Fragestellung der Steigerung des Solarzellenwirkungswirkungsgrades insbesondere auf diesen Materialien. Zwei wesentliche Aspekte sind dabei zu beruecksichtigen: eine effiziente Oberflaechentextur und eine angepasste Prozessoptimierung inklusive Volumenpasssivierung. Bei dem an der Universitaet Konstanz seit 1992 in der Laborentwicklung befindlichen Texturierungsverfahren auf Basis schnellrotierenden Profilwerkzeuge handelte es sich um eine vielseitig verwendbare Technologie, die zum einen als reines mechanisches Schleifverfahren kostenguenstig erscheint und zum anderen zu Wirkungsgradsteigerungen bei industrienahen multikristallinen Silizium-Solarzellenprozessen fuehrt. In Verbindung mit innovativen Zellkonzepten (semitransparente POWER-Solarzellen, Rolldruckmetallisierung, innovative Zellverschaltung) verfuegt dieses Verfahren ueber ein erhebliches Technologietransfer- und Marktpotential. Das vorliegende Vorhaben verfolgte eine systematische Verbesserung der bereits erzielten Ergebnisse

  12. Introduction of high oxygen concentrations into silicon wafers by high-temperature diffusion

    International Nuclear Information System (INIS)

    Casse, G.; Glaser, M.; Lemeilleur, F.; Ruzin, A.; Wegrzecki, M.

    1999-01-01

    The tolerance of silicon detectors to hadron irradiation can be improved by the introduction of a high concentration of oxygen into the starting material. High-resistivity Floating-Zone (FZ) silicon is required for detectors used in particle physics applications. A significantly high oxygen concentration (>10 17 atoms cm -3 ) cannot readily be achieved during the FZ silicon refinement. The diffusion of oxygen at elevated temperatures from a SiO 2 layer grown on both sides of a silicon wafer is a simple and effective technique to achieve high and uniform concentrations of oxygen throughout the bulk of a 300 μm thick silicon wafer

  13. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    Directory of Open Access Journals (Sweden)

    Akarapu Ashok

    2014-01-01

    Full Text Available Silicon dioxide (SiO2 thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs and microelectromechanical systems (MEMS. Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics.

  14. Resistivity distribution of silicon single crystals using codoping

    Science.gov (United States)

    Wang, Jong Hoe

    2005-07-01

    Numerous studies including continuous Czochralski method and double crucible technique have been reported on the control of macroscopic axial resistivity distribution in bulk crystal growth. The simple codoping method for improving the productivity of silicon single-crystal growth by controlling axial specific resistivity distribution was proposed by Wang [Jpn. J. Appl. Phys. 43 (2004) 4079]. Wang [J. Crystal Growth 275 (2005) e73] demonstrated using numerical analysis and by experimental results that the axial specific resistivity distribution can be modified in melt growth of silicon crystals and relatively uniform profile is possible by B-P codoping method. In this work, the basic characteristic of 8 in silicon single crystal grown using codoping method is studied and whether proposed method has advantage for the silicon crystal growth is discussed.

  15. Dry technologies for the production of crystalline silicon solar cells; Trockentechnologien zur Herstellung von kristallinen Siliziumsolarzellen

    Energy Technology Data Exchange (ETDEWEB)

    Rentsch, J.

    2005-04-15

    Within this work, dynamic plasma etching technologies for the industrial production of crystalline silicon solar cells has been investigated. The research activity can be separated into three major steps: the characterisation of the etching behaviour of a newly developed dynamic plasma etching system, the development and analysis of dry etching processes for solar cell production and the determination of the ecological and economical impacts of such a new technology compared to standard up to date technologies. The characterisation of the etching behaviour has been carried out for two different etching sources, a low frequency (110 kHz) and a microwave (2.45 GHz) plasma source. The parameter of interest was the delivered ion energy of each source mainly determining the reachable etch rate. The etch rate turned out to be the main most critical parameter concerning the reachable wafer throughput per hour. Other points of interest in characterisation of the etching system were the material of the transport carriers, the silicon load as well as the process temperatures. The development of different dry etching processes targets the design of a complete dry production process for crystalline silicon solar cells. Therefore etching processes for saw damage removal, texturing, edge isolation as well as etching of dielectric layers have been developed and optimised. The major benefits of a complete dry production process would be the reduction of handling steps in between process steps and therefore offers a large cost reduction potential. For multicrystalline silicon solar cells a cost reduction potential of 5 % compared to a standard wet chemical based reference process could be realized only including the dry etching of a phosphorus silicate glass layer after diffusion. Further reduction potential offers the implementation of a dry texturing process due to a significant efficiency increase. (orig.)

  16. Simultaneous electron-proton irradiation of crucible grown and float-zone silicon solar cells

    International Nuclear Information System (INIS)

    Bernard, J.

    1974-01-01

    The realisation of an irradiation chamber which permits simultaneous irradiations by electrons, protons, photons and in-situ measurements of solar cells main parameters (diffusion length, I.V. characteristics) is described. Results obtained on 20 solar cells n/p 10Ωcm made in silicon pulled crystals and 20 solar cells n/p 10Ωcm made in silicon float-zone simultaneously irradiated with electrons and photons are given [fr

  17. Doping efficiency analysis of highly phosphorous doped epitaxial/amorphous silicon emitters grown by PECVD for high efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering

    2008-08-15

    The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.

  18. Adsorption of triazine herbicides from aqueous solution by functionalized multiwall carbon nanotubes grown on silicon substrate

    Science.gov (United States)

    D'Archivio, Angelo Antonio; Maggi, Maria Anna; Odoardi, Antonella; Santucci, Sandro; Passacantando, Maurizio

    2018-02-01

    Multi-walled carbon nanotubes (MWCNTs), because of their small size and large available surface area, are potentially efficient sorbents for the extraction of water solutes. Dispersion of MWCNTs in aqueous medium is suitable to adsorb organic contaminants from small sample volumes, but, the recovery of the suspended sorbent for successive re-use represents a critical step, which makes this method inapplicable in large-scale water-treatment technologies. To overcome this problem, we proposed here MWCNTs grown on silicon supports and investigated on a small-volume scale their adsorption properties towards triazine herbicides dissolved in water. The adsorption efficiency of the supported MWCNTs has been tested on seven triazine herbicides, which are emerging water contaminants in Europe and USA, because of their massive use, persistence in soils and potential risks for the aquatic organisms and human health. The investigated compounds, in spite of their common molecular skeleton, cover a relatively large property range in terms of both solubility in water and hydrophilicity/hydrophobicity. The functionalisation of MWCNTs carried out by acidic oxidation, apart from increasing wettability of the material, results in a better adsorption performance. Increasing of functionalisation time between 17 and 60 h progressively increases the extraction of all seven pesticides and produces a moderate increment of selectivity.

  19. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  20. Microspheres for the Growth of Silicon Nanowires via Vapor-Liquid-Solid Mechanism

    Directory of Open Access Journals (Sweden)

    Arancha Gómez-Martínez

    2014-01-01

    Full Text Available Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. The resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  1. Numerical analysis of thermal stress and dislocation density distributions in large size multi-crystalline silicon ingots during the seeded growth process

    Science.gov (United States)

    Nguyen, Thi Hoai Thu; Chen, Jyh-Chen; Hu, Chieh; Chen, Chun-Hung; Huang, Yen-Hao; Lin, Huang-Wei; Yu, Andy; Hsu, Bruce

    2017-06-01

    In this study, a global transient numerical simulation of silicon growth from the beginning of the solidification process until the end of the cooling process is carried out modeling the growth of an 800 kg ingot in an industrial seeded directional solidification furnace. The standard furnace is modified by the addition of insulating blocks in the hot zone. The simulation results show that there is a significant decrease in the thermal stress and dislocation density in the modified model as compared to the standard one (a maximal decrease of 23% and 75% along the center line of ingot for thermal stress and dislocation density, respectively). This modification reduces the heating power consumption for solidification of the silicon melt by about 17% and shortens the growth time by about 2.5 h. Moreover, it is found that adjusting the operating conditions of modified model to obtain the lower growth rate during the early stages of the solidification process can lower dislocation density and total heater power.

  2. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  3. Suppressing segregation in highly phosphorus doped silicon monolayers

    NARCIS (Netherlands)

    Keizer, Joris; Kölling, Sebastian; Koenraad, Paul; Simmons, Michelle Y.

    2015-01-01

    Sharply defined dopant profiles and low resistivity are highly desired qualities in the microelectronic industry, and more recently, in the development of an all epitaxial Si:P based quantum computer. In this work, we use thin (monolayers thick) room temperature grown silicon layers, so-called

  4. Light-induced enhancement of the minority carrier lifetime in boron-doped Czochralski silicon passivated by doped silicon nitride

    International Nuclear Information System (INIS)

    Wang, Hongzhe; Chen, Chao; Pan, Miao; Sun, Yiling; Yang, Xi

    2015-01-01

    Graphical abstract: - Highlights: • The phosphorus-doped SiN x with negative fixed charge was deposited by PECVD. • The increase of lifetime was observed on P-doped SiN x passivated Si under illumination. • The enhancement of lifetime was caused by the increase of negative fixed charges. - Abstract: This study reports a doubling of the effective minority carrier lifetime under light soaking conditions, observed in a boron-doped p-type Czochralski grown silicon wafer passivated by a phosphorus-doped silicon nitride thin film. The analysis of capacitance–voltage curves revealed that the fixed charge in this phosphorus-doped silicon nitride film was negative, which was unlike the well-known positive fixed charges observed in traditional undoped silicon nitride. The analysis results revealed that the enhancement phenomenon of minority carrier lifetime was caused by the abrupt increase in the density of negative fixed charge (from 7.2 × 10 11 to 1.2 × 10 12 cm −2 ) after light soaking.

  5. Key Success Factors and Future Perspective of Silicon-Based Solar Cells

    Directory of Open Access Journals (Sweden)

    S. Binetti

    2013-01-01

    Full Text Available Today, after more than 70 years of continued progress on silicon technology, about 85% of cumulative installed photovolatic (PV modules are based on crystalline silicon (c-Si. PV devices based on silicon are the most common solar cells currently being produced, and it is mainly due to silicon technology that the PV has grown by 40% per year over the last decade. An additional step in the silicon solar cell development is ongoing, and it is related to a further efficiency improvement through defect control, device optimization, surface modification, and nanotechnology approaches. This paper attempts to briefly review the most important advances and current technologies used to produce crystalline silicon solar devices and in the meantime the most challenging and promising strategies acting to increase the efficiency to cost/ratio of silicon solar cells. Eventually, the impact and the potentiality of using a nanotechnology approach in a silicon-based solar cell are also described.

  6. Application of plasma silicon nitride to crystalline thin-film silicon solar cells. Paper

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, J.; Oberbeck, L.; Rinke, T.J.; Berge, C.; Bergmann, R.B.

    2002-07-01

    We use plasma-enhanced chemical vapour deposition to deposit silicon nitride (SiN{sub x}) films at low temperature(400 C) onto the front surface of two different types of crystalline thin-film Si solar cells. The silicon nitride acts as an excellent antireflection coating on Si and provides a very high degree of electronic surface passivation over a wide range of compositions, including near-stoichiometric and Si-rich SiN{sub x}. Application of stoichiometric SiN{sub x} to non-textured thin-film cells, epitaxially grown at low temperature by ion-assisted deposition onto a monocrystalline Si substrate, results in an open-circuit voltage of 622 mV, a short-circuit current density of 26.6 mA/cm{sup 2} and an efficiency of 12.7%. It is shown that the SiN{sub x}-passivated in-situ grown n{sup +}-emitter of this cell type allows to reach open-circuit voltages of up to 667 mV. Silicon-rich SiN{sub x} is applied to the phosphorus-diffused n{sup +}-emitter of a textured thin-film cell on a glass superstrate fabricated by layer-transfer. The emitter saturation current density of these cells is only 40-64 fA/cm{sup 2}, which allows for open-circuit voltages of up to 699 mV. An impressively high open-circuit voltage of 638 mV and a short-circuit current density of 32.0 mA/cm{sup 2} are obtained for a 25 {mu}m thick SiN{sub x}-passivated, random pyramid-textured transfer cell. A transfer cell efficiency of 15.3% is independently confirmed.

  7. ANNEALING OF POLYCRYSTALLINE THIN FILM SILICON SOLAR CELLS IN WATER VAPOUR AT SUB-ATMOSPHERIC PRESSURES

    Directory of Open Access Journals (Sweden)

    Peter Pikna

    2014-10-01

    Full Text Available Thin film polycrystalline silicon (poly-Si solar cells were annealed in water vapour at pressures below atmospheric pressure. PN junction of the sample was contacted by measuring probes directly in the pressure chamber filled with steam during passivation. Suns-VOC method and a Lock-in detector were used to monitor an effect of water vapour to VOC of the solar cell during whole passivation process (in-situ. Tested temperature of the sample (55°C – 110°C was constant during the procedure. Open-circuit voltage of a solar cell at these temperatures is lower than at room temperature. Nevertheless, voltage response of the solar cell to the light flash used during Suns-VOC measurements was good observable. Temperature dependences for multicrystalline wafer-based and polycrystalline thin film solar cells were measured and compared. While no significant improvement of thin film poly-Si solar cell parameters by annealing in water vapour at under-atmospheric pressures was observed up to now, in-situ observation proved required sensitivity to changing VOC at elevated temperatures during the process.

  8. Treatment of transparent conductive oxides by laser processes for the development of Silicon photovoltaic cells

    International Nuclear Information System (INIS)

    Canteli Perez-Caballero, D.

    2015-01-01

    Transparent conductive oxides (TCOs) are heavily doped oxides with high transparency in the visible range of the spectrum and a very low sheet resistance, making them very attractive for applications in optoelectronic devices. TCOs are widely found in many different areas such as low emissivity windows, electric contacts in computers, televisions or portable devices, and, specially, in the photovoltaic (PV) industry. PV industry is mainly based on mono- and multicrystalline silicon, where TCOs are used as anti-reflective coatings, but the search for cheaper, alternative technologies has led to the development of thin film PV technologies, where TCOs are used as transparent contacts. With the maturation of the thin film PV industry, laser sources have become an essential tool, allowing the improvement of some industrial processes and the development of new ones. Because of the interest on a deeper understanding of the interaction processes between laser light and TCOs, the laser ablation of three of the most important TCOs has been studied in depth in the present work. (Author)

  9. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film

    International Nuclear Information System (INIS)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-01-01

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices’ applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H 2 O 2 /HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing. (paper)

  10. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film.

    Science.gov (United States)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-04-17

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices' applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H2O2/HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing.

  11. The effects of surface modification on the electrical properties of p–n+ junction silicon nanowires grown by an aqueous electroless etching method

    International Nuclear Information System (INIS)

    Lee, Seulah; Koo, Ja Hoon; Seo, Jungmok; Kim, Sung-Dae; Lee, Kwang Hyun; Im, Seongil; Kim, Young-Woon; Lee, Taeyoon

    2012-01-01

    Although the aqueous electroless etching (AEE) method has received significant attention for the fabrication of silicon nanowires (SiNWs) due to its simplicity and effectiveness, SiNWs grown via the AEE method have a drawback in that their surface roughness is considerably high. Thus, we fabricated surface-modified p–n + junction SiNWs grown by AEE, wherein the surface roughness was reduced by a sequential processes of oxide growth using the rapid thermal oxidation (RTO) cycling process and oxide removal with a hydrofluoric acid solution. High-resolution transmission electron microscopy analysis confirmed that the surface roughness of the modified SiNWs was significantly decreased compared with that of the as-fabricated SiNWs. After RTO treatment, the wettability of the SiNWs had dramatically changed from superhydrophilic to superhydrophobic, which can be attributed to the formation of siloxane groups on the native oxide/SiNW surfaces and the effect of the nanoscale structure. Due to the enhancement in surface carrier mobility, the current density of the surface-modified p–n + junction SiNWs was approximately 6.3-fold greater than that of the as-fabricated sample at a forward bias of 4 V. Meanwhile, the photocurrent density of the surface-modified p–n + junction SiNWs was considerably decreased as a result of the decreases in the light absorption area, light absorption volume, and light scattering.

  12. Catalytic oxidation of silicon by cesium ion bombardment

    International Nuclear Information System (INIS)

    Souzis, A.E.; Huang, H.; Carr, W.E.; Seidl, M.

    1991-01-01

    Results for room-temperature oxidation of silicon using cesium ion bombardment and low oxygen exposure are presented. Bombardment with cesium ions is shown to allow oxidation at O 2 pressures orders of magnitude smaller than with noble gas ion bombardment. Oxide layers of up to 30 A in thickness are grown with beam energies ranging from 20--2000 eV, O 2 pressures from 10 -9 to 10 -6 Torr, and total O 2 exposures of 10 0 to 10 4 L. Results are shown to be consistent with models indicating that initial oxidation of silicon is via dissociative chemisorption of O 2 , and that the low work function of the cesium- and oxygen-coated silicon plays the primary role in promoting the oxidation process

  13. Improved field emission properties of carbon nanotubes grown on stainless steel substrate and its application in ionization gauge

    Energy Technology Data Exchange (ETDEWEB)

    Li, Detian; Cheng, Yongjun [Science and Technology on Vacuum Technology and Physics Laboratory, Lanzhou Institute of Physics, Lanzhou 730000 (China); Wang, Yongjun, E-mail: wyjlxlz@163.com [Science and Technology on Vacuum Technology and Physics Laboratory, Lanzhou Institute of Physics, Lanzhou 730000 (China); Zhang, Huzhong [Science and Technology on Vacuum Technology and Physics Laboratory, Lanzhou Institute of Physics, Lanzhou 730000 (China); Dong, Changkun [Institute of Micro-Nano Structures and Optoelectronics, Wenzhou University, Wenzhou 325035 (China); Li, Da [Division of Advanced Nanomaterials, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, Suzhou 215125 (China)

    2016-03-01

    Graphical abstract: - Highlights: • The high quality CNT arrays were successfully grown on conductive stainless steel substrates. • The CNT array grown on stainless steel substrate exhibited superior field emission properties. • A high vacuum level about 10–8 Pa was measured by resultant CNT-based ionization gauge. • The ionization gauge with CNT cathode demonstrated a high stability. - Abstract: Vertically aligned carbon nanotube (CNT) arrays were fabricated by chemical vapor deposition (CVD) technique on different substrates. Microstructures and field emission characteristics of the as-grown CNT arrays were investigated systematically, and its application in ionization gauge was also evaluated preliminarily. The results indicate that the as-grown CNT arrays are vertically well-aligned relating to the substrate surfaces, but the CNTs grown on stainless steel substrate are longer and more crystalline than the ones grown on silicon wafer substrate. The field emission behaviors of the as-grown CNT arrays are strongly dependent upon substrate properties. Namely, the CNT array grown on stainless steel substrate has better field emission properties, including lower turn on and threshold fields, better emission stability and repeatability, compared with the one grown on silicon wafer substrate. The superior field emission properties of the CNT array grown on stainless steel substrate are mainly attributed to low contact resistance, high thermal conductivity, good adhesion strength, etc. In addition, the metrological behaviors of ionization gauge with the CNT array grown on stainless steel substrate as an electron source were investigated, and this novel cathode ionization gauge extends the lower limit of linear pressure measurement to 10{sup −8} Pa, which is one order of magnitude lower than the result reported for the same of gauge with CNT cathode.

  14. Laterally inherently thin amorphous-crystalline silicon heterojunction photovoltaic cell

    Energy Technology Data Exchange (ETDEWEB)

    Chowdhury, Zahidur R., E-mail: zr.chowdhury@utoronto.ca; Kherani, Nazir P., E-mail: kherani@ecf.utoronto.ca [Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario M5S 3G4 (Canada)

    2014-12-29

    This article reports on an amorphous-crystalline silicon heterojunction photovoltaic cell concept wherein the heterojunction regions are laterally narrow and distributed amidst a backdrop of well-passivated crystalline silicon surface. The localized amorphous-crystalline silicon heterojunctions consisting of the laterally thin emitter and back-surface field regions are precisely aligned under the metal grid-lines and bus-bars while the remaining crystalline silicon surface is passivated using the recently proposed facile grown native oxide–plasma enhanced chemical vapour deposited silicon nitride passivation scheme. The proposed cell concept mitigates parasitic optical absorption losses by relegating amorphous silicon to beneath the shadowed metallized regions and by using optically transparent passivation layer. A photovoltaic conversion efficiency of 13.6% is obtained for an untextured proof-of-concept cell illuminated under AM 1.5 global spectrum; the specific cell performance parameters are V{sub OC} of 666 mV, J{sub SC} of 29.5 mA-cm{sup −2}, and fill-factor of 69.3%. Reduced parasitic absorption, predominantly in the shorter wavelength range, is confirmed with external quantum efficiency measurement.

  15. X-ray and synchrotron studies of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Sivkov, V. N., E-mail: svn@dm.komisc.ru [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation); Lomov, A. A. [Russian Academy of Sciences, Physical-Technological Institute (Russian Federation); Vasil' ev, A. L. [Russian Academy of Sciences, Shubnikov Institute of Crystallography (Russian Federation); Nekipelov, S. V. [Komi State Pedagogical Institute (Russian Federation); Petrova, O. V. [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation)

    2013-08-15

    The results of comprehensive studies of layers of porous silicon of different conductivity types, grown by anodizing standard Si(111) substrates in an electrolyte based on fluoric acid and ethanol with the addition of 5% of iodine and kept in air for a long time, are discussed. Measurements are performed by scanning electron microscopy, high-resolution X-ray diffraction, and ultrasoft X-ray spectroscopy using synchrotron radiation. The structural parameters of the layers (thickness, strain, and porosity) and atomic and chemical composition of the porous-silicon surface are determined. It is found that an oxide layer 1.5-2.3-nm thick is formed on the surface of the silicon skeleton. The near-edge fine structure of the Si 2p absorption spectrum of this layer corresponds to the fine structure of the 2p spectrum of well coordinated SiO{sub 2}. In this case, the fine structure in the Si 2p-edge absorption region of the silicon skeleton is identical to that of the 2p absorption spectrum of crystalline silicon.

  16. Development of an In-Line Minority-Carrier Lifetime Monitoring Tool for Process Control during Fabrication of Crystalline Silicon Solar Cells: Annual Subcontract Report, June 2003 (Revised)

    Energy Technology Data Exchange (ETDEWEB)

    Sinton, R. A.

    2004-04-01

    Under the PV Manufacturing R&D subcontract''Development of an In-Line, Minority-Carrier Lifetime Monitoring Tool for Process Control during Fabrication of Crystalline Silicon Solar Cells'', Sinton Consulting developed prototypes for several new instruments for use in the manufacture of silicon solar cells. These instruments are based on two families of R&D instruments that were previously available, an illumination vs. open-circuit-voltage technique and the quasi-steady state RF photoconductance technique for measuring minority-carrier lifetime. Compared to the previous instruments, the new prototypes are about 20 times faster per measurement, and have automated data analysis that does not require user intervention even when confronted by challenging cases. For example, un-passivated multi-crystalline wafers with large variations in lifetime and trapping behavior can be measured sequentially without error. Five instruments have been prototyped in this project to date, including a block tester for evaluating cast or HEM silicon blocks, a CZ ingot tester, an FZ boule tester for use with long-lifetime silicon, and an in-line sample head for measuring wafers. The CZ ingot tester and the FZ boule tester are already being used within industry and there is interest in the other prototypes. For each instrument, substantial R&D work was required in developing the device physics and analysis as well as for the hardware. This work has been documented in a series of application notes and conference publications, and will result in significant improvements for both the R&D and the industrial types of instruments.

  17. Second-harmonic generation in substoichiometric silicon nitride layers

    Science.gov (United States)

    Pecora, Emanuele; Capretti, Antonio; Miano, Giovanni; Dal Negro, Luca

    2013-03-01

    Harmonic generation in optical circuits offers the possibility to integrate wavelength converters, light amplifiers, lasers, and multiple optical signal processing devices with electronic components. Bulk silicon has a negligible second-order nonlinear optical susceptibility owing to its crystal centrosymmetry. Silicon nitride has its place in the microelectronic industry as an insulator and chemical barrier. In this work, we propose to take advantage of silicon excess in silicon nitride to increase the Second Harmonic Generation (SHG) efficiency. Thin films have been grown by reactive magnetron sputtering and their nonlinear optical properties have been studied by femtosecond pumping over a wide range of excitation wavelengths, silicon nitride stoichiometry and thermal processes. We demonstrate SHG in the visible range (375 - 450 nm) using a tunable 150 fs Ti:sapphire laser, and we optimize the SH emission at a silicon excess of 46 at.% demonstrating a maximum SHG efficiency of 4x10-6 in optimized films. Polarization properties, generation efficiency, and the second order nonlinear optical susceptibility are measured for all the investigated samples and discussed in terms of an effective theoretical model. Our findings show that the large nonlinear optical response demonstrated in optimized Si-rich silicon nitride materials can be utilized for the engineering of nonlinear optical functions and devices on a Si chip.

  18. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  19. Crystalline silicon films grown by pulsed dc magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Reinig, Peter; Fenske, Frank; Fuhs, Walther; Selle, Burkhardt [Hahn-Meitner-Institut Berlin, Abt. Silizium-Photovoltaik, Kekulestr. 5, D-12489 Berlin (Germany)

    2002-04-01

    Pulsed dc magnetron sputtering is used as a novel method for the deposition of crystalline silicon films on glass substrates. Hydrogen-free polycrystalline Si-films are deposited with high deposition rates at temperatures of 400-450 C and pulse frequencies f in the range 0-250 kHz. Strong preferential (100) orientation of the crystallites is observed with increasing f. High frequency and similarly high negative substrate bias cause an increase of the Ar content and an enhancement of structural disorder. Measurements of the transient floating potential suggest that the observed structural effects are related to bombardment of the growing film by Ar{sup +} ions of high energy.

  20. Growth and characterization of heavily doped silicon crystals

    Energy Technology Data Exchange (ETDEWEB)

    Scala, R.; Porrini, M. [MEMC Electronic Materials SpA, via Nazionale 59, 39012 Merano (Italy); Borionetti, G. [MEMC Electronic Materials SpA, viale Gherzi 31, Novara (Italy)

    2011-08-15

    Silicon crystals grown with the Czochralski method are still the most common material used for the production of electronic devices. In recent years, a growing need of large diameter crystals with increasingly higher doping levels is observed, especially to support the expanding market of discrete devices and its trend towards lower and lower resistivity levels for the silicon substrate. The growth of such heavily doped, large-diameter crystals poses several new challenges to the crystal grower, and the presence of a high dopant concentration in the crystal affects significantly its main properties, requiring also the development of dedicated characterization techniques. This paper illustrates the recent advances in the growth and characterization of silicon crystals heavily doped with antimony, arsenic, phosphorus and boron. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Nonlinear resonance ultrasonic vibrations in Czochralski-silicon wafers

    Science.gov (United States)

    Ostapenko, S.; Tarasov, I.

    2000-04-01

    A resonance effect of generation of subharmonic acoustic vibrations is observed in as-grown, oxidized, and epitaxial silicon wafers. Ultrasonic vibrations were generated into a standard 200 mm Czochralski-silicon (Cz-Si) wafer using a circular ultrasound transducer with major frequency of the radial vibrations at about 26 kHz. By tuning frequency (f) of the transducer within a resonance curve, we observed a generation of intense f/2 subharmonic acoustic mode assigned as a "whistle." The whistle mode has a threshold amplitude behavior and narrow frequency band. The whistle is attributed to a nonlinear acoustic vibration of a silicon plate. It is demonstrated that characteristics of the whistle mode are sensitive to internal stress and can be used for quality control and in-line diagnostics of oxidized and epitaxial Cz-Si wafers.

  2. High-Tc superconducting antenna-coupled microbolometer on silicon

    Science.gov (United States)

    Rice, Joseph P.; Grossman, Erich N.; Borcherdt, L. J.; Rudman, D. A.

    1994-05-01

    A process is described for fabricating antenna-coupled resistive-edge microbolometers based on the high-Tc superconductor YBa2Cu3O7 (YBCO) on silicon. The YBCO and a buffer layer of yttria-stabilized zirconia (YSZ) were grown epitaxially on silicon to minimize excess electrical noise. A silicon-micromachined YBCO/YSZ air-bridge was incorporated to minimize the thermal conductance and the heat capacity. The thermal conductance of the air-bridge was measured to be 3 X 10-6 W/K at a temperature of 100 K. At an operating temperature of 89 K, the detector is estimated to have a response time of 2 microsecond(s) , a responsivity of the 1000 V/W range, and a noise-equivalent power in the 10-12 W/Hz1/2 range at 1000 Hz.

  3. Degradation of the photoluminescence of porous silicon caused by 60Co γ radiation

    International Nuclear Information System (INIS)

    Astrova, E.V.; Emtsev, V.V.; Lebedev, A.A.

    1995-01-01

    Two series of experiments were carried out. In the first, as-grown porous silicon was bombarded with 60 Co γ radiation to a dose ∼ 10 20 cm -2 . The photoluminescence intensity fell off by a factor ∼ 50 as a result, although the peak of the band underwent essentially no shift. In the second series, single-crystal silicon was bombarded to the same dose, and then porous silicon was fabricated on it. The intensity and spectra of these samples were the same as usual. Possible degradation mechanisms are discussed. 12 refs., 2 figs

  4. High-field EPR spectroscopy of thermal donors in silicon

    DEFF Research Database (Denmark)

    Dirksen, R.; Rasmussen, F.B.; Gregorkiewicz, T.

    1997-01-01

    Thermal donors generated in p-type boron-doped Czochralski-grown silicon by a 450 degrees C heat treatment have been studied by high-field magnetic resonance spectroscopy. In the experiments conducted at a microwave frequency of 140 GHz and in a magnetic field of approximately 5 T four individual...

  5. Silicon nanowires nanogenerator based on the piezoelectricity of alpha-quartz.

    Science.gov (United States)

    Yin, Kui; Lin, Haiyang; Cai, Qian; Zhao, Yi; Lee, Shuit-Tong; Hu, Fei; Shao, Mingwang

    2013-12-21

    Silicon nanowires are important semiconductor with core/shell structure. In this work, the piezoelectric material alpha-quartz was grown in the interface of silicon nanowires by thermal treatment at 600 °C for 0.5 h. These nanowires were employed as starting materials to fabricate piezoelectric nanogenerators, which could convert kinetic energy into electrical one, exhibiting an output voltage of 36.5 V and a response current of 1.4 μA under a free-falling object of 300 g at a height of 30 cm.

  6. Using silicone technology to maintain healthy skin in stoma care.

    Science.gov (United States)

    White, Maddie

    The use of silicone in stoma care has grown in recent years and may be considered the next step in the revolutionary development of stoma-care products. Clinical nurse specialists aim to provide evidence-based care at all times, and the same is true for stoma-care nurses. Preventing harm by choosing products that have a sound research base provides the patients with up-to-date, quality care, which enables them to adapt to life with a stoma and return to 'normal' functioning. This article explores the issue of peristomal skin problems and the development of silicone products, and highlights scenarios where it could be an advantage to choose a silicone product.

  7. Magnetic flow control in growth and casting of photovoltaic silicon: Numerical and experimental results

    Science.gov (United States)

    Poklad, A.; Pal, J.; Galindo, V.; Grants, I.; Heinze, V.; Meier, D.; Pätzold, O.; Stelter, M.; Gerbeth, G.

    2017-07-01

    A novel, vertical Bridgman-type technique for growing multi-crystalline silicon ingots in an induction furnace is described. In contrast to conventional growth, a modified setup with a cone-shaped crucible and susceptor is used. A detailed numerical simulation of the setup is presented. It includes a global thermal simulation of the furnace and a local simulation of the melt, which aims at the influence of the melt flow on the temperature and concentration fields. Furthermore, seeded growth of cone-shaped Si ingots using either a monocrystalline seed or a seed layer formed by pieces of poly-Si is demonstrated and compared to growth without seeds. The influences of the seed material on the grain structure and the dislocation density of the ingots are discussed. The second part addresses model experiments for the Czochralski technique using the room temperature liquid metal GaInSn. The studies were focused on the influence of a rotating and a horizontally static magnetic field on the melt flow and the related heat transport in crucibles being heated from bottom and/or side, and cooled by a crystal model covering about 1/3 of the upper melt surface.

  8. Baffles Promote Wider, Thinner Silicon Ribbons

    Science.gov (United States)

    Seidensticker, Raymond G.; Mchugh, James P.; Hundal, Rolv; Sprecace, Richard P.

    1989-01-01

    Set of baffles just below exit duct of silicon-ribbon-growing furnace reduces thermal stresses in ribbons so wider ribbons grown. Productivity of furnace increased. Diverts plume of hot gas from ribbon and allows cooler gas from top of furnace to flow around. Also shields ribbon from thermal radiation from hot growth assembly. Ribbon cooled to lower temperature before reaching cooler exit duct, avoiding abrupt drop in temperature as entering duct.

  9. Intensity dependence and transient dynamics of donor-acceptor pair recombination in ZnO thin films grown on (001) silicon

    Science.gov (United States)

    Guo, Bing; Qiu, Z. R.; Wong, K. S.

    2003-04-01

    We report room-temperature time-integrated and time-resolved photoluminescence (PL) measurements on a nominally undoped wurtzite ZnO thin film grown on (001) silicon. A linear and sublinear excitation intensity Iex dependence of the PL intensity were observed for the 379.48-nm exciton line and the weak broad green band (˜510 nm), respectively. The green luminescence was found to decay as hyperbolic t-1, and its peak energy was observed to increase nearly logarithmically with increased Iex. These results are in an excellent agreement with the tunnel-assisted donor-deep-acceptor pair (DAP) model so that its large blueshifts of about 25 meV per decade increase in Iex can be accounted for by the screening of the fluctuating impurity potential. Also, the 30-ps fast decay of the exciton emission was attributed to the rapid trapping of carriers at luminescent impurities, while the short lifetime of τ1/e=200 ps for the green luminescence may be due to an alternative trapping by deeper centers in the ZnO. Finally, singly ionized oxygen and zinc vacancies have been tentatively invoked to act as donor-deep-acceptor candidates for the DAP luminescence, respectively.

  10. Processing of n{sup +}/p{sup −}/p{sup +} strip detectors with atomic layer deposition (ALD) grown Al{sub 2}O{sub 3} field insulator on magnetic Czochralski silicon (MCz-si) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, J., E-mail: jaakko.harkonen@helsinki.fi [Helsinki Institute of Physics (Finland); Tuovinen, E. [Helsinki Institute of Physics (Finland); VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T. [Helsinki Institute of Physics (Finland); Junkes, A. [Institute for Experimental Physics, University of Hamburg (Germany); Wu, X. [VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Picosun Oy, Tietotie 3, FI-02150 Espoo Finland (Finland); Li, Z. [School of Materials Science and Engineering, Xiangtan University, Xiangtan, Hunan 411105 (China)

    2016-08-21

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n{sup +} segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO{sub 2} interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al{sub 2}O{sub 3}) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current–voltage and capacitance−voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×10{sup 15} n{sub eq}/cm{sup 2} proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  11. Surface morphology and grain analysis of successively industrially grown amorphous hydrogenated carbon films (a-C:H) on silicon

    Science.gov (United States)

    Catena, Alberto; McJunkin, Thomas; Agnello, Simonpietro; Gelardi, Franco M.; Wehner, Stefan; Fischer, Christian B.

    2015-08-01

    Silicon (1 0 0) has been gradually covered by amorphous hydrogenated carbon (a-C:H) films via an industrial process. Two types of these diamond-like carbon (DLC) coatings, one more flexible (f-DLC) and one more robust (r-DLC), have been investigated. Both types have been grown by a radio frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique with acetylene plasma. Surface morphologies have been studied in detail by atomic force microscopy (AFM) and Raman spectroscopy has been used to investigate the DLC structure. Both types appeared to have very similar morphology and sp2 carbon arrangement. The average height and area for single grains have been analyzed for all depositions. A random distribution of grain heights was found for both types. The individual grain structures between the f- and r-type revealed differences: the shape for the f-DLC grains is steeper than for the r-DLC grains. By correlating the average grain heights to the average grain areas for all depositions a limited region is identified, suggesting a certain regularity during the DLC deposition mechanisms that confines both values. A growth of the sp2 carbon entities for high r-DLC depositions is revealed and connected to a structural rearrangement of carbon atom hybridizations and hydrogen content in the DLC structure.

  12. Influence of surface pre-treatment on the electronic levels in silicon MaWCE nanowires.

    Science.gov (United States)

    Venturi, Giulia; Castaldini, Antonio; Schleusener, Alexander; Sivakov, Vladimir; Cavallini, Anna

    2015-05-15

    Deep level transient spectroscopy (DLTS) was performed on n-doped silicon nanowires grown by metal-assisted wet chemical etching (MaWCE) with gold as the catalyst in order to investigate the energetic scheme inside the bandgap. To observe the possible dependence of the level scheme on the processing temperature, DLTS measurements were performed on the nanowires grown on a non-treated Au/Si surface and on a thermally pre-treated Au/Si surface. A noticeable modification of the configuration of the energy levels was observed, induced by the annealing process. Based on our results on these MaWCE nanowires and on literature data about deep levels in bulk silicon, some hypotheses were advanced regarding the identification of the defects responsible of the energy levels revealed.

  13. Indium-bump-free antimonide superlattice membrane detectors on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zamiri, M., E-mail: mzamiri@chtm.unm.edu, E-mail: skrishna@chtm.unm.edu; Klein, B.; Schuler-Sandy, T.; Dahiya, V.; Cavallo, F. [Center for High Technology Materials, Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, New Mexico 87106 (United States); Myers, S. [SKINfrared, LLC, Lobo Venture Lab, 801 University Blvd., Suite 10, Albuquerque, New Mexico 87106 (United States); Krishna, S., E-mail: mzamiri@chtm.unm.edu, E-mail: skrishna@chtm.unm.edu [Center for High Technology Materials, Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, New Mexico 87106 (United States); SKINfrared, LLC, Lobo Venture Lab, 801 University Blvd., Suite 10, Albuquerque, New Mexico 87106 (United States)

    2016-02-29

    We present an approach to realize antimonide superlattices on silicon substrates without using conventional Indium-bump hybridization. In this approach, PIN superlattices are grown on top of a 60 nm Al{sub 0.6}Ga{sub 0.4}Sb sacrificial layer on a GaSb host substrate. Following the growth, the individual pixels are transferred using our epitaxial-lift off technique, which consists of a wet-etch to undercut the pixels followed by a dry-stamp process to transfer the pixels to a silicon substrate prepared with a gold layer. Structural and optical characterization of the transferred pixels was done using an optical microscope, scanning electron microscopy, and photoluminescence. The interface between the transferred pixels and the new substrate was abrupt, and no significant degradation in the optical quality was observed. An Indium-bump-free membrane detector was then fabricated using this approach. Spectral response measurements provided a 100% cut-off wavelength of 4.3 μm at 77 K. The performance of the membrane detector was compared to a control detector on the as-grown substrate. The membrane detector was limited by surface leakage current. The proposed approach could pave the way for wafer-level integration of photonic detectors on silicon substrates, which could dramatically reduce the cost of these detectors.

  14. Incorporation, diffusion and segregation of impurities in polycrystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Deville, J.P.; Soltani, M.L. (Universite Louis Pasteur, 67 - Strasbourg (France)); Quesada, J. (Laboratoire de Metallurgie-Chimie des Materiaux, E.N.S.A.I.S., 67 - Strasbourg (France))

    1982-01-01

    We studied by means of X-Ray photoelectron Spectroscopy the nature, distribution and, when possible, the chemical bond of impurities at the surface of polycrystalline silicon samples grown on a carbon ribbon. Besides main impurities (carbon and oxygen), always present at concentrations around their limit of solubility in silicon, metal impurities have been found: their nature varies from one sample to another. Their spatial distribution is not random: some are strictly confined at the surface (sodium), whereas others are in the superficial oxidized layer (calcium, magnesium) or localized at the oxide-bulk silicon interface (iron). Metal impurities are coming from the carbon ribbon and are incorporated to silicon during the growth process. It is not yet possible to give a model of diffusion processes of impurities since they are too numerous and interact one with the other. However oxygen seems to play a leading role in the spatial distribution of metal impurities.

  15. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  16. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    International Nuclear Information System (INIS)

    Anguita, J V; Sharma, P; Henley, S J; Silva, S R P

    2009-01-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  17. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    Science.gov (United States)

    Anguita, J. V.; Sharma, P.; Henley, S. J.; Silva, S. R. P.

    2009-11-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  18. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  19. Spectrum sensitivity, energy yield, and revenue prediction of PV and CPV modules

    Energy Technology Data Exchange (ETDEWEB)

    Kinsey, Geoffrey S., E-mail: Geoffrey.kinsey@ee.doe.gov [U.S. Department of Energy, 950 L’Enfant Plaza, Washington, DC 20024 (United States)

    2015-09-28

    Impact on module performance of spectral irradiance variation has been determined for III-V multijunctions compared against the four most common flat-plate module types (cadmium telluride, multicrystalline silicon, copper indium gallium selenide, and monocrystalline silicon. Hour-by-hour representative spectra were generated using atmospheric variables for Albuquerque, New Mexico, USA. Convolution with published values for external quantum efficiency gave the predicted current output. When combined with specifications of commercial PV modules, energy yield and revenue were predicted. This approach provides a means for optimizing PV module design based on various site-specific temporal variables.

  20. LSA Large Area Silicon Sheet Task Continuous Czochralski Process Development

    Science.gov (United States)

    Rea, S. N.

    1979-01-01

    A commercial Czochralski crystal growing furnace was converted to a continuous growth facility by installation of a small, in-situ premelter with attendant silicon storage and transport mechanisms. Using a vertical, cylindrical graphite heater containing a small fused quartz test tube linear from which the molten silicon flowed out the bottom, approximately 83 cm of nominal 5 cm diamter crystal was grown with continuous melt addition furnished by the test tube premelter. High perfection crystal was not obtained, however, due primarily to particulate contamination of the melt. A major contributor to the particulate problem was severe silicon oxide buildup on the premelter which would ultimately drop into the primary melt. Elimination of this oxide buildup will require extensive study and experimentation and the ultimate success of continuous Czochralski depends on a successful solution to this problem. Economically, the continuous Czochralski meets near-term cost goals for silicon sheet material.

  1. Frequency effects and properties of plasma deposited fluorinated silicon nitride

    International Nuclear Information System (INIS)

    Chang, C.; Flamm, D.L.; Ibbotson, D.E.; Mucha, J.A.

    1988-01-01

    The properties of low-hydrogen, fluorinated plasma-enhanced chemical vapor deposition (PECVD) silicon nitride films grown using NF 3 /SiH 4 /N 2 feed mixtures in 200 kHz and 14 MHz discharges were compared. High-energy ion bombardment at 200 kHz is expected to enhance surface diffusion and chemical reconstruction. Compared to fluorinated silicon nitride deposited at 14 MHz under otherwise comparable conditions, the 200 kHz films had a lower Si--H bond concentration (approx. 21 cm -3 ), lower total hydrogen content (5--8 x 10 21 cm -3 ), better resistance to oxidation, lower compressive stress (-0.7 to -1.5 Gdyne/cm), and higher density (3.1 g/cm 3 ). The dielectric constant of better low-frequency Class I films was constant to 500 MHz, while that of high-frequency films fell up to 15% between 100 Hz and 10 MHz. The absorption edges of low-frequency PECVD fluorinated silicon nitride films were between 5.0 and 6.1 eV, which compare with 4.4 to 5.6 eV for the high-excitation frequency fluorinated material and 3 to 4 eV for conventional PECVD nitride. However high-frequency films may have fewer trap centers and a lower dielectric constant. 14 MHz p-SiN:F films grown with NH 3 as an auxiliary nitrogen source showed absorption edges similar to low-frequency material grown from NF 3 /SiH 4 /N 2 , but they have substantially more N--H bonding. The dielectric constant and absorption edge of these films were comparable to those of low-frequency p-SiN:F from NF 3 /SiH 4 /N 2

  2. Vertically aligned nanowires on flexible silicone using a supported alumina template prepared by pulsed anodization

    DEFF Research Database (Denmark)

    Mátéfi-Tempfli, Stefan; Mátéfi-Tempfli, M.

    2009-01-01

    Carpets of vertically aligned nanowires on flexible substrates are successfully realized by a template method. Applying special pulsed anodization conditions, defect-free nanoporous alumina structures supported on polydimethylsiloxane (PDMS), a flexible silicone elastomer, are created. By using...... this template with nanopores ending on a conducting underlayer, a high-density nanowire array can be simply grown by direct DCelectrodeposition on the top of the silicone rubber....

  3. Preparation and characterization of polymer-derived amorphous silicon carbide with silicon-rich stoichiometry

    Energy Technology Data Exchange (ETDEWEB)

    Masuda, Takashi, E-mail: mtakashi@jaist.ac.jp [School of Material and Science, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Iwasaka, Akira [School of Material and Science, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Takagishi, Hideyuki [Faculty of Symbiotic System Science, Fukushima University, 1 Kanayagawa, Fukushima-shi, Fukushima 960-1296 (Japan); Shimoda, Tatsuya [School of Material and Science, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan)

    2016-08-01

    Polydihydrosilane with pendant hexyl groups was synthesized to obtain silicon-rich amorphous silicon carbide (a-SiC) films via the solution route. Unlike conventional polymeric precursors, this polymer requires neither catalysts nor oxidation for its synthesis and cross-linkage. Therefore, the polymer provides sufficient purity for the fabrication of semiconducting a-SiC. Here, we investigated the correlation of Si/C stoichiometry between the polymer and the resultant a-SiC film. The structural, optical, and electrical properties of the films with various carbon contents were also explored. Experimental results suggested that the excess carbon that did not participate in Si−C configurations was decomposed and was evaporated during polymer-to-SiC conversion. Consequently, the upper limit of the carbon in resultant a-SiC film was < 50 at.%; namely, the polymer provided silicon-rich a-SiC, whereas the conventionally used polycarbosilane inevitably provides carbon-rich one. These features of this unusual polymer open up a frontier of polymer-derived SiC and solution-processed SiC electronics. - Highlights: • Polymeric precursor solution for silicon carbide (SiC) is synthesized. • Semiconducting amorphous SiC is prepared via solution route. • The excess carbon is decomposed during cross-linking resulting in Si-rich SiC films. • The grown SiC films contain substantial amount of hydrogen atoms as SiH{sub n}/CH{sub n} entities. • Presence of CH{sub n} entities induces dangling bonds, causing poor electrical properties.

  4. Surface properties and field emission characteristics of chemical vapor deposition diamond grown on Fe/Si substrates

    International Nuclear Information System (INIS)

    Hirakuri, Kenji; Yokoyama, Takahiro; Enomoto, Hirofumi; Mutsukura, Nobuki; Friedbacher, Gernot

    2001-01-01

    Electron field emission characteristics of diamond grains fabricated on iron dot-patterned silicon (Fe/Si) substrates at different methane concentrations have been investigated. The characteristics of the samples could be improved by control of the methane concentration during diamond fabrication. Etching treatment of the as-grown diamond has enhanced the emission properties both with respect to current and threshold voltage. In order to study the influence of etching effects on the field emission characteristics, the respective surfaces were studied by Raman spectroscopy, Auger electron spectroscopy, and electron spectroscopy for chemical analysis (ESCA). ESCA revealed intensive graphite and FeO x peaks on the sample surface grown at high methane concentration. For the etched samples, the peaks of diamond and silicon carbide were observed, and the peaks of nondiamond carbon disappeared. The experimental results show that the etching process removes graphitic and nondiamond carbon components. [copyright] 2001 American Institute of Physics

  5. Infrared defect dynamics—Nitrogen-vacancy complexes in float zone grown silicon introduced by electron irradiation

    Science.gov (United States)

    Inoue, Naohisa; Kawamura, Yuichi

    2018-05-01

    The interaction of nitrogen and intrinsic point defects, vacancy (V) and self-interstitial (I), was examined by infrared absorption spectroscopy on the electron irradiated and post-annealed nitrogen doped float zone (FZ) silicon crystal. Various absorption lines were observed, at 551 cm-1 in as-grown samples, at 726 and 778 cm-1 in as-irradiated samples (Ir group), at 689 cm-1 after post-annealing at 400 °C and above (400 °C group), at 762 and 951 cm-1 after annealing at 600 °C (600 °C group), and at 714 cm-1 up to 800 °C (800 °C group). By irradiation, a part of N2 was changed into the Ir group. VN2 is the candidate for the origin of the Ir group. By the post annealing at 400 and 600 °C, a part of N2 and the Ir group were changed into the 400 °C group, to less extent at 600 °C. V2N2 is the candidate for the origin of the 400 °C group. By annealing at 600 °C, most of the Ir group turned into 400 °C and 600 °C groups. By annealing at 800 °C, N2 recovered almost completely, and most other complexes were not observed. Recently, lifetime degradation has been observed in the nitrogen doped FZ Si annealed at between 450 and 800 °C. The N-V interaction in the same temperature range revealed here will help to understand the lifetime degradation mechanism. The behavior of the 689 cm-1 line corresponded well to the lifetime degradation.

  6. High-{Tc} superconducting antenna-coupled microbolometer on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Rice, J.P.; Grossman, E.N.; Borcherdt, L.J.; Rudman, D.A. [National Inst. of Standards and Technology, Boulder, CO (United States). Cryoelectronic Metrology Group

    1994-12-31

    A process is described for fabricating antenna-coupled resistive-edge microbolometers based on the high-{Tc} superconductor YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) on silicon. The YBCO and a buffer layer of yttria-stabilized zirconia (YSZ) were grown epitaxially on silicon to minimize excess electrical noise. A silicon-micromachined YBCO/YSZ air-bridge was incorporated to minimize the thermal conductance and the heat capacity. The thermal conductance of the air-bridge was measured to be 3 {times} 10{sup {minus}6} W/K at a temperature of 100 K. At an operating temperature of 89 K, the detector is estimated to have a response time of 2 {micro}s, a responsivity in the 1,000 V/W range, and a noise-equivalent power (NEP) in the 10{sup {minus}12} W/Hz{sup 1/2} range at 1,000 Hz.

  7. Electron spin resonance signal from a tetra-interstitial defect in silicon

    CERN Document Server

    Mchedlidze, T

    2003-01-01

    The Si-B3 electron spin resonance (ESR) signal from agglomerates of self-interstitials was detected for the first time in hydrogen-doped float-zone-grown silicon samples subjected to annealing after electron irradiation. Previously this signal had been detected only in neutron- or proton-irradiated silicon samples. The absence of obscuring ESR peaks for the investigated samples at applied measurement conditions allowed an investigation of the hyperfine structure of the Si-B3 spectra. The analysis supports assignment of a tetra-interstitial defect as the origin of the signal.

  8. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    International Nuclear Information System (INIS)

    Jung, Y. J.; Kim, W. K.; Jung, J. H.

    2014-01-01

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  9. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Y. J.; Kim, W. K.; Jung, J. H. [Yeungnam University, Gyeongsan (Korea, Republic of)

    2014-08-15

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  10. Nanomechanical properties of SiC films grown from C{sub 60} precursors using atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Morse, K. [Colorado School of Mines, Golden, CO (United States); Balooch, M.; Hamza, A.V.; Belak, J. [Lawrence Livermore National Lab., CA (United States)

    1994-12-01

    The mechanical properties of SiC films grown via C{sub 60} precursors were determined using atomic force microscopy (AFM). Conventional silicon nitride and modified diamond cantilever AFM tips were employed to determine the film hardness, friction coefficient, and elastic modulus. The hardness is found to be between 26 and 40 GPa by nanoindentation of the film with the diamond tip. The friction coefficient for the silicon nitride tip on the SiC film is about one third that for silicon nitride sliding on a silicon substrate. By combining nanoindentation and AFM measurements an elastic modulus of {approximately}300 GPa is estimated for these SiC films. In order to better understand the atomic scale mechanisms that determine the hardness and friction of SiC, we simulated the molecular dynamics of a diamond indenting a crystalline SiC substrate.

  11. Insitu CCVD grown bilayer graphene transistors for applications in nanoelectronics

    International Nuclear Information System (INIS)

    Wessely, Pia Juliane; Schwalke, Udo

    2014-01-01

    We invented a method to fabricate graphene field effect transistors (GFETs) on oxidized silicon wafers in a Silicon CMOS compatible process. The graphene layers needed are grown in situ by means of a transfer-free catalytic chemical vapor deposition (CCVD) process directly on silicon dioxide. Depending on the process parameters the fabrication of single, double or multi-layer graphene FETs (GFETs) is possible. The produced graphene layers have been characterized by SEM, TEM, TEM-lattice analysis as well as Raman-Spectroscopy. Directly after growth, the fabricated GFETs are electrically functional and can be electrically characterized via the catalyst metals which are used as contact electrodes. In contrast to monolayer graphene FETs, the fabricated bilayer graphene FETs (BiLGFETs) exhibit unipolar p-type MOSFET behavior. Furthermore, the on/off current-ratio of 10 4 up to several 10 7 at room temperature of the fabricated BiLGFETs allows their use in digital logic applications [1]. In addition, a stable hysteresis of the GFETs enables their use as memory devices without the need of storage capacitors and therefore very high memory device-densities are possible. The whole fabrication process is fully Si-CMOS compatible, enabling the use of hybrid silicon/graphene electronics.

  12. InGaAs quantum dots grown by molecular beam epitaxy for light emission on Si substrates.

    Science.gov (United States)

    Bru-Chevallier, C; El Akra, A; Pelloux-Gervais, D; Dumont, H; Canut, B; Chauvin, N; Regreny, P; Gendry, M; Patriarche, G; Jancu, J M; Even, J; Noe, P; Calvo, V; Salem, B

    2011-10-01

    The aim of this study is to achieve homogeneous, high density and dislocation free InGaAs quantum dots grown by molecular beam epitaxy for light emission on silicon substrates. This work is part of a project which aims at overcoming the severe limitation suffered by silicon regarding its optoelectronic applications, especially efficient light emission device. For this study, one of the key points is to overcome the expected type II InGaAs/Si interface by inserting the InGaAs quantum dots inside a thin silicon quantum well in SiO2 fabricated on a SOI substrate. Confinement effects of the Si/SiO2 quantum well are expected to heighten the indirect silicon bandgap and then give rise to a type I interface with the InGaAs quantum dots. Band structure and optical properties are modeled within the tight binding approximation: direct energy bandgap is demonstrated in SiO2/Si/InAs/Si/SiO2 heterostructures for very thin Si layers and absorption coefficient is calculated. Thinned SOI substrates are successfully prepared using successive etching process resulting in a 2 nm-thick Si layer on top of silica. Another key point to get light emission from InGaAs quantum dots is to avoid any dislocations or defects in the quantum dots. We investigate the quantum dot size distribution, density and structural quality at different V/III beam equivalent pressure ratios, different growth temperatures and as a function of the amount of deposited material. This study was performed for InGaAs quantum dots grown on Si(001) substrates. The capping of InGaAs quantum dots by a silicon epilayer is performed in order to get efficient photoluminescence emission from quantum dots. Scanning transmission electronic microscopy images are used to study the structural quality of the quantum dots. Dislocation free In50Ga50As QDs are successfully obtained on a (001) silicon substrate. The analysis of QDs capped with silicon by Rutherford Backscattering Spectrometry in a channeling geometry is also presented.

  13. Orientation and Morphology Effects in Rapid Silicon Sheet Solidification

    Science.gov (United States)

    Ciszek, T. F.

    1984-01-01

    Radial growth anisotropies and equilibrium forms of point nucleated, dislocation free silicon sheets spreading horizontally on the free surface of a silicon melt were measured for (100), (110), (111), and (112) sheet planes. The growth process was recorded. Qualitative Wulff surface free energy polar plots were deduced from the equilibrium shapes for each sheet plane. Predicted geometries for the tip shape of unidirectional, dislocation free, horizontally grown sheets growing in various directions within the planes were analyzed. Polycrystalline sheets and dendrite propagation were analyzed. For dendrites, growth rates on the order of 2.5 m/min and growth rate anisotropies of 25 are measured.

  14. III–V quantum light source and cavity-QED on Silicon

    Science.gov (United States)

    Luxmoore, I. J.; Toro, R.; Pozo-Zamudio, O. Del; Wasley, N. A.; Chekhovich, E. A.; Sanchez, A. M.; Beanland, R.; Fox, A. M.; Skolnick, M. S.; Liu, H. Y.; Tartakovskii, A. I.

    2013-01-01

    Non-classical light sources offer a myriad of possibilities in both fundamental science and commercial applications. Single photons are the most robust carriers of quantum information and can be exploited for linear optics quantum information processing. Scale-up requires miniaturisation of the waveguide circuit and multiple single photon sources. Silicon photonics, driven by the incentive of optical interconnects is a highly promising platform for the passive optical components, but integrated light sources are limited by silicon's indirect band-gap. III–V semiconductor quantum-dots, on the other hand, are proven quantum emitters. Here we demonstrate single-photon emission from quantum-dots coupled to photonic crystal nanocavities fabricated from III–V material grown directly on silicon substrates. The high quality of the III–V material and photonic structures is emphasized by observation of the strong-coupling regime. This work opens-up the advantages of silicon photonics to the integration and scale-up of solid-state quantum optical systems. PMID:23393621

  15. III-V quantum light source and cavity-QED on silicon.

    Science.gov (United States)

    Luxmoore, I J; Toro, R; Del Pozo-Zamudio, O; Wasley, N A; Chekhovich, E A; Sanchez, A M; Beanland, R; Fox, A M; Skolnick, M S; Liu, H Y; Tartakovskii, A I

    2013-01-01

    Non-classical light sources offer a myriad of possibilities in both fundamental science and commercial applications. Single photons are the most robust carriers of quantum information and can be exploited for linear optics quantum information processing. Scale-up requires miniaturisation of the waveguide circuit and multiple single photon sources. Silicon photonics, driven by the incentive of optical interconnects is a highly promising platform for the passive optical components, but integrated light sources are limited by silicon's indirect band-gap. III-V semiconductor quantum-dots, on the other hand, are proven quantum emitters. Here we demonstrate single-photon emission from quantum-dots coupled to photonic crystal nanocavities fabricated from III-V material grown directly on silicon substrates. The high quality of the III-V material and photonic structures is emphasized by observation of the strong-coupling regime. This work opens-up the advantages of silicon photonics to the integration and scale-up of solid-state quantum optical systems.

  16. Evaluation of bonding between oxygen plasma treated polydimethyl siloxane and passivated silicon

    Energy Technology Data Exchange (ETDEWEB)

    Tang, K C [Bioelectronics/BioMEMS Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Liao, E [Semiconductor Process Technologies Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Ong, W L [Bioelectronics/BioMEMS Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Wong, J D S [Semiconductor Process Technologies Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Agarwal, A [Bioelectronics/BioMEMS Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Nagarajan, R [Semiconductor Process Technologies Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Yobas, L [Bioelectronics/BioMEMS Laboratory, Institute of Microelectronics, 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore)

    2006-04-01

    Oxygen plasma treatment has been used extensively to bond polydimethyl siloxane to polydimethyl siloxane or glass in the rapid prototyping of microfluidic devices. This study aimed to improve the bonding quality of polydimethyl siloxane to passivated silicon using oxygen plasma treatment, and also to evaluate the bonding quality. Four types of passivated silicon were used: phosphosilicate glass, undoped silicate glass, silicon nitride and thermally grown silicon dioxide. Bonding strength was evaluated qualitatively and quantitatively using manual peel and mechanical shear tests respectively. Through peel tests we found that the lowering of plasma pressure from 500 to 30 mTorr and using a plasma power between 20 to 60 W helped to improve the bond quality for the first three types of passivation. Detailed analysis and discussion were conducted to explain the discrepancy between the bonding strength results and peeling results. Our results suggested that polydimethyl siloxane can be effectively bonded to passivated silicon, just as to polydimethyl siloxane or glass.

  17. VV and VO2 defects in silicon studied with hybrid density functional theory

    KAUST Repository

    Christopoulos, Stavros Richard G; Wang, Hao; Chroneos, Alexander I.; Londos, Charalampos A.; Sgourou, Efstratia N.; Schwingenschlö gl, Udo

    2014-01-01

    The formation of VO (A-center), VV and VO2 defects in irradiated Czochralski-grown silicon (Si) is of technological importance. Recent theoretical studies have examined the formation and charge states of the A-center in detail. Here we use density

  18. Eliminating Light-Induced Degradation in Commercial p-Type Czochralski Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Brett Hallam

    2017-12-01

    Full Text Available This paper discusses developments in the mitigation of light-induced degradation caused by boron-oxygen defects in boron-doped Czochralski grown silicon. Particular attention is paid to the fabrication of industrial silicon solar cells with treatments for sensitive materials using illuminated annealing. It highlights the importance and desirability of using hydrogen-containing dielectric layers and a subsequent firing process to inject hydrogen throughout the bulk of the silicon solar cell and subsequent illuminated annealing processes for the formation of the boron-oxygen defects and simultaneously manipulate the charge states of hydrogen to enable defect passivation. For the photovoltaic industry with a current capacity of approximately 100 GW peak, the mitigation of boron-oxygen related light-induced degradation is a necessity to use cost-effective B-doped silicon while benefitting from the high-efficiency potential of new solar cell concepts.

  19. Lifetime degradation of n-type Czochralski silicon after hydrogenation

    Science.gov (United States)

    Vaqueiro-Contreras, M.; Markevich, V. P.; Mullins, J.; Halsall, M. P.; Murin, L. I.; Falster, R.; Binns, J.; Coutinho, J.; Peaker, A. R.

    2018-04-01

    Hydrogen plays an important role in the passivation of interface states in silicon-based metal-oxide semiconductor technologies and passivation of surface and interface states in solar silicon. We have shown recently [Vaqueiro-Contreras et al., Phys. Status Solidi RRL 11, 1700133 (2017)] that hydrogenation of n-type silicon slices containing relatively large concentrations of carbon and oxygen impurity atoms {[Cs] ≥ 1 × 1016 cm-3 and [Oi] ≥ 1017 cm-3} can produce a family of C-O-H defects, which act as powerful recombination centres reducing the minority carrier lifetime. In this work, evidence of the silicon's lifetime deterioration after hydrogen injection from SiNx coating, which is widely used in solar cell manufacturing, has been obtained from microwave photoconductance decay measurements. We have characterised the hydrogenation induced deep level defects in n-type Czochralski-grown Si samples through a series of deep level transient spectroscopy (DLTS), minority carrier transient spectroscopy (MCTS), and high-resolution Laplace DLTS/MCTS measurements. It has been found that along with the hydrogen-related hole traps, H1 and H2, in the lower half of the gap reported by us previously, hydrogenation gives rise to two electron traps, E1 and E2, in the upper half of the gap. The activation energies for electron emission from the E1 and E2 trap levels have been determined as 0.12, and 0.14 eV, respectively. We argue that the E1/H1 and E2/H2 pairs of electron/hole traps are related to two energy levels of two complexes, each incorporating carbon, oxygen, and hydrogen atoms. Our results show that the detrimental effect of the C-O-H defects on the minority carrier lifetime in n-type Si:O + C materials can be very significant, and the carbon concentration in Czochralski-grown silicon is a key parameter in the formation of the recombination centers.

  20. Stimulated emission in heterostructures with double InGaAs/GaAsSb/GaAs quantum wells, grown on GaAs and Ge/Si(001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yablonsky, A. N., E-mail: yablonsk@ipm.sci-nnov.ru; Morozov, S. V.; Gaponova, D. M.; Aleshkin, V. Ya. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Shengurov, V. G.; Zvonkov, B. N.; Vikhrova, O. V.; Baidus’, N. V. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Krasil’nik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2016-11-15

    We report the observation of stimulated emission in heterostructures with double InGaAs/GaAsSb/GaAs quantum wells, grown on Si(001) substrates with the application of a relaxed Ge buffer layer. Stimulated emission is observed at 77 K under pulsed optical pumping at a wavelength of 1.11 μm, i.e., in the transparency range of bulk silicon. In similar InGaAs/GaAsSb/GaAs structures grown on GaAs substrates, room-temperature stimulated emission is observed at 1.17 μm. The results obtained are promising for integration of the structures into silicon-based optoelectronics.

  1. Heteroepitaxially grown InP solar cells

    International Nuclear Information System (INIS)

    Weinberg, I.; Swartz, C.K.; Brinker, D.J.; Wilt, D.M.

    1990-01-01

    Although they are significantly more radiation resistant than either Si or GaAs solar cells, their high wafer cost presents a barrier to the widespread use of InP solar cells in space. For this reason, the authors have initiated a program aimed at producing high efficiency, radiation resistant solar cells processed from InP heteroepitaxially grown on cheaper substrates. The authors' objective is to present the most recent results emanating from this program together with the results of their initial proton irradiations on these cells. This paper reports that InP cells were processed from a 4 micron layer of InP, grown by OMCVD on a silicon substrate, with a 0.5 micron buffer layer between the InP directly grown on a GaAs substrate. Initial feasibility studies, in a Lewis sponsored program at the Spire corporation, resulted in air mass zero efficiencies of 7.1% for the former cells and 9.1% for the latter. These initial low efficiencies are attributed to the high dislocation densities caused by lattice mismatch. The authors' preirradiation analysis indicates extremely low minority carrier diffusion lengths, in both cell base and emitter, and high values of both the diffusion and recombination components of the diode reverse saturation currents. Irradiation by 10 MeV protons, to a fluence of 10 13 cm -2 , resulted in relatively low degradation in cell efficiency, short circuit current and open circuit voltage

  2. Effect of silicon on wheat seedlings (Triticum turgidum L.) grown in hydroponics and exposed to 0 to 30 µM Cu.

    Science.gov (United States)

    Keller, C; Rizwan, M; Davidian, J-C; Pokrovsky, O S; Bovet, N; Chaurand, P; Meunier, J-D

    2015-04-01

    Aqueous Si limits Cu uptake by a Si-accumulating plant via physicochemical mechanisms occurring at the root level. Sufficient Si supply may alleviate Cu toxicity in Cu-contaminated soils. Little information is available on the role of silicon (Si) in copper (Cu) tolerance while Cu toxicity is widespread in crops grown on Cu-contaminated soils. A hydroponic study was set up to investigate the influence of Si on Cu tolerance in durum wheat (Triticum turgidum L.) grown in 0, 0.7, 7.0 and 30 µM Cu without and with 1.0 mM Si, and to identify the mechanisms involved in mitigation of Cu toxicity. Si supply alleviated Cu toxicity in durum wheat at 30 µM Cu, while Cu significantly increased Si concentration in roots. Root length, photosynthetic pigments concentrations, macroelements, and organic anions (malate, acetate and aconitate) in roots, were also increased. Desorption experiments, XPS analysis of the outer thin root surface (≤100 Å) and µXRF analyses showed that Si increased adsorption of Cu at the root surface as well as Cu accumulation in the epidermis while Cu was localised in the central cylinder when Si was not applied. Copper was not detected in phytoliths. This study provides evidences for Si-mediated alleviation of Cu toxicity in durum wheat. It also shows that Si supplementation to plants exposed to increasing levels of Cu in solution induces non-simultaneous changes in physiological parameters. We propose a three-step mechanism occurring mainly at the root level and limiting Cu uptake and translocation to shoots: (i) increased Cu adsorption onto the outer thin layer root surface and immobilisation in the vicinity of root epidermis, (ii) increased Cu complexation by both inorganic and organic anions such as aconitate and, (iii) limitation of translocation through an enhanced thickening of a Si-loaded endodermis.

  3. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    Science.gov (United States)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  4. Radiation hardness of silicon detectors manufactured on wafers from various sources

    International Nuclear Information System (INIS)

    Dezillie, B.; Bates, S.; Glaser, M.; Lemeilleur, F.; Leroy, C.

    1997-01-01

    Impurity concentrations in the initial silicon material are expected to play an important role for the radiation hardness of silicon detectors, during their irradiation and for their evolution with time after irradiation. This work reports on the experimental results obtained with detectors manufactured using various float-zone (FZ) and epitaxial-grown material. Preliminary results comparing the changes in leakage current and full depletion voltage of FZ and epitaxial detectors as a function of fluence and of time after 10 14 cm -2 proton irradiation are given. The measurement of charge collection efficiency for epitaxial detectors is also presented. (orig.)

  5. Boron, phosphorus, and gallium determination in silicon crystals doped with gallium

    International Nuclear Information System (INIS)

    Shklyar, B.L.; Dankovskij, Yu.V.; Trubitsyn, Yu.V.

    1989-01-01

    When studying IR transmission spectra of silicon doped with gallium in the range of concentrations 1 x 10 14 - 5 x 10 16 cm -3 , the possibility to quantity at low (∼ 20 K) temperatures residual impurities of boron and phosphorus is ascertained. The lower determination limit of boron is 1 x 10 12 cm -3 for a sample of 10 nm thick. The level of the impurities in silicon crystals, grown by the Czochralski method and method of crucible-free zone melting, is measured. Values of boron and phosphorus concentrations prior to and after their alloying with gallium are compared

  6. Upgraded metallurgical-grade silicon solar cells with efficiency above 20%

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, P.; Rougieux, F. E.; Samundsett, C.; Yang, Xinbo; Wan, Yimao; Macdonald, D. [Research School of Engineering, College of Engineering and Computer Science, The Australian National University, Canberra, Australian Capital Terrritory 2601 (Australia); Degoulange, J.; Einhaus, R. [Apollon Solar, 66 Cours Charlemagne, Lyon 69002 (France); Rivat, P. [FerroPem, 517 Avenue de la Boisse, Chambery Cedex 73025 (France)

    2016-03-21

    We present solar cells fabricated with n-type Czochralski–silicon wafers grown with strongly compensated 100% upgraded metallurgical-grade feedstock, with efficiencies above 20%. The cells have a passivated boron-diffused front surface, and a rear locally phosphorus-diffused structure fabricated using an etch-back process. The local heavy phosphorus diffusion on the rear helps to maintain a high bulk lifetime in the substrates via phosphorus gettering, whilst also reducing recombination under the rear-side metal contacts. The independently measured results yield a peak efficiency of 20.9% for the best upgraded metallurgical-grade silicon cell and 21.9% for a control device made with electronic-grade float-zone silicon. The presence of boron-oxygen related defects in the cells is also investigated, and we confirm that these defects can be partially deactivated permanently by annealing under illumination.

  7. Effect of power on the growth of nanocrystalline silicon films

    International Nuclear Information System (INIS)

    Kumar, Sushil; Dixit, P N; Rauthan, C M S; Parashar, A; Gope, Jhuma

    2008-01-01

    Nanocrystalline silicon thin films were grown using a gaseous mixture of silane, hydrogen and argon in a plasma-enhanced chemical vapor deposition system. These films were deposited away from the conventional low power regime normally used for the deposition of device quality hydrogenated amorphous silicon films. It was observed that, with the increase of applied power, there is a change in nanocrystalline phases which were embedded in the amorphous matrix of silicon. Atomic force microscopy micrographs show that these films contain nanocrystallite of 20-100 nm size. Laser Raman and photoluminescence peaks have been observed at 514 cm -1 and 2.18 eV, respectively, and particle sizes were estimated using the same as 8.24 nm and 3.26 nm, respectively. It has also been observed that nanocrystallites in these films enhanced the optical bandgap and electrical conductivity

  8. Effect of power on the growth of nanocrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Sushil; Dixit, P N; Rauthan, C M S; Parashar, A; Gope, Jhuma [Plasma Processed Materials Group, National Physical Laboratory, Dr K S Krishnan Road, New Delhi 110 012 (India)], E-mail: skumar@mail.nplindia.ernet.in

    2008-08-20

    Nanocrystalline silicon thin films were grown using a gaseous mixture of silane, hydrogen and argon in a plasma-enhanced chemical vapor deposition system. These films were deposited away from the conventional low power regime normally used for the deposition of device quality hydrogenated amorphous silicon films. It was observed that, with the increase of applied power, there is a change in nanocrystalline phases which were embedded in the amorphous matrix of silicon. Atomic force microscopy micrographs show that these films contain nanocrystallite of 20-100 nm size. Laser Raman and photoluminescence peaks have been observed at 514 cm{sup -1} and 2.18 eV, respectively, and particle sizes were estimated using the same as 8.24 nm and 3.26 nm, respectively. It has also been observed that nanocrystallites in these films enhanced the optical bandgap and electrical conductivity.

  9. Linear electro-optic effect in cubic silicon carbide

    Science.gov (United States)

    Tang, Xiao; Irvine, Kenneth G.; Zhang, Dongping; Spencer, Michael G.

    1991-01-01

    The first observation is reported of the electrooptic effect of cubic silicon carbide (beta-SiC) grown by a low-pressure chemical vapor deposition reactor using the hydrogen, silane, and propane gas system. At a wavelength of 633 nm, the value of the electrooptic coefficient r41 in beta-SiC is determined to be 2.7 +/- 0.5 x 10 (exp-12) m/V, which is 1.7 times larger than that in gallium arsenide measured at 10.6 microns. Also, a half-wave voltage of 6.4 kV for beta-SiC is obtained. Because of this favorable value of electrooptic coefficient, it is believed that silicon carbide may be a promising candidate in electrooptic applications for high optical intensity in the visible region.

  10. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  11. Assessment on thermoelectric power factor in silicon nanowire networks

    Energy Technology Data Exchange (ETDEWEB)

    Lohn, Andrew J.; Kobayashi, Nobuhiko P. [Baskin School of Engineering, University of California Santa Cruz, CA (United States); Nanostructured Energy Conversion Technology and Research (NECTAR), Advanced Studies Laboratories, University of California Santa Cruz, NASA Ames Research Center, Moffett Field, CA (United States); Coleman, Elane; Tompa, Gary S. [Structured Materials Industries, Inc., Piscataway, NJ (United States)

    2012-01-15

    Thermoelectric devices based on three-dimensional networks of highly interconnected silicon nanowires were fabricated and the parameters that contribute to the power factor, namely the Seebeck coefficient and electrical conductivity were assessed. The large area (2 cm x 2 cm) devices were fabricated at low cost utilizing a highly scalable process involving silicon nanowires grown on steel substrates. Temperature dependence of the Seebeck coefficient was found to be weak over the range of 20-80 C at approximately -400 {mu}V/K for unintentionally doped devices and {+-}50 {mu}V/K for p-type and n-type devices, respectively. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Trends in heteroepitaxy of III-Vs on silicon for photonic and photovoltaic applications

    Science.gov (United States)

    Lourdudoss, Sebastian; Junesand, Carl; Kataria, Himanshu; Metaferia, Wondwosen; Omanakuttan, Giriprasanth; Sun, Yan-Ting; Wang, Zhechao; Olsson, Fredrik

    2017-02-01

    We present and compare the existing methods of heteroepitaxy of III-Vs on silicon and their trends. We focus on the epitaxial lateral overgrowth (ELOG) method as a means of achieving good quality III-Vs on silicon. Initially conducted primarily by near-equilibrium epitaxial methods such as liquid phase epitaxy and hydride vapour phase epitaxy, nowadays ELOG is being carried out even by non-equilibrium methods such as metal organic vapour phase epitaxy. In the ELOG method, the intermediate defective seed and the mask layers still exist between the laterally grown purer III-V layer and silicon. In a modified ELOG method called corrugated epitaxial lateral overgrowth (CELOG) method, it is possible to obtain direct interface between the III-V layer and silicon. In this presentation we exemplify some recent results obtained by these techniques. We assess the potentials of these methods along with the other existing methods for realizing truly monolithic photonic integration on silicon and III-V/Si heterojunction solar cells.

  13. Low-temperature epitaxy of silicon by electron beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Gorka, B. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany); Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Sieber, I.; Fenske, F.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2007-07-16

    In this paper we report on homoepitaxial growth of thin Si films at substrate temperatures T{sub s} = 500-650 deg. C under non-ultra-high vacuum conditions by using electron beam evaporation. Si films were grown at high deposition rates on monocrystalline Si wafers with (100), (110) and (111) orientations. The ultra-violet visible reflectance spectra of the films show a dependence on T{sub s} and on the substrate orientation. To determine the structural quality of the films in more detail Secco etch experiments were carried out. No etch pits were found on the films grown on (100) oriented wafers. However, on films grown on (110) and (111) oriented wafers different types of etch pits could be detected. Films were also grown on polycrystalline silicon (poly-Si) seed layers prepared by an Aluminum-Induced Crystallisation (AIC) process on glass substrates. Electron Backscattering Diffraction (EBSD) shows that the film growth proceeds epitaxially on the grains of the seed layer. But a considerably higher density of extended defects is revealed by Secco etch experiments.

  14. Salt stress and exogenous silicon influence physiological and anatomical features of in vitro-grown cape gooseberry

    Directory of Open Access Journals (Sweden)

    Renata Alves Lara Silva Rezende

    2017-04-01

    Full Text Available ABSTRACT: Salt stress is one of several major abiotic stresses that affect plant growth and development, and there are many evidences that silicon can ameliorate the injuries caused by high salinity. This study presents the results of an assay concerning: (1 the effect of in vitro NaCl-induced salt stress in cape gooseberry plants and (2 the possible mitigating effect of silicon in saline conditions. For that, nodal segments were inoculated in Murashige and Skoog (MS medium under salinity (0.5 and 1.0% NaCl with different silicic acid concentrations (0, 0.5 and 1.0g L-1. Phytotechnical characteristics, photosynthetic pigments content, and leaf anatomy were evaluated after 30 days. Shoot length, root length, number of leaves and buds, fresh and dry weight, pigment content, stomatal density and leaf blade thickness were drastically reduced by increased salt level. The supply of silicon (1.0g L-1 has successfully mitigated the effect of salinity at 0.5% NaCl for chlorophyll, carotenoids, stomatal density and leaf blade thickness. When salt stress was about 1.0%, Si was not effective anymore. In conclusion, we affirmed that, in in vitro conditions, salt stress is harmful for cape gooseberry plants and the addition of silicon showed effective in mitigating the saline effects of some features.

  15. The Crystal structure of InAs nanorods grown onto Si[111] substrate

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3,57072, Siegen (Germany); Breuer, Steffen; Dimakis, Manos; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2011-07-01

    Nanowires are of particular interest due to the ability to synthesize heterostructures in the nanometer range. It was found that nearly any AIIIBV semiconductor material can be grown as NWs onto another AIIIBV or group IV [111] substrate independent from lattice mismatch. We presented an X-ray characterization of InAs NRs on Si [111] grown by assist free MBE method. Lattice mismatch of this materials is 11%. For study of strain realizing we concentrated our research on initial stages of growth process investigating samples set with different growth time. Using synchrotron radiation we have performed experiments in symmetrical and asymmetrical out-of plane scattering geometry and grazing-incidence diffraction. Combining the results we were able to characterize the transition between silicon silicon substrate and InAs NWs. We find in-plane lattice mismatch of -0.18% close to the interface compared to InAs bulk material. With help of micro-focus setup we are able measure structural parameters of single NWs to determine the strain accomodation as function of NW size. In particular using asymmetric wurzite-sensitive reflections under coherent beam illumination we could quantify the number of stacking faults. In the talk we present details of the analysis and first simulation results.

  16. Self-assembled GaN nano-column grown on Si(111) substrate using Au+Ga alloy seeding method by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Shim, Byung-Young; Ko, Eun-A; Song, Jae-Chul; Kang, Dong-Hun; Kim, Dong-Wook; Lee, In-Hwan; Kannappan, Santhakumar; Lee, Cheul-Ro

    2007-01-01

    Single-crystal GaN nano-column arrays were grown on Au-coated silicon (111) substrate by Au-Ga alloy seeding method using metalorganic chemical vapor deposition (MOCVD). The nano-column arrays were studied as a function of growth parameters and Au thin film thickness. The diameter and length of the as-grown nano-column vary from 100 to 500 nm and 4 to 6 μm, respectively. The surface morphology and optical properties of the nano-columns were investigated using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), cathodoluminescence (CL) and photoluminescence (PL). The Au+Ga alloy droplets were found to be uniformly distributed on silicon surface. Further, SEM image reveals a vertical growth and cylindrical in shape GaN nano-column. The chemical composition of the nano-column, which composed of gallium and nitrogen ions, was estimated by EDX. CL reveals a strong band edge emission from the GaN nano-column. PL spectra show a peak at 365.7 nm with a full-width half maximum (FWHM) of 65 meV which indicates good optical quality GaN nano-column with low dislocation density. Our results suggest that single crystal GaN nano-column can be grown on Au+Ga alloy on silicon substrate with a low dislocation density for better device performances. (author)

  17. Novel four-point-probe design and nanorobotic dual endeffector strategy for electrical characterization of as-grown SWCNT bundles

    DEFF Research Database (Denmark)

    Eichhorn, V; Fatikow, S; Sardan Sukas, Özlem

    2010-01-01

    In this paper, a novel nanorobotic strategy for non-destructive and direct electrical characterization of as-grown bundles of single-walled carbon nanotubes (SWCNTs) is presented. For this purpose, test patterns of SWCNT bundles having different diameters are grown on a silicon substrate...... by chemical vapor deposition. A new design of microstructured four-point-probes is proposed and fabricated allowing for direct contacting of vertically aligned bundles of SWCNTs. A nanorobotic setup is upgraded into a dual endeffector system to achieve good electrical contact between four...

  18. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  19. Effects of Cl+ and F+ implantation of oxidation-induced stacking faults in silicon

    NARCIS (Netherlands)

    Xu, J.Y.; Bronsveld, P.M.; Boom, G.; Hosson, J.Th.M. De

    1984-01-01

    Three implantation effects were investigated in floating-zone-grown silicon: (a) the effect of Cl+ implantation resulting in the shrinkage of oxidation-induced stacking faults; (b) the effect of F+ implantation giving rise to defaulting of the 1/3 [111] Frank dislocations into 1/2[110] perfect

  20. Directed Atom-by-Atom Assembly of Dopants in Silicon.

    Science.gov (United States)

    Hudak, Bethany M; Song, Jiaming; Sims, Hunter; Troparevsky, M Claudia; Humble, Travis S; Pantelides, Sokrates T; Snijders, Paul C; Lupini, Andrew R

    2018-05-17

    The ability to controllably position single atoms inside materials is key for the ultimate fabrication of devices with functionalities governed by atomic-scale properties. Single bismuth dopant atoms in silicon provide an ideal case study in view of proposals for single-dopant quantum bits. However, bismuth is the least soluble pnictogen in silicon, meaning that the dopant atoms tend to migrate out of position during sample growth. Here, we demonstrate epitaxial growth of thin silicon films doped with bismuth. We use atomic-resolution aberration-corrected imaging to view the as-grown dopant distribution and then to controllably position single dopants inside the film. Atomic-scale quantum-mechanical calculations corroborate the experimental findings. These results indicate that the scanning transmission electron microscope is of particular interest for assembling functional materials atom-by-atom because it offers both real-time monitoring and atom manipulation. We envision electron-beam manipulation of atoms inside materials as an achievable route to controllable assembly of structures of individual dopants.

  1. Superhydrophobic SERS substrates based on silicon hierarchical nanostructures

    Science.gov (United States)

    Chen, Xuexian; Wen, Jinxiu; Zhou, Jianhua; Zheng, Zebo; An, Di; Wang, Hao; Xie, Weiguang; Zhan, Runze; Xu, Ningsheng; Chen, Jun; She, Juncong; Chen, Huanjun; Deng, Shaozhi

    2018-02-01

    Silicon nanostructures have been cultivated as promising surface enhanced Raman scattering (SERS) substrates in terms of their low-loss optical resonance modes, facile functionalization, and compatibility with today’s state-of-the-art CMOS techniques. However, unlike their plasmonic counterparts, the electromagnetic field enhancements induced by silicon nanostructures are relatively small, which restrict their SERS sensing limit to around 10-7 M. To tackle this problem, we propose here a strategy for improving the SERS performance of silicon nanostructures by constructing silicon hierarchical nanostructures with a superhydrophobic surface. The hierarchical nanostructures are binary structures consisted of silicon nanowires (NWs) grown on micropyramids (MPs). After being modified with perfluorooctyltriethoxysilane (PFOT), the nanostructure surface shows a stable superhydrophobicity with a high contact angle of ˜160°. The substrate can allow for concentrating diluted analyte solutions into a specific area during the evaporation of the liquid droplet, whereby the analytes are aggregated into a small volume and can be easily detected by the silicon nanostructure SERS substrate. The analyte molecules (methylene blue: MB) enriched from an aqueous solution lower than 10-8 M can be readily detected. Such a detection limit is ˜100-fold lower than the conventional SERS substrates made of silicon nanostructures. Additionally, the detection limit can be further improved by functionalizing gold nanoparticles onto silicon hierarchical nanostructures, whereby the superhydrophobic characteristics and plasmonic field enhancements can be combined synergistically to give a detection limit down to ˜10-11 M. A gold nanoparticle-functionalized superhydrophobic substrate was employed to detect the spiked melamine in liquid milk. The results showed that the detection limit can be as low as 10-5 M, highlighting the potential of the proposed superhydrophobic SERS substrate in

  2. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    International Nuclear Information System (INIS)

    Díaz-Becerril, T.; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A.; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-01-01

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta 2 O 5 and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta 2 O 5- SiO 2 -Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si + and Ta + states respectively. Ta 2 O 5 and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta 2 O 5 /Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  3. Low cost solar array project. Cell and module formation research area. Process research of non-CZ silicon material

    Science.gov (United States)

    1983-01-01

    Liquid diffusion masks and liquid dopants to replace the more expensive CVD SiO2 mask and gaseous diffusion processes were investigated. Silicon pellets were prepared in the silicon shot tower; and solar cells were fabricated using web grown where the pellets were used as a replenishment material. Verification runs were made using the boron dopant and liquid diffusion mask materials. The average of cells produced in these runs was 13%. The relationship of sheet resistivity, temperature, gas flows, and gas composition for the diffusion of the P-8 liquid phosphorus solution was investigated. Solar cells processed from web grown from Si shot material were evaluated, and results qualified the use of the material produced in the shot tower for web furnace feed stock.

  4. Band structure properties of (BGa)P semiconductors for lattice matched integration on (001) silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hossain, Nadir; Sweeney, Stephen [Advanced Technology Institute and Department of Physics, University of Surrey, Guildford, Surrey GU2 7XH (United Kingdom); Hosea, Jeff [Advanced Technology Institute and Department of Physics, University of Surrey, Guildford, Surrey GU2 7XH, UK and Ibnu Sina Institute for Fundamental Science Studies, Universiti Teknologi Malaysia, Johor Bahru 81310 (Malaysia); Liebich, Sven; Zimprich, Martin; Volz, Kerstin; Stolz, Wolfgang [Material Sciences Center and Faculty of Physics, Philipps-University, 35032 Marburg (Germany); Kunert, Bernerdette [NAsP III/V GmbH, Am Knechtacker 19, 35041 Marburg (Germany)

    2013-12-04

    We report the band structure properties of (BGa)P layers grown on silicon substrate using metal-organic vapour-phase epitaxy. Using surface photo-voltage spectroscopy we find that both the direct and indirect band gaps of (BGa)P alloys (strained and unstrained) decrease with Boron content. Our experimental results suggest that the band gap of (BGa)P layers up to 6% Boron is large and suitable to be used as cladding and contact layers in GaP-based quantum well heterostructures on silicon substrates.

  5. Strained silicon/silicon germanium heterojunction n-channel metal oxide semiconductor field effect transistors

    International Nuclear Information System (INIS)

    Olsen, Sarah H.

    2002-01-01

    Investigations into the performance of strained silicon/silicon-germanium (Si/SiGe) n-channel metal-oxide-semiconductor field effect transistors (MOSFETs) have been carried out. Theoretical predictions suggest that use of a strained Si/SiGe material system with advanced material properties compared with conventional silicon allows enhanced MOSFET device performance. This study has therefore investigated the practical feasibility of obtaining superior electrical performance using a Si/SiGe material system. The MOSFET devices consisted of a strained Si surface channel and were fabricated on relaxed SiGe material using a reduced thermal budget process in order to preserve the strain. Two batches of strained Si/SiGe devices fabricated on material grown by differing methods have been analysed and both showed good transistor action. A correlation of electrical and physical device data established that the electrical device behaviour was closely related to the SiGe material quality, which differed depending on growth technique. The cross-wafer variation in the electrical performance of the strained Si/SiGe devices was found to be a function of material quality, thus the viability of Si/SiGe MOSFET technology for commercial applications has been addressed. Of particular importance was the finding that large-scale 'cross-hatching' roughness associated with relaxed SiGe alloys led to degradation in the small-scale roughness at the gate oxide interface, which affects electrical device performance. The fabrication of strained Si MOSFET devices on high quality SiGe material thus enabled significant performance gains to be realised compared with conventional Si control devices. In contrast, the performance of devices fabricated on material with severe cross-hatching roughness was found to be diminished by the nanoscale oxide interface roughness. The effect of device processing on SiGe material with differing as-grown roughness has been carried out and compared with the reactions

  6. Transparent SiON/Ag/SiON multilayer passivation grown on a flexible polyethersulfone substrate using a continuous roll-to-roll sputtering system

    Science.gov (United States)

    2012-01-01

    We have investigated the characteristics of a silicon oxynitride/silver/silicon oxynitride [SiON/Ag/SiON] multilayer passivation grown using a specially designed roll-to-roll [R2R] sputtering system on a flexible polyethersulfone substrate. Optical, structural, and surface properties of the R2R grown SiON/Ag/SiON multilayer were investigated as a function of the SiON thickness at a constant Ag thickness of 12 nm. The flexible SiON/Ag/SiON multilayer has a high optical transmittance of 87.7% at optimized conditions due to the antireflection and surface plasmon effects in the oxide-metal-oxide structure. The water vapor transmission rate of the SiON/Ag/SiON multilayer is 0.031 g/m2 day at an optimized SiON thickness of 110 nm. This indicates that R2R grown SiON/Ag/SiON is a promising thin-film passivation for flexible organic light-emitting diodes and flexible organic photovoltaics due to its simple and low-temperature process. PMID:22221400

  7. Characterization of electrical and optical properties of silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Jia, Guobin

    2009-12-04

    In this work, the electrical and luminescence properties of a series of silicon based materials used for photovoltaics, microelectronics and nanoelectronics have been investigated by means of electron beam induced current (EBIC), cathodoluminescence (CL), photoluminescence (PL) and electroluminescence (EL) methods. Photovoltaic materials produced by block casting have been investigated by EBIC on wafers sliced from different parts of the ingot. Various solar cell processings have been compared in parallel wafers by means of EBIC collection efficiency measurements and contrast-temperature C(T) behaviors of the extended defects, i. e. dislocations and grain boundaries (GBs). It was found that the solar cell processing with phosphorus diffusion gettering (PDG) followed with a SiN firing greatly reduces the recombination activity of extended defects at room temperature, and improves the bulk property simultaneously. A remaining activity of the dislocations indicates the limitation of the PDG at extended defects. Abnormal behavior of the dislocation activity after certain solar cell processes was also observed in the region with high dislocation density, the dislocations are activated after certain solar cell processings. In order to evaluate the properties of a thin polycrystalline silicon layer prepared by Al-induced layer exchange (Alile) technique, epitaxially layer grown on silicon substrate with different orientations was used as a model system to investigate the impact by the process temperature and the substrates. EBIC energy dependent collection efficiency measurements reveal an improvement of the epilayer quality with increasing substrate temperature during the growth from 450 C to 650 C, and a decrease of epilayer quality at 700 C. PL measurements on the epitaxially grown Si layer on silicon substrates revealed no characteristic dislocation-related luminescence (DRL) lines at room temperature and 77 K, while in the samples prepared by Alile process, intense

  8. Effect of impurities on the growth of {113} interstitial clusters in silicon under electron irradiation

    OpenAIRE

    Nakai, K.; Hamada, K.; Satoh, Y.; Yoshiie, T.

    2011-01-01

    The growth and shrinkage of interstitial clusters on {113} planes were investigated in electron irradiated Czochralski grown silicon (Cz-Si), floating-zone silicon (Fz-Si), and impurity-doped Fz-Si (HT-Fz-Si) using a high voltage electron microscope. In Fz-Si, {113} interstitial clusters were formed only near the beam incident surface after a long incubation period, and shrank on subsequent irradiation from the backside of the specimen. In Cz-Si and HT-Fz-Si, {113} interstitial clusters nucle...

  9. Hybrid Integration of Solid-State Quantum Emitters on a Silicon Photonic Chip.

    Science.gov (United States)

    Kim, Je-Hyung; Aghaeimeibodi, Shahriar; Richardson, Christopher J K; Leavitt, Richard P; Englund, Dirk; Waks, Edo

    2017-12-13

    Scalable quantum photonic systems require efficient single photon sources coupled to integrated photonic devices. Solid-state quantum emitters can generate single photons with high efficiency, while silicon photonic circuits can manipulate them in an integrated device structure. Combining these two material platforms could, therefore, significantly increase the complexity of integrated quantum photonic devices. Here, we demonstrate hybrid integration of solid-state quantum emitters to a silicon photonic device. We develop a pick-and-place technique that can position epitaxially grown InAs/InP quantum dots emitting at telecom wavelengths on a silicon photonic chip deterministically with nanoscale precision. We employ an adiabatic tapering approach to transfer the emission from the quantum dots to the waveguide with high efficiency. We also incorporate an on-chip silicon-photonic beamsplitter to perform a Hanbury-Brown and Twiss measurement. Our approach could enable integration of precharacterized III-V quantum photonic devices into large-scale photonic structures to enable complex devices composed of many emitters and photons.

  10. TEM investigation of aluminium containing precipitates in high aluminium doped silicon carbide

    International Nuclear Information System (INIS)

    Wong-Leung, J.; FitzGerald, J.D.

    2002-01-01

    Full text: Silicon carbide is a promising semiconductor material for applications in high temperature and high power devices. The successful growth of good quality epilayers in this material has enhanced its potential for device applications. As a novel semiconductor material, there is a need for studying its basic physical properties and the role of dopants in this material. In this study, silicon carbide epilayers were grown on 4H-SiC wafers of (0001) orientation with a miscut angle of 8 deg at a temperature of 1550 deg C. The epilayers contained regions of high aluminium doping well above the solubility of aluminium in silicon carbide. High temperature annealing of this material resulted in the precipitation of aluminium in the wafers. The samples were analysed by secondary ion mass spectrometry and transmission electron microscopy. Selected area diffraction studies show the presence of aluminium carbide and aluminium silicon carbide phases. Copyright (2002) Australian Society for Electron Microscopy Inc

  11. Strong quantum-confined stark effect in germanium quantum-well structures on silicon

    International Nuclear Information System (INIS)

    Kuo, Y.; Lee, Y. K.; Gei, Y.; Ren, S; Roth, J. E.; Miller, D. A.; Harris, J. S.

    2006-01-01

    Silicon is the dominant semiconductor for electronics, but there is now a growing need to integrate such component with optoelectronics for telecommunications and computer interconnections. Silicon-based optical modulators have recently been successfully demonstrated but because the light modulation mechanisms in silicon are relatively weak, long (for example, several millimeters) devices or sophisticated high-quality-factor resonators have been necessary. Thin quantum-well structures made from III-V semiconductors such as GaAs, InP and their alloys exhibit the much stronger Quantum-Confined Stark Effect (QCSE) mechanism, which allows modulator structures with only micrometers of optical path length. Such III-V materials are unfortunately difficult to integrate with silicon electronic devices. Germanium is routinely integrated with silicon in electronics, but previous silicon-germanium structures have also not shown strong modulation effects. Here we report the discovery of the QCSE, at room temperature, in thin germanium quantum-well structures grown on silicon. The QCSE here has strengths comparable to that in III-V materials. Its clarity and strength are particularly surprising because germanium is an indirect gap semiconductor, such semiconductors often display much weak optical effects than direct gap materials (such as the III-V materials typically used for optoelectronics). This discovery is very promising for small, high-speed, low-power optical output devices fully compatible with silicon electronics manufacture. (author)

  12. Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon.

    Science.gov (United States)

    Bandarenka, Hanna V; Girel, Kseniya V; Zavatski, Sergey A; Panarin, Andrei; Terekhov, Sergei N

    2018-05-21

    The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS) with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs), and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.

  13. Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Hanna V. Bandarenka

    2018-05-01

    Full Text Available The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs, and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.

  14. Impact of substrate temperature on the incorporation of carbon-related defects and mechanism for semi-insulating behavior in GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Armstrong, A.; Poblenz, C.; Green, D.S.; Mishra, U.K.; Speck, J.S.; Ringel, S.A.

    2006-01-01

    The electrical conductivity and deep level spectrum of GaN grown by molecular beam epitaxy and codoped with carbon and silicon were investigated for substrate temperatures T s of 650 and 720 deg. C as a function relative carbon and silicon doping levels. With sufficiently high carbon doping, semi-insulating behavior was observed for films grown at both temperatures, and growth at T s =720 deg. C enhanced the carbon compensation ratio. Similar carbon-related band gap states were observed via deep level optical spectroscopy for films grown at both substrate temperatures. Due to the semi-insulating nature of the films, a lighted capacitance-voltage technique was required to determine individual deep level concentrations. Carbon-related band gap states underwent substantial redistribution between deep level and shallow acceptor configurations with change in T s . In light of a T s dependence for the preferential site of carbon incorporation, a model of semi-insulating behavior in terms of carbon impurity state incorporation mediated by substrate temperature is proposed

  15. Synthetic osteogenic extracellular matrix formed by coated silicon dioxide nanosprings

    Directory of Open Access Journals (Sweden)

    Hass Jamie L

    2012-01-01

    Full Text Available Abstract Background The design of biomimetic materials that parallel the morphology and biology of extracellular matrixes is key to the ability to grow functional tissues in vitro and to enhance the integration of biomaterial implants into existing tissues in vivo. Special attention has been put into mimicking the nanostructures of the extracellular matrix of bone, as there is a need to find biomaterials that can enhance the bonding between orthopedic devices and this tissue. Methods We have tested the ability of normal human osteoblasts to propagate and differentiate on silicon dioxide nanosprings, which can be easily grown on practically any surface. In addition, we tested different metals and metal alloys as coats for the nanosprings in tissue culture experiments with bone cells. Results Normal human osteoblasts grown on coated nanosprings exhibited an enhanced rate of propagation, differentiation into bone forming cells and mineralization. While osteoblasts did not attach effectively to bare nanowires grown on glass, these cells propagated successfully on nanosprings coated with titanium oxide and gold. We observed a 270 fold increase in the division rate of osteoblasts when grow on titanium/gold coated nanosprings. This effect was shown to be dependent on the nanosprings, as the coating by themselves did not alter the growth rate of osteoblast. We also observed that titanium/zinc/gold coated nanosprings increased the levels of osteoblast production of alkaline phosphatase seven folds. This result indicates that osteoblasts grown on this metal alloy coated nanosprings are differentiating to mature bone making cells. Consistent with this hypothesis, we showed that osteoblasts grown on the same metal alloy coated nanosprings have an enhanced ability to deposit calcium salt. Conclusion We have established that metal/metal alloy coated silicon dioxide nanosprings can be used as a biomimetic material paralleling the morphology and biology of

  16. Room-temperature operation of a 2.25 μm electrically pumped laser fabricated on a silicon substrate

    International Nuclear Information System (INIS)

    Rodriguez, J. B.; Cerutti, L.; Grech, P.; Tournie, E.

    2009-01-01

    We report on a GaSb-based type-I laser structure grown by molecular beam epitaxy on a (001) silicon substrate. A thin AlSb nucleation layer followed by a 1 μm thick GaSb buffer layer was used to accommodate the very large lattice mismatch existing with the silicon substrate. Processed devices with mesa geometry exhibited laser operation in pulsed mode with a duty cycle up to 10% at room temperature

  17. Future application of Czochralski crystal pulling for silicon

    Science.gov (United States)

    Matlcok, J. H.

    1985-08-01

    Czochralski (Cz) crystal pulling has been the predominant method used for preparing silicon single crystal for the past twenty years. The fundamental technology used has changed little. However, great strides have been made in learning how to make the crystals bigger and of better quality at ever increasing productivity rates. Currently charge sizes of 50 kg of polycrystal silicon are being used for production and crystals up to ten inches in diameter have been grown without major difficulty. The largest material actually being processed in silicon wafer form is 150 mm (6 inches) in diameter. Growing of crystals in a magnetic field has proved to be particularly useful for microscopic impurity control. Major developments in past years on equipment for Cz crystal pulling have included the automatic growth control of the diameter as well as the starting core of the crystal, the use of magnetic fields and around the crystal puller to supress convection, various recharging schemes for dopant control and the use of continuous liquid feed in the crystal puller. The latter, while far from being a reliable production process, is ideal in concept for major improvement in Cz crystal pulling. The Czochralski process will maintain its dominance of silicon crystal production for many years.

  18. Characterization of Urea Versus hmta in the Preparation of Zinc Oxide NANOSTRUCTURES by Catalytic Immersion Method Grown on Gold-seeded Silicon Substrate

    International Nuclear Information System (INIS)

    Azlinda Abdul Aziz; Khusaimi, Z.; Rusop, M.

    2011-01-01

    Zinc oxide (ZnO) nano structured prepared by immersed method were successfully grown on gold-seeded silicon substrate using Zinc nitrate hexahydrate (Zn(NO 3 ) 2 .6H 2 O) as a precursor was stabilized by a non-toxic urea (CH 4 N 2 O) in a ratio of 1:2 and 1:1 ratio of hexamethylene tetraamine (HMTA). The effect of changing the stabilizer of ZnO solution on the crystal structure, morphology and photoluminescence properties of the resultant ZnO is investigated. X-ray diffraction of the synthesized ZnO shows hexagonal zincite structure. The morphology of the ZnO was characterizing using Field Emission Scanning Electron Microscope (FESEM). The growth of ZnO using urea as stabilizer shows the clusters of ZnO nano flower with serrated broad petals and sharp tips of approximately 25 nm were interestingly formed. ZnO in HMTA showed growth of nano rods. The structures has high surface area, is a potential metal oxide nano structures to be develop for optoelectronic devices and chemical sensors. The formation of ZnO nano structures is found to be significantly affected by the stabilizer. (author)

  19. Effect of the stoichiometry of Si-rich silicon nitride thin films on their photoluminescence and structural properties

    Energy Technology Data Exchange (ETDEWEB)

    Torchynska, T.V., E-mail: ttorch@esfm.ipn.mx [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Casas Espinola, J.L. [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Vergara Hernandez, E. [UPIITA—Instituto Politecnico Nacional, Mexico DF 07320 (Mexico); Khomenkova, L., E-mail: khomen@ukr.net [V. Lashkaryov Institute of Semiconductor Physics, 45 Pr. Nauky, 03028 Kyiv (Ukraine); Delachat, F.; Slaoui, A. [ICube, 23 rue du Loess, BP 20 CR, 67037 Strasbourg Cedex 2 (France)

    2015-04-30

    Si-rich Silicon nitride films were grown on silicon substrates by plasma enhanced chemical vapor deposition. The film stoichiometry was controlled via the variation of NH{sub 3}/SiH{sub 4} ratio from 0.45 up to 1.0. Thermal annealing at 1100 °C for 30 min in the nitrogen flow was applied to form the Si nanocrystals in the films that have been investigated by means of photoluminescence and Raman scattering methods, as well as transmission electron microscopy. Several emission bands have been detected with the peak positions at: 2.8–3.0 eV, 2.5–2.7 eV, 2.10–2.25 eV, and 1.75–1.98 eV. The temperature dependences of photoluminescence spectra were studied with the aim to confirm the types of optical transitions and the nature of light emitting defects in silicon nitride. The former three bands were assigned to the defects in silicon nitride, whereas the last one (1.75–1.98 eV) was attributed to the exciton recombination inside of Si nanocrystals. The photoluminescence mechanism is discussed. - Highlights: • Substoichiometric silicon nitride films were grown by PECVD technique. • The variation of the NH{sub 3}/SiH{sub 4} ratio controls excess Si content in the films. • Both Si nanocrystals and amorphous Si phase were observed in annealed films. • Temperature evolution of carrier recombination via Si nanocrystals and host defects.

  20. Transient Photoinduced Absorption in Ultrathin As-grown Nanocrystalline Silicon Films

    Directory of Open Access Journals (Sweden)

    Lioutas Ch

    2007-01-01

    Full Text Available AbstractWe have studied ultrafast carrier dynamics in nanocrystalline silicon films with thickness of a few nanometers where boundary-related states and quantum confinement play an important role. Transient non-degenerated photoinduced absorption measurements have been employed to investigate the effects of grain boundaries and quantum confinement on the relaxation dynamics of photogenerated carriers. An observed long initial rise of the photoinduced absorption for the thicker films agrees well with the existence of boundary-related states acting as fast traps. With decreasing the thickness of material, the relaxation dynamics become faster since the density of boundary-related states increases. Furthermore, probing with longer wavelengths we are able to time-resolve optical paths with faster relaxations. This fact is strongly correlated with probing in different points of the first Brillouin zone of the band structure of these materials.

  1. Growth on elastic silicone substrate elicits a partial myogenic response in periodontal ligament derived stem cells

    Directory of Open Access Journals (Sweden)

    Daniel Pelaez

    2016-12-01

    Full Text Available The processes of cellular differentiation and phenotypic maintenance can be influenced by stimuli from a variety of different factors. One commonly overlooked factor is the mechanical properties of the growth substrate in which stem cells are maintained or differentiated down various lineages. Here we explored the effect that growth on an elastic silicone substrate had on the myogenic expression and cytoskeletal morphology of periodontal ligament derived stem cells. Cells were grown on either collagen I coated tissue culture polystyrene plates or collagen I coated elastic silicone membranes for a period of 4 days without further induction from soluble factors in the culture media. Following the 4-day growth, gene expression and immunohistochemical analysis for key cardiomyogenic markers was performed along with a morphological assessment of cytoskeletal organization. Results show that cells grown on the elastic substrate significantly upregulate key markers associated with contractile activity in muscle tissues. Namely, the myosin light chain polypeptides 2 and 7, as well as the myosin heavy chain polypeptide 7 genes underwent a statistically significant upregulation in the cells grown on elastic silicone membranes. Similarly, the cells on the softer elastic substrate stained positive for both sarcomeric actin and cardiac troponin t proteins following just 4 days of growth on the softer material. Cytoskeletal analysis showed that substrate stiffness had a marked effect on the organization and distribution of filamentous actin fibers within the cell body. Growth on silicone membranes produced flatter and shorter cellular morphologies with filamentous actin fibers projecting anisotropically throughout the cell body. These results demonstrate how crucial the mechanical properties of the growth substrate of cells can be on the ultimate cellular phenotype. These observations highlight the need to further optimize differentiation protocols to enhance

  2. A photoemission study of the effectiveness of nickel, manganese, and cobalt based corrosion barriers for silicon photo-anodes during water oxidation

    Energy Technology Data Exchange (ETDEWEB)

    O' Connor, Robert; Bogan, Justin; McCoy, Anthony; Byrne, Conor; Hughes, Greg [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland)

    2016-05-21

    Silicon is an attractive material for solar water splitting applications due to its abundance and its capacity to absorb a large fraction of incident solar radiation. However, it has not received as much attention as other materials due to its tendency to oxidize very quickly in aqueous environments, particularly when it is employed as the anode where it drives the oxygen evolution reaction. In recent years, several works have appeared in the literature examining the suitability of thin transition metal oxide films grown on top of the silicon to act as a corrosion barrier. The film should be transparent to solar radiation, allow hole transport from the silicon surface to the electrolyte, and stop the diffusion of oxygen from the electrolyte back to the silicon. In this work, we compare Mn-oxide, Co-oxide, and Ni-oxide thin films grown using physical vapor deposition in order to evaluate which material offers the best combination of photocurrent and corrosion protection. In addition to the electrochemical data, we also present a detailed before-and-after study of the surface chemistry of the films using x-ray photoelectron spectroscopy. This approach allows for a comprehensive analysis of the mechanisms by which the corrosion barriers protect the underlying silicon, and how they degrade during the water oxidation reaction.

  3. Silicon doped InP as an alternative plasmonic material for mid-infrared

    DEFF Research Database (Denmark)

    Panah, Mohammad Esmail Aryaee; Han, Li; Christensen, Dennis Valbjørn

    2016-01-01

    Silicon-doped InP is grown on top of semiinsulating iron-doped and sulfur-doped InP substrates by metalorganic vapor phase epitaxy (MOVPE), and the growth parameters are adjusted to obtain various free carrier concentrations from 1.05×1019 cm-3 up to 3.28×1019 cm-3. Midinfrared (IR) reflection...

  4. Improvement of multicrystalline silicon wafer solar cells by post ...

    Indian Academy of Sciences (India)

    Administrator

    post-fabrication wet-chemical etching in phosphoric acid. A MEFOUED1,2,*, M FATHI1, ... and RCA decontamination stages by putting them in a bath made of ... found to be decreasing after chemical attack as shown in figure 2. In order to ...

  5. High performance SONOS flash memory with in-situ silicon nanocrystals embedded in silicon nitride charge trapping layer

    Science.gov (United States)

    Lim, Jae-Gab; Yang, Seung-Dong; Yun, Ho-Jin; Jung, Jun-Kyo; Park, Jung-Hyun; Lim, Chan; Cho, Gyu-seok; Park, Seong-gye; Huh, Chul; Lee, Hi-Deok; Lee, Ga-Won

    2018-02-01

    In this paper, SONOS-type flash memory device with highly improved charge-trapping efficiency is suggested by using silicon nanocrystals (Si-NCs) embedded in silicon nitride (SiNX) charge trapping layer. The Si-NCs were in-situ grown by PECVD without additional post annealing process. The fabricated device shows high program/erase speed and retention property which is suitable for multi-level cell (MLC) application. Excellent performance and reliability for MLC are demonstrated with large memory window of ∼8.5 V and superior retention characteristics of 7% charge loss for 10 years. High resolution transmission electron microscopy image confirms the Si-NC formation and the size is around 1-2 nm which can be verified again in X-ray photoelectron spectroscopy (XPS) where pure Si bonds increase. Besides, XPS analysis implies that more nitrogen atoms make stable bonds at the regular lattice point. Photoluminescence spectra results also illustrate that Si-NCs formation in SiNx is an effective method to form deep trap states.

  6. High-temperature laser annealing for thin film polycrystalline silicon solar cell on glass substrate

    Science.gov (United States)

    Chowdhury, A.; Schneider, J.; Dore, J.; Mermet, F.; Slaoui, A.

    2012-06-01

    Thin film polycrystalline silicon films grown on glass substrate were irradiated with an infrared continuous wave laser for defects annealing and/or dopants activation. The samples were uniformly scanned using an attachment with the laser system. Substrate temperature, scan speed and laser power were varied to find suitable laser annealing conditions. The Raman spectroscopy and Suns- V oc analysis were carried out to qualify the films quality after laser annealing. A maximum enhancement of the open circuit voltage V oc of about 100 mV is obtained after laser annealing of as-grown polysilicon structures. A strong correlation was found between the full width half maximum of the Si crystalline peak and V oc. It is interpreted as due to defects annealing as well as to dopants activation in the absorbing silicon layer. The maximum V oc reached is 485 mV after laser treatment and plasma hydrogenation, thanks to defects passivation.

  7. Microstructure and initial growth characteristics of the low temperature microcrystalline silicon films on silicon nitride surface

    International Nuclear Information System (INIS)

    Park, Young-Bae; Rhee, Shi-Woo

    2001-01-01

    Microstructure and initial growth characteristics of the hydrogenated microcrystalline Si (μc-Si:H) films grown on hydrogenated amorphous silicon nitride (a-SiN x :H) surface at low temperature were investigated using high resolution transmission electron microscope and micro-Raman spectroscopy. With increasing the Si and Si - H contents in the SiN x :H surfaces, μc-Si crystallites, a few nanometers in size, were directly grown on amorphous nitride surfaces. It is believed that the crystallites were grown through the nucleation and phase transition from amorphous to crystal in a hydrogen-rich ambient of gas phase and growing surface. The crystallite growth characteristics on the dielectric surface were dependent on the stoichiometric (x=N/Si) ratio corresponding hydrogen bond configuration of the SiN x :H surface. Surface facetting and anisotropic growth of the Si crystallites resulted from the different growth rate on the different lattice planes of Si. No twins and stacking faults were observed in the (111) lattice planes of the Si crystallites surrounding the a-Si matrix. This atomic-scale structure was considered to be the characteristic of the low temperature crystallization of the μc-Si:H by the strain relaxation of crystallites in the a-Si:H matrix. [copyright] 2001 American Institute of Physics

  8. Investigation of the characteristics of multicrystalline silicon for solar cell production: Defects in crystalline silicon (DIXSI). Final report; Verbesserung des Materialverstaendnisses von multikristallinem Silicium fuer Solarzellen: Defekte in kristallinem Silicium (DIXSI). Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Eyer, A. [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Kittler, M. [Institut fuer Halbleiterphysik GmbH, Frankfurt an der Oder (Germany); Wolf, E. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); Breitenstein, O. [Max-Planck-Institut fuer Mikrostrukturphysik, Halle/Saale (Germany); Schulz, M.; Pensl, G.; Strunk, H.P. [Erlangen-Nuernberg Univ., Erlangen (Germany). Inst. fuer Werkstoffwissenschaften; Schroeter, W. [Goettingen Univ. (Germany). 4. Physikalisches Inst. - Halbleiterphysik; Gottschalk, H. [Koeln Univ. (Germany). 2. Physikalisches Inst.; Moeller, H.J. [Technische Univ. Bergakademie Freiberg (Germany). Inst. fuer Experimentelle Physik

    1997-02-01

    The report describes trends and advances in the fabrication of solar cells from silicon. The investigations were restricted to mc-Si and GZ-Si wafers from German producers and on solar cells made from these. (HW) [Deutsch] Der Bericht beschreibt die Entwicklung und die Fortschritte bei der Fabrikation von Siliziumsolarzellen. Die Untersuchungen wurden auf mc-Si und GZ-Si-Scheiben deutscher Hersteller und auf daraus von der deutschen Industrie gefertigte Solarzellen beschraenkt. (HW)

  9. Surface segregation as a means of gettering Cu in liquid-phase-epitaxy silicon thin layers grown from Al-Cu-Si solutions

    Energy Technology Data Exchange (ETDEWEB)

    Wang, T.H.; Ciszek, T.F.; Reedy, R.; Asher, S.; King, D. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    The authors demonstrate that, by using the natural surface segregation phenomenon, Cu can be gettered to the surface from the bulk of silicon layers so that its concentrations in the liquid-phase-epitaxy (LPE) layers are much lower than its solubility at the layer growth temperature and the reported 10{sup 17} cm{sup {minus}3} degradation threshold for solar-cell performance. Secondary-ion mass spectroscopy (SIMS) analysis indicates that, within a micron-deep sub-surface region, Cu accumulates even in as-grown LPE samples. Slower cooling after growth to room temperature enhances this Cu enrichment. X-ray photoelectron spectroscopy (XPS) measurement shows as much as 3.2% Cu in a surface region of about 50 {Angstrom}. More surface-sensitive, ion-scattering spectroscopy (ISS) analysis further reveals about 7% of Cu at the top surface. These results translate to an areal gettering capacity of about 1.0 x 10{sup 16} cm{sup {minus}2}, which is higher than the available total-area density of Cu in the layer and substrate (3.6 x 10{sup 15} cm{sup {minus}2} for a uniform 1.2 x 10{sup 17}cm{sup {minus}3} Cu throughout the layer and substrate with a total thickness of 300 {mu}m).

  10. Ultrahigh capacitance density for multiple ALD-grown MIM capacitor stacks in 3-D silicon

    NARCIS (Netherlands)

    Klootwijk, J.H.; Jinesh, K.B.; Dekkers, W.; Verhoeven, J.F.C.; Heuvel, van den F.C.; Kim, H.-D.; Blin, D.; Verheijen, M.A.; Weemaes, R.G.R.; Kaiser, M.; Ruigrok, J.J.M.; Roozeboom, F.

    2008-01-01

    "Trench" capacitors containing multiple metal-insulator-metal (MIM) layer stacks are realized by atomic-layer deposition (ALD), yielding an ultrahigh capacitance density of 440 nF/mm2 at a breakdown voltage VBD > 6 V. This capacitance density on silicon is at least 10 times higher than the values

  11. LSSA large area silicon sheet task continuous Czochralski process development

    Science.gov (United States)

    Rea, S. N.

    1978-01-01

    A Czochralski crystal growing furnace was converted to a continuous growth facility by installation of a premelter to provide molten silicon flow into the primary crucible. The basic furnace is operational and several trial crystals were grown in the batch mode. Numerous premelter configurations were tested both in laboratory-scale equipment as well as in the actual furnace. The best arrangement tested to date is a vertical, cylindrical graphite heater containing small fused silicon test tube liner in which the incoming silicon is melted and flows into the primary crucible. Economic modeling of the continuous Czochralski process indicates that for 10 cm diameter crystal, 100 kg furnace runs of four or five crystals each are near-optimal. Costs tend to asymptote at the 100 kg level so little additional cost improvement occurs at larger runs. For these conditions, crystal cost in equivalent wafer area of around $20/sq m exclusive of polysilicon and slicing was obtained.

  12. High-Performance Flexible Thin-Film Transistors Based on Single-Crystal-like Silicon Epitaxially Grown on Metal Tape by Roll-to-Roll Continuous Deposition Process.

    Science.gov (United States)

    Gao, Ying; Asadirad, Mojtaba; Yao, Yao; Dutta, Pavel; Galstyan, Eduard; Shervin, Shahab; Lee, Keon-Hwa; Pouladi, Sara; Sun, Sicong; Li, Yongkuan; Rathi, Monika; Ryou, Jae-Hyun; Selvamanickam, Venkat

    2016-11-02

    Single-crystal-like silicon (Si) thin films on bendable and scalable substrates via direct deposition are a promising material platform for high-performance and cost-effective devices of flexible electronics. However, due to the thick and unintentionally highly doped semiconductor layer, the operation of transistors has been hampered. We report the first demonstration of high-performance flexible thin-film transistors (TFTs) using single-crystal-like Si thin films with a field-effect mobility of ∼200 cm 2 /V·s and saturation current, I/l W > 50 μA/μm, which are orders-of-magnitude higher than the device characteristics of conventional flexible TFTs. The Si thin films with a (001) plane grown on a metal tape by a "seed and epitaxy" technique show nearly single-crystalline properties characterized by X-ray diffraction, Raman spectroscopy, reflection high-energy electron diffraction, and transmission electron microscopy. The realization of flexible and high-performance Si TFTs can establish a new pathway for extended applications of flexible electronics such as amplification and digital circuits, more than currently dominant display switches.

  13. Effects of deep impurities and structural defects in polycrystalline silicon for photovoltaic applications

    International Nuclear Information System (INIS)

    Galluzzi, F.; Scafe, E.; Beghi, M.; Fossati, S.; Tincani, M.; Pizzini, S.

    1985-01-01

    An extensive experimental study of minority carrier recombination in CZ grown polycrystalline silicon intentionally doped with metallic impurities (Ti, V, Fe, Cr, Zr) is reported. Experimental values of average diffusion lengths have been compared with values calculated by a simple model of carrier recombination, taking into account the effects of impurities, grain boundaries and intragrain crystal defects. The results are fairly consistent and allow the determination of threshold densities for structural defects and deep impurities. The author's analysis gives a simple quantitative description of recombination processes in solar-grade silicon, as far as the average behaviour is concerned

  14. Development of low-cost silicon crystal growth techniques for terrestrial photovoltaic solar energy conversion

    Science.gov (United States)

    Zoutendyk, J. A.

    1976-01-01

    Because of the growing need for new sources of electrical energy, photovoltaic solar energy conversion is being developed. Photovoltaic devices are now being produced mainly from silicon wafers obtained from the slicing and polishing of cylindrically shaped single crystal ingots. Inherently high-cost processes now being used must either be eliminated or modified to provide low-cost crystalline silicon. Basic to this pursuit is the development of new or modified methods of crystal growth and, if necessary, crystal cutting. If silicon could be grown in a form requiring no cutting, a significant cost saving would potentially be realized. Therefore, several techniques for growth in the form of ribbons or sheets are being explored. In addition, novel techniques for low-cost ingot growth and cutting are under investigation.

  15. Electrocatalytic activity of Pt grown by ALD on carbon nanotubes for Si-based DMFC applications

    DEFF Research Database (Denmark)

    Johansson, Alicia Charlotte; Dalslet, Bjarke Thomas; Yang, R.B.

    2012-01-01

    in a top-flow ALD reactor at 250°C, using MeCpPtMe3 and O2 as precursors. The anode was tested for the methanol oxidation reaction (MOR) in a three-electrode electrochemical set-up and it showed improved catalytic activity compared to a reference sample of Pt deposited on flat Si. It is demonstrated......We present an anode design for silicon-based direct methanol fuel cell (DMFC) applications. Platinum was deposited conformally by atomic layer deposition (ALD) onto vertically aligned, nitrogendoped multi-walled carbon nanotubes (MWCNTs) grown on porous silicon. The deposition was carried out...... that ALD could be a MEMS compatible deposition technique for Si-based fuel cell applications. © The Electrochemical Society....

  16. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  17. Are tomorrow's micro-supercapacitors hidden in a forest of silicon nanotrees?

    Science.gov (United States)

    Thissandier, Fleur; Gentile, Pascal; Brousse, Thierry; Bidan, Gérard; Sadki, Saïd

    2014-12-01

    Silicon nanotrees (SiNTrs) have been grown by Chemical Vapor Deposition (CVD) via gold catalysis and a three steps process: trunks and branches growth are separated by a new gold catalyst deposition. The influence of growth conditions and the second gold catalyst deposition method on SiNTrs morphology are investigated. SiNTrs based electrodes show a capacitive behavior and better capacitance than the corresponding silicon nanowires (SiNWs) electrode. Electrode capacitance is increased up to 900 μF cm-2, i.e. 150 fold higher than for bulk silicon. Micro-supercapacitors with SiNTrs electrodes have a remarkable stability (only 1.2% loses of their initial capacitance after more than one million cycles). The use of an ionic liquid based electrolyte leads to a high maximum power density (around 225 mW cm-2) which is competitive with Onion Like Carbon based micro-supercapacitors.

  18. Development of processes for the production of low cost silicon dendritic web for solar cells

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Hopkins, R. H.; Skutch, M. E.; Driggers, J. M.; Hill, F. E.

    1980-01-01

    High area output rates and continuous, automated growth are two key technical requirements for the growth of low-cost silicon ribbons for solar cells. By means of computer-aided furnace design, silicon dendritic web output rates as high as 27 sq cm/min have been achieved, a value in excess of that projected to meet a $0.50 per peak watt solar array manufacturing cost. The feasibility of simultaneous web growth while the melt is replenished with pelletized silicon has also been demonstrated. This step is an important precursor to the development of an automated growth system. Solar cells made on the replenished material were just as efficient as devices fabricated on typical webs grown without replenishment. Moreover, web cells made on a less-refined, pelletized polycrystalline silicon synthesized by the Battelle process yielded efficiencies up to 13% (AM1).

  19. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  20. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Díaz-Becerril, T., E-mail: tomas.diaz.be@gmail.com; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A., E-mail: acoyopol@gmail.com; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-04-15

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta{sub 2}O{sub 5} and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta{sub 2}O{sub 5-}SiO{sub 2}-Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si{sup +} and Ta{sup +} states respectively. Ta{sub 2}O{sub 5} and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta{sub 2}O{sub 5}/Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  1. Optimization of heat transfer during the directional solidification process of 1600 kg silicon feedstock

    Science.gov (United States)

    Hu, Chieh; Chen, Jyh Chen; Nguyen, Thi Hoai Thu; Hou, Zhi Zhong; Chen, Chun Hung; Huang, Yen Hao; Yang, Michael

    2018-02-01

    In this study, the power ratio between the top and side heaters and the moving velocity of the side insulation are designed to control the shape of the crystal-melt interface during the growth process of a 1600 kg multi-crystalline silicon ingot. The power ratio and insulation gap are adjusted to ensure solidification of the melt. To ensure that the crystal-melt interface is slightly convex in relation to the melt during the entire solidification process, the power ratio should be augmented gradually in the initial stages while being held to a constant value in the middle stages. Initially the gap between the side and the bottom insulation is kept small to reduce thermal stress inside the seed crystals. However, the growth rate will be slow in the early stages of the solidification process. Therefore, the movement of the side insulation is fast in the initial stages but slower in the middle stages. In the later stages, the side insulation gap is fixed. With these modifications, the convexity of the crystal-melt interface in relation to the melt can be maintained during the growth process with an approximately 41% reduction in the thermal stress inside the growing ingot and an 80% reduction in dislocation density along the center line of the ingot compared with the original case.

  2. Silicon web process development. [for low cost solar cells

    Science.gov (United States)

    Duncan, C. S.; Hopkins, R. H.; Seidensticker, R. G.; Mchugh, J. P.; Hill, F. E.; Heimlich, M. E.; Driggers, J. M.

    1979-01-01

    Silicon dendritic web, a single crystal ribbon shaped during growth by crystallographic forces and surface tension (rather than dies), is a highly promising base material for efficient low cost solar cells. The form of the product smooth, flexible strips 100 to 200 microns thick, conserves expensive silicon and facilitates automation of crystal growth and the subsequent manufacturing of solar cells. These characteristics, coupled with the highest demonstrated ribbon solar cell efficiency-15.5%-make silicon web a leading candidate to achieve, or better, the 1986 Low Cost Solar Array (LSA) Project cost objective of 50 cents per peak watt of photovoltaic output power. The main objective of the Web Program, technology development to significantly increase web output rate, and to show the feasibility for simultaneous melt replenishment and growth, have largely been accomplished. Recently, web output rates of 23.6 sq cm/min, nearly three times the 8 sq cm/min maximum rate of a year ago, were achieved. Webs 4 cm wide or greater were grown on a number of occassions.

  3. Ion-implantation and analysis for doped silicon slot waveguides

    Directory of Open Access Journals (Sweden)

    McCallum J. C.

    2012-10-01

    Full Text Available We have utilised ion implantation to fabricate silicon nanocrystal sensitised erbium-doped slot waveguide structures in a Si/SiO2/Si layered configuration and photoluminescence (PL and Rutherford backscattering spectrometry (RBS to analyse these structures. Slot waveguide structures in which light is confined to a nanometre-scale low-index region between two high-index regions potentially offer significant advantages for realisation of electrically-pumped Si devices with optical gain and possibly quantum optical devices. We are currently investigating an alternative pathway in which high quality thermal oxides are grown on silicon and ion implantation is used to introduce the Er and Si-ncs into the SiO2 layer. This approach provides considerable control over the Er and Si-nc concentrations and depth profiles which is important for exploring the available parameter space and developing optimised structures. RBS is well-suited to compositional analysis of these layered structures. To improve the depth sensitivity we have used a 1 MeV α beam and results indicate that a layered silicon-Er:SiO2/silicon structure has been fabricated as desired. In this paper structural results will be compared to Er photoluminescence profiles for samples processed under a range of conditions.

  4. Ellipsometric study of GaN/AIN/Si(111) heterostructures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nabi, M. A. U.; Ashfaq, A.; Arshad, M. I.; Ali, A.; Mahmood, K.; Hasan, M. A.; Asghar, M.

    2013-01-01

    GaN and related structures attracted a great interest in the recent years for electronic and optoelectronic applications due to their promising properties. GaN is grown popularly on foreign substrates like sapphire and SiC. However, silicon due to its favourable properties attended the great attention of material scientists and researchers to utilize as substrate for heteroepitaxy of GaN based structures and devices. Silicon substrates are low cost, available in large diameters and have well characterized thermal and electrical properties. In this study, GaN/AlN/Si(111) heterostructures were grown by molecular beam epitaxy. We performed x-ray diffraction spectroscopy and spectroscopic ellipsometry on these samples to study their structural and optical properties. XRD measurements performed on these samples revealed the presence of high quality GaN films as well as the presence of AlN buffer layer with the following miller indices: GaN (002), GaN (004), GaN (006) and GaN (110) along with Si peak of phase (111). The ellipsometric data obtained were used to characterize the GaN/Si samples as a function of film thickness. Refractive index, extinction coefficient and dielectric constant were calculated by the measured data. (author)

  5. Pulsed Laser Deposition of Zinc Sulfide Thin Films on Silicon: The influence of substrate orientation and preparation on thin film morphology and texture

    OpenAIRE

    Heimdal, Carl Philip J

    2014-01-01

    The effect of orientation and preparation of silicon substrates on the growth morphology and crystalline structure of ZnS thin films deposited by pulsed laser deposition (PLD) has been investigated through scanning electron microscopy (SEM) and grazing incidence x-ray diffraction (GIXRD). ZnS thin films were grown on silicon (100) and (111), on HF-treated and untreated silicon (100) as well as substrates coated with Al, Ge and Au. The ZnS films showed entirely different morphologies for ZnS f...

  6. Upconversion photoluminescence of epitaxial Yb{sup 3+}/Er{sup 3+} codoped ferroelectric Pb(Zr,Ti)O{sub 3} films on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yang, E-mail: zhangy_acd@hotmail.com [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Kämpfe, Thomas [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Bai, Gongxun [Department of Applied Physics, The Hong Kong Polytechnic University, Hong Kong (China); Mietschke, Michael; Yuan, Feifei; Zopf, Michael [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Abel, Stefan [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Eng, Lukas M. [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Hühne, Ruben [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Fompeyrine, Jean [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Ding, Fei, E-mail: f.ding@ifw-dresden.de [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Schmidt, Oliver G. [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Material Systems for Nanoelectronics, Chemnitz University of Technology, Reichenhainer strasse 70, 09107 Chemnitz (Germany)

    2016-05-31

    Thin films of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} (PZT:Yb/Er) have been epitaxially grown on the SrTiO{sub 3} buffered Si wafer by pulsed laser deposition. Strong upconversion photoluminescence was observed in the PZT:Yb/Er thin film. Using piezoresponse force microscopy, polar domains in the PZT:Yb/Er film can be reversibly switched with a phase change of 180°. Ferroelectric hysteresis loop shape with a well-saturated response was observed. The epitaxially grown lanthanide-doped PZT on silicon opens up a promising route to the integration of luminescent functional oxides on the silicon platform. - Highlights: • Epitaxial growth of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} films on SrTiO{sub 3} buffered silicon • Upconversion emissions were obtained from the lanthanide ion doped thin films. • Saturated ferroelectric hysteresis loops were observed. • Polar domains were switched by PFM with a phase change of 180°.

  7. The integration of InGaP LEDs with CMOS on 200 mm silicon wafers

    Science.gov (United States)

    Wang, Bing; Lee, Kwang Hong; Wang, Cong; Wang, Yue; Made, Riko I.; Sasangka, Wardhana Aji; Nguyen, Viet Cuong; Lee, Kenneth Eng Kian; Tan, Chuan Seng; Yoon, Soon Fatt; Fitzgerald, Eugene A.; Michel, Jurgen

    2017-02-01

    The integration of photonics and electronics on a converged silicon CMOS platform is a long pursuit goal for both academe and industry. We have been developing technologies that can integrate III-V compound semiconductors and CMOS circuits on 200 mm silicon wafers. As an example we present our work on the integration of InGaP light-emitting diodes (LEDs) with CMOS. The InGaP LEDs were epitaxially grown on high-quality GaAs and Ge buffers on 200 mm (100) silicon wafers in a MOCVD reactor. Strain engineering was applied to control the wafer bow that is induced by the mismatch of coefficients of thermal expansion between III-V films and silicon substrate. Wafer bonding was used to transfer the foundry-made silicon CMOS wafers to the InGaP LED wafers. Process trenches were opened on the CMOS layer to expose the underneath III-V device layers for LED processing. We show the issues encountered in the 200 mm processing and the methods we have been developing to overcome the problems.

  8. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  9. Overcoming doping limits in MOVPE grown n-doped InP for plasmonic applications

    DEFF Research Database (Denmark)

    Panah, Mohammad Esmail Aryaee; Xiao, Sanshui; Lavrinenko, Andrei

    2015-01-01

    Effect of the growth parameters on carrier concentration in MOVPE grown silicon-doped InP is studied. The dopant flow, V/III ratio and substrate temperature are optimized by considering the origin of the doping limits. In addition, two different group V precursors, namely PH3 and TBP, are compare......×1019cm-3 is achieved. Optical properties of the samples are investigated by Fourier transform infrared reflection (FTIR) spectroscopy and are fitted by a Drude-Lorentz function....

  10. Spin-dependent recombination involving oxygen-vacancy complexes in silicon

    OpenAIRE

    Franke, David P.; Hoehne, Felix; Vlasenko, Leonid S.; Itoh, Kohei M.; Brandt, Martin S.

    2014-01-01

    Spin-dependent relaxation and recombination processes in $\\gamma$-irradiated $n$-type Czochralski-grown silicon are studied using continuous wave (cw) and pulsed electrically detected magnetic resonance (EDMR). Two processes involving the SL1 center, the neutral excited triplet state of the oxygen-vacancy complex, are observed which can be separated by their different dynamics. One of the processes is the relaxation of the excited SL1 state to the ground state of the oxygen-vacancy complex, t...

  11. Silicon enhanced salt tolerance by improving the root water uptake and decreasing the ion toxicity in cucumber

    Directory of Open Access Journals (Sweden)

    Shiwen eWang

    2015-09-01

    Full Text Available Although the effects of silicon application on enhancing plant salt tolerance have been widely investigated, the underlying mechanism has remained unclear. In this study, seedlings of cucumber, a medium silicon accumulator plant, grown in 0.83 mM silicon solution for two weeks were exposed to 65 mM NaCl solution for another one week. The dry weight and shoot/root ratio were reduced by salt stress, but silicon application significantly alleviated these decreases. The chlorophyll concentration, net photosynthetic rate, transpiration rate and leaf water content were higher in plants treated with silicon than in untreated plants under salt stress conditions. Further investigation showed that salt stress decreased root hydraulic conductance (Lp, but that silicon application moderated this salt-induced decrease in Lp. The higher Lp in silicon-treated plants may account for the superior plant water balance. Moreover, silicon application significantly decreased Na+ concentration in the leaves while increasing K+ concentration. Simultaneously, both free and conjugated types of polyamines were maintained at high levels in silicon-treated plants, suggesting that polyamines may be involved in the ion toxicity. Our results indicate that silicon enhances the salt tolerance of cucumber through improving plant water balance by increasing the Lp and reducing Na+ content by increasing polyamine accumulation.

  12. A CMOS-compatible silicon substrate optimization technique and its application in radio frequency crosstalk isolation

    International Nuclear Information System (INIS)

    Li Chen; Liao Huailin; Huang Ru; Wang Yangyuan

    2008-01-01

    In this paper, a complementary metal-oxide semiconductor (CMOS)-compatible silicon substrate optimization technique is proposed to achieve effective isolation. The selective growth of porous silicon is used to effectively suppress the substrate crosstalk. The isolation structures are fabricated in standard CMOS process and then this post-CMOS substrate optimization technique is carried out to greatly improve the performances of crosstalk isolation. Three-dimensional electro-magnetic simulation is implemented to verify the obvious effect of our substrate optimization technique. The morphologies and growth condition of porous silicon fabricated have been investigated in detail. Furthermore, a thick selectively grown porous silicon (SGPS) trench for crosstalk isolation has been formed and about 20dB improvement in substrate isolation is achieved. These results demonstrate that our post-CMOS SGPS technique is very promising for RF IC applications. (cross-disciplinary physics and related areas of science and technology)

  13. Piezoresistance of Silicon and Strained Si0.9Ge0.1

    DEFF Research Database (Denmark)

    Richter, Jacob; Hansen, Ole; Larsen, A. Nylandsted

    2005-01-01

    We present experimentally obtained results of the piezoresistive effect in p-type silicon and strained Si0.9Ge0.1. Today, strained Si1-xGex is used for high speed electronic devices. This paper investigates if this area of use can be expanded to also cover piezoresistive micro electro mechanical...... systems (MEMS) devices. The measurements are performed on microfabricated test chips where resistors are defined in layers grown by molecular beam epitaxy on (0 0 1) silicon substrates. A uniaxial stress along the [1 1 0] direction is applied to the chip, with the use of a four point bending fixture....... The investigation covers materials with doping levels of N-A = 10(18) cm(-3) and NA = 1019 cm(-3), respectively. The results show that the pi(66) piezoresistive coefficient in strained Si0.9Ge0.1 is approximately 30% larger than the comparable pi(44) piezoresistive coefficient in silicon at a doping level of N...

  14. Carrier transport in polycrystalline silicon thin films solar cells grown on a highly textured structure

    Czech Academy of Sciences Publication Activity Database

    Honda, Shinya; Takakura, H.; Hamakawa, Y.; Muhida, R.; Kawamura, T.; Harano, T.; Toyama, T.; Okamoto, H.

    2004-01-01

    Roč. 43, 9A (2004), s. 5955-5959 ISSN 0021-4922 Institutional research plan: CEZ:AV0Z1010914 Keywords : polycrystalline silicon thin film * solar cells * substrate texture Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.142, year: 2004

  15. Effects of silicon deficiency on lipid and carbohydrate metabolism in the diatom Cyclotella cryptica

    International Nuclear Information System (INIS)

    Roessler, P.G.

    1987-01-01

    Previous studies have shown that silicon deficiency induces lipid accumulation in certain diatom species. The nature of the lipids produced under these conditions was not investigated, however, and the biochemical mechanisms which underlie this phenomenon were not determined. Research was carried out in order to increase our knowledge concerning the aspects of lipid accumulation in diatoms. The first phase of this project indicated that the diatoms C. cryptica, Cylindrotheca fusiformis, and Thalassiosira pseudonana accumulated storage lipids when grown under silicon-limiting conditions. The ratio of saturated and monounsaturated fatty acids to polyunsaturated fatty acids in C. cryptica cells increased markedly after 24 hours of silicon deficiency. Tracer experiments with [ 14 C]bicarbonate suggested that lipid accumulation in silicon-limited C. cryptica cells was due to two distinct processes: (1) an increase in the amount of newly photoassimilated carbon partitioned into lipids, and (2) a slow conversion of non-lipid compounds (carbohydrates and presumably proteins) into lipids

  16. Role of oxygen in surface segregation of metal impurities in silicon poly- and bicrystals

    Energy Technology Data Exchange (ETDEWEB)

    Amarray, E.; Deville, J.P.

    1987-07-01

    Metal impurities at surfaces of polycrystalline silicon ribbons have been characterized by surface sensitive methods. Oxygen and heat treatments were found to be a driving force for surface segregation of these impurities. To better analyse their influence and their possible incidence in gettering, model studies were undertaken on Czochralski grown silicon bicrystals. Two main factors of surface segregation have been studied: the role of an ultra-thin oxide layer and the effect of heat treatments. The best surface purification was obtained after an annealing process at 750/sup 0/C of a previously oxidized surface at 450/sup 0/C. This was related to the formation of SiO clusters, followed by a coalescence of SiO/sub 4/ units leading to the subsequent injection of silicon self-interstitials in the lattice.

  17. Monolithically interconnected Silicon-Film{trademark} module technology: Annual technical report, 25 November 1997--24 November 1998

    Energy Technology Data Exchange (ETDEWEB)

    Hall, R.B.; Ford, D.H.; Rand, J.A.; Ingram, A.E.

    1999-11-11

    AstroPower continued its development of an advanced thin-silicon-based photovoltaic module product. This module combines the performance advantages of thin, light-trapped silicon layers with the capability of integration into a low-cost, monolithically interconnected array. This report summarizes the work carried out over the first year of a three-year, cost-shared contract, which has yielded the following results: Development of a low-cost, insulating, ceramic substrate that provides mechanical support at silicon growth temperatures, is matched to the thermal expansion of silicon, provides the optical properties required for light trapping through random texturing, and can be formed in large areas on a continuous basis. Different deposition techniques have been investigated, and AstroPower has developed deposition processes for the back conductive layer, the p-type silicon layer, and the mechanical/chemical barrier layer. Polycrystalline films of silicon have been grown on ceramics using AstroPower's Silicon-Film{trademark} process. These films are from 50 to 75 {micro}m thick, with columnar grains extending through the thickness of the film. Aspect ratios from 5:1 to 20:1 have been observed in these films.

  18. Interactive effects of plant-available soil silicon and herbivory on competition between two grass species

    Science.gov (United States)

    Garbuzov, Mihail; Reidinger, Stefan; Hartley, Susan E.

    2011-01-01

    Background and Aims The herbivore defence system of true grasses (Poaceae) is predominantly based on silicon that is taken up from the soil and deposited in the leaves in the form of abrasive phytoliths. Silicon uptake mechanisms can be both passive and active, with the latter suggesting that there is an energetic cost to silicon uptake. This study assessed the effects of plant-available soil silicon and herbivory on the competitive interactions between the grasses Poa annua, a species that has previously been reported to accumulate only small amounts of silicon, and Lolium perenne, a high silicon accumulator. Methods Plants were grown in mono- and mixed cultures under greenhouse conditions. Plant-available soil silicon levels were manipulated by adding silicon to the soil in the form of sodium silicate. Subsets of mixed culture pots were exposed to above-ground herbivory by desert locusts (Schistocerca gregaria). Key Results In the absence of herbivory, silicon addition increased biomass of P. annua but decreased biomass of L. perenne. Silicon addition increased foliar silicon concentrations of both grass species >4-fold. Under low soil-silicon availability the herbivores removed more leaf biomass from L. perenne than from P. annua, whereas under high silicon availability the reverse was true. Consequently, herbivory shifted the competitive balance between the two grass species, with the outcome depending on the availability of soil silicon. Conclusions It is concluded that a complex interplay between herbivore abundance, growth–defence trade-offs and the availability of soil silicon in the grasses' local environment affects the outcome of inter-specific competition, and so has the potential to impact on plant community structure. PMID:21868406

  19. Comparative TEM study of bonded silicon/silicon interfaces fabricated by hydrophilic, hydrophobic and UHV wafer bonding

    International Nuclear Information System (INIS)

    Reznicek, A.; Scholz, R.; Senz, S.; Goesele, U.

    2003-01-01

    Wafers of Czochralski-grown silicon were bonded hydrophilically, hydrophobically and in ultrahigh vacuum (UHV) at room temperature. Wafers bonded hydrophilically adhere together by hydrogen bonds, those bonded hydrophobically by van der Waals forces and UHV-bonded ones by covalent bonds. Annealing the pre-bonded hydrophilic and hydrophobic wafer pairs in argon for 2 h at different temperatures increases the initially low bonding energy. UHV-bonded wafer pairs were also annealed to compare the results. Transmission electron microscopy (TEM) investigations show nano-voids at the interface. The void density depends on the initial bonding strength. During annealing the shape, coverage and density of the voids change significantly

  20. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David

    2017-12-13

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  1. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David; Mughal, Asad J.; Wong, Matthew S.; Alhassan, Abdullah I.; Nakamura, Shuji; DenBaars, Steven P.

    2017-01-01

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  2. The role of defects in fluorescent silicon carbide layers grown by sublimation epitaxy

    DEFF Research Database (Denmark)

    Schimmel, Saskia; Kaiser, Michl; Jokubavicius, Valdas

    2014-01-01

    Donor-acceptor co-doped SiC is a promising light converter for novel monolithic all-semiconductor white LEDs due to its broad-band donor-acceptor pair luminescence and potentially high internal quantum efficiency. Besides sufficiently high doping concentrations in an appropriate ratio yielding...... short radiative lifetimes, long nonradiative lifetimes are crucial for efficient light conversion. The impact of different types of defects is studied by characterizing fluorescent silicon carbide layers with regard to photoluminescence intensity, homogeneity and efficiency taking into account...

  3. Influence of germanium on thermal dewetting and agglomeration of the silicon template layer in thin silicon-on-insulator

    International Nuclear Information System (INIS)

    Zhang, P P; Yang, B; Rugheimer, P P; Roberts, M M; Savage, D E; Lagally, M G; Liu Feng

    2009-01-01

    We investigate the influence of heteroepitaxially grown Ge on the thermal dewetting and agglomeration of the Si(0 0 1) template layer in ultrathin silicon-on-insulator (SOI). We show that increasing Ge coverage gradually destroys the long-range ordering of 3D nanocrystals along the (1 3 0) directions and the 3D nanocrystal shape anisotropy that are observed in the dewetting and agglomeration of pure SOI(0 0 1). The results are qualitatively explained by Ge-induced bond weakening and decreased surface energy anisotropy. Ge lowers the dewetting and agglomeration temperature to as low as 700 0 C.

  4. Diffusion of hydrogen from plasma source by grain boundaries in EFG silicon

    International Nuclear Information System (INIS)

    Fedotov, A.; Saad, Anis M.H.; Drozdov, N.; Mazanik, A.; Ulyashin, A.; Fahrner, W.R.; Stognii, A.

    2001-01-01

    Diffusion of atomized hydrogen along grain boundaries (GBs) studied by transformation of their electrical activity in p-type silicon bi crystalline samples cut from EFG silicon crystals was investigated. The changes in electrical activity of GBs was estimated relative to both minority (MiC) and majority (MaC) carriers and demonstrated the correlation between the type, structure and thermal pre-history of GBs. It was shown on the base of this study that diffusion along GBs depends essentially on three factors: type of GBs, state of ribbons (as-grown or annealed) and concurrence of grain boundary dangling bonds and boron passivation effects. The model of the longitudinal hydrogen diffusion that explains these results is proposed

  5. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  6. Poly-benzyl domains grown on porous silicon and their I-V rectification

    International Nuclear Information System (INIS)

    Chao Jie; Han Huanmei; Xia Bing; Ba Long; Liu Hongbo; Xiao Shoujun

    2007-01-01

    Microwave-irradiated polymerization of benzyl chloride and triphenyl chloromethane on hydride-terminated porous silicon (PS) was achieved through the use of Zn powder as a catalyst. Transmission infrared Fourier-transform spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) analyses confirmed the poly-benzyl membranes grafted on PS. Topographical images by AFM revealed crystal-like domains rather than homogenous monolayers on the surface. The current-voltage measurements in nano-scale by current sensing atomic force microscopy (CS-AFM) showed the rectification behavior of this polymer membrane. Finally, mechanism of a radical initiation on the surface and a following Friedel-Crafts alkylation was proposed for the covalent assembly of poly-benzyl domains

  7. Growth of (100)-highly textured BaBiO{sub 3} thin films on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ferreyra, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); Departamento de Física, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 1, Ciudad Universitaria, Buenos Aires (Argentina); Marchini, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); Departamento de Química Inorgánica, Analítica y Química-Física, INQUIMAE-CONICET, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 2, Ciudad Universitaria, Buenos Aires (Argentina); Granell, P. [INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Golmar, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Escuela de Ciencia y Tecnología, UNSAM, Campus Miguelete, 1650 San Martín, Buenos Aires (Argentina); Albornoz, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); and others

    2016-08-01

    We report on the growth and characterization of non-epitaxial but (100)-highly textured BaBiO{sub 3} thin films on silicon substrates. We have found the deposition conditions that optimize the texture, and show that the textured growth is favoured by the formation of a BaO layer at the first growth stages. X-ray diffraction Φ-scans, together with the observation that the same textured growth is found on films grown on Pt and SiO{sub 2} buffered Si, demonstrate the absence of epitaxy. Finally, we have shown that our (100)-oriented BaBiO{sub 3} films can be used as suitable buffers for the growth of textured heterostructures on silicon, which could facilitate the integration of potential devices with standard electronics. - Highlights: • BaBiO{sub 3} thin films were grown on Si substrates and characterized. • Films prepared using optimized conditions are highly textured in the (100) direction. • The absence of in-plane texture was demonstrated by X-ray diffraction. • Our films are suitable buffers for the growth of (100)-textured oxide heterostructures.

  8. Biofunctionalization on alkylated silicon substrate surfaces via "click" chemistry.

    Science.gov (United States)

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-11-24

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the nonoxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3-dipolar cycloaddition (CuAAC, a "click" reaction) were reported. However, yields of the CuAAC reactions on these monolayer platforms were low. Also, the nonspecific adsorption of proteins on the resultant surfaces remained a major obstacle for many potential biological applications. Herein, we report a new type of "clickable" monolayers grown by selective, photoactivated surface hydrosilylation of α,ω-alkenynes, where the alkynyl terminal is protected with a trimethylgermanyl (TMG) group, on hydrogen-terminated silicon substrates. The TMG groups on the film are readily removed in aqueous solutions in the presence of Cu(I). Significantly, the degermanylation and the subsequent CuAAC reaction with various azides could be combined into a single step in good yields. Thus, oligo(ethylene glycol) (OEG) with an azido tag was attached to the TMG-alkyne surfaces, leading to OEG-terminated surfaces that reduced the nonspecific adsorption of protein (fibrinogen) by >98%. The CuAAC reaction could be performed in microarray format to generate arrays of mannose and biotin with varied densities on the protein-resistant OEG background. We also demonstrated that the monolayer platform could be functionalized with mannose for highly specific capturing of living targets (Escherichia coli expressing fimbriae) onto the silicon substrates.

  9. Reduction of Defects in Germanium-Silicon

    Science.gov (United States)

    2003-01-01

    Crystals grown without contact with a container have far superior quality to otherwise similar crystals grown in direct contact with a container. In addition to float-zone processing, detached- Bridgman growth is a promising tool to improve crystal quality, without the limitations of float zoning or the defects introduced by normal Bridgman growth. Goals of this project include the development of the detached Bridgman process to be reproducible and well understood and to quantitatively compare the defect and impurity levels in crystals grown by these three methods. Germanium (Ge) and germanium-silicon (Ge-Si) alloys are being used. At MSFC, we are responsible for the detached Bridgman experiments intended to differentiate among proposed mechanisms of detachment, and to confirm or refine our understanding of detachment. Because the contact angle is critical to determining the conditions for detachment, the sessile drop method was used to measure the contact angles as a function of temperature and composition for a large number of substrates made of potential ampoule materials. Growth experiments have used pyrolytic boron nitride (pBN) and fused silica ampoules with the majority of the detached results occurring predictably in the pBN. Etch pit density (EPD) measurements of normal and detached Bridgman-grown Ge samples show a two order of magnitude improvement in the detached-grown samples. The nature and extent of detachment is determined by using profilometry in conjunction with optical and electron microscopy. The stability of detachment has been analyzed, and an empirical model for the conditions necessary to achieve sufficient stability to maintain detached growth for extended periods has been developed. We have investigated the effects on detachment of ampoule material, pressure difference above and below the melt, and Si concentration; samples that are nearly completely detached can be grown repeatedly in pBN. Current work is concentrated on developing a

  10. Time-resolved photoluminescence of Ga(NAsP) multiple quantum wells grown on Si substrate: Effects of rapid thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Woscholski, R., E-mail: ronja.woscholski@physik.uni-marburg.de; Shakfa, M.K.; Gies, S.; Wiemer, M.; Rahimi-Iman, A.; Zimprich, M.; Reinhard, S.; Jandieri, K.; Baranovskii, S.D.; Heimbrodt, W.; Volz, K.; Stolz, W.; Koch, M.

    2016-08-31

    Time-resolved photoluminescence (TR-PL) spectroscopy has been used to study the impact of rapid thermal annealing (RTA) on the optical properties and carrier dynamics in Ga(NAsP) multiple quantum well heterostructures (MQWHs) grown on silicon substrates. TR-PL measurements reveal an enhancement in the PL efficiency when the RTA temperature is increased up to 925 °C. Then, the PL intensity dramatically decreases with the annealing temperature. This behavior is explained by the variation of the disorder degree in the studied structures. The analysis of the low-temperature emission-energy-dependent PL decay time enables us to characterize the disorder in the Ga(NAsP) MQWHs. The theoretically extracted energy-scales of disorder confirm the experimental observations. - Highlights: • Ga(NAsP) multiple quantum well heterostructures (MQWHs) grown on silicon substrates • Impact of rapid thermal annealing on the optical properties and carrier dynamics • Time resolved photoluminescence spectroscopy was applied. • PL transients became continuously faster with increasing annealing temperature. • Enhancement in the PL efficiency with increasing annealing temperature up to 925 °C.

  11. Time-resolved photoluminescence of Ga(NAsP) multiple quantum wells grown on Si substrate: Effects of rapid thermal annealing

    International Nuclear Information System (INIS)

    Woscholski, R.; Shakfa, M.K.; Gies, S.; Wiemer, M.; Rahimi-Iman, A.; Zimprich, M.; Reinhard, S.; Jandieri, K.; Baranovskii, S.D.; Heimbrodt, W.; Volz, K.; Stolz, W.; Koch, M.

    2016-01-01

    Time-resolved photoluminescence (TR-PL) spectroscopy has been used to study the impact of rapid thermal annealing (RTA) on the optical properties and carrier dynamics in Ga(NAsP) multiple quantum well heterostructures (MQWHs) grown on silicon substrates. TR-PL measurements reveal an enhancement in the PL efficiency when the RTA temperature is increased up to 925 °C. Then, the PL intensity dramatically decreases with the annealing temperature. This behavior is explained by the variation of the disorder degree in the studied structures. The analysis of the low-temperature emission-energy-dependent PL decay time enables us to characterize the disorder in the Ga(NAsP) MQWHs. The theoretically extracted energy-scales of disorder confirm the experimental observations. - Highlights: • Ga(NAsP) multiple quantum well heterostructures (MQWHs) grown on silicon substrates • Impact of rapid thermal annealing on the optical properties and carrier dynamics • Time resolved photoluminescence spectroscopy was applied. • PL transients became continuously faster with increasing annealing temperature. • Enhancement in the PL efficiency with increasing annealing temperature up to 925 °C

  12. InGaN pn-junctions grown by PA-MBE: Material characterization and fabrication of nanocolumn electroluminescent devices

    Science.gov (United States)

    Gherasoiu, I.; Yu, K. M.; Reichertz, L.; Walukiewicz, W.

    2015-09-01

    PN junctions are basic building blocks of many electronic devices and their performance depends on the structural properties of the component layers and on the type and the amount of the doping impurities incorporated. Magnesium is the common p-type dopant for nitride semiconductors while silicon and more recently germanium are the n-dopants of choice. In this paper, therefore we analyze the quantitative limits for Mg and Ge incorporation on GaN and InGaN with high In content. We also discuss the challenges posed by the growth and characterization of InGaN pn-junctions and we discuss the properties of large area, long wavelength nanocolumn LEDs grown on silicon (1 1 1) by PA-MBE.

  13. Structural and elastoplastic properties of β -Ga2O3 films grown on hybrid SiC/Si substrates

    Science.gov (United States)

    Osipov, A. V.; Grashchenko, A. S.; Kukushkin, S. A.; Nikolaev, V. I.; Osipova, E. V.; Pechnikov, A. I.; Soshnikov, I. P.

    2018-04-01

    Structural and mechanical properties of gallium oxide films grown on (001), (011) and (111) silicon substrates with a buffer layer of silicon carbide are studied. The buffer layer was fabricated by the atom substitution method, i.e., one silicon atom per unit cell in the substrate was substituted by a carbon atom by chemical reaction with carbon monoxide. The surface and bulk structure properties of gallium oxide films have been studied by atomic-force microscopy and scanning electron microscopy. The nanoindentation method was used to investigate the elastoplastic characteristics of gallium oxide, and also to determine the elastic recovery parameter of the films under study. The ultimate tensile strength, hardness, elastic stiffness constants, elastic compliance constants, Young's modulus, linear compressibility, shear modulus, Poisson's ratio and other characteristics of gallium oxide have been calculated by quantum chemistry methods based on the PBESOL functional. It is shown that all these properties of gallium oxide are essentially anisotropic. The calculated values are compared with experimental data. We conclude that a change in the silicon orientation leads to a significant reorientation of gallium oxide.

  14. DETERMINATION OF VICKERS MICROHARDNESS IN β-Ga2O3 SINGLE CRYSTALS GROWN FROM THEIR OWN MELT

    Directory of Open Access Journals (Sweden)

    L. I. Guzilova

    2015-05-01

    Full Text Available The results of microhardness measurements of β-Ga2O3 single crystals for (001 crystallographic face are reported. The crystals were grown by the free crystallization with the "Garnet-2M" equipment. Microhardness values ​​ were determined by the Vickers method at varying loads. A four-sided diamond pyramid was used as an indenter. The average value of gallium oxide microhardness was equal to 8.91 GPa. We have carried out comparison of the values ​​obtained with the microhardness for the other wide bandgap semiconductors - epitaxial GaN layers grown on 6H-SiC and GaP layers grown on GaP:S. The findings are usable for machining process development of β-Ga2O3 single crystal substrates. In particular, silicon carbide and electrocorundum may be recommended for β-Ga2O3 machine processing.

  15. Multiple batch recharging for industrial CZ silicon growth

    Science.gov (United States)

    Fickett, B.; Mihalik, G.

    2001-05-01

    The Czochralski (CZ) crystal growth process used in the Siemens Solar Industries’ (SSI) Vancouver, WA facility was non-continuous. Each furnace run's production was limited by the size of the starting charge. Once the charge was depleted, the furnace was shut down, cooled, and set back up for the next run. A recharge system was developed which transforms standard CZ growth into a semi-continuous process. Now when the charge is depleted, the crucible can be refilled in situ as the grown ingot is being removed from the furnace. SSI has demonstrated up to 14 recharge cycles in a single run. The resulting benefits included: significant cost reduction, increased yield, increased throughput, reduced energy consumption, improved process capability, reduced material handling requirements, and reduced labor. The recharge system also enables the use of granular silicon, which requires less than 30% of the energy required when manufacturing silicon-starting materials. This significantly reduces the energy “pay-back” time associated with SSI's finished product, photovoltaic panels.

  16. Effects of impurities on silicon solar-cell performance

    Science.gov (United States)

    Hopkins, R. H.

    1986-01-01

    Model analyses indicate that sophisticated solar cell designs (back surface fields, optical reflectors, surface passivation, and double layer antireflective coatings) can produce devices with conversion efficiencies above 20%. To realize this potential, the quality of the silicon from which the cells are made must be improved; and these excellent electrical properties must be maintained during device processing. As the cell efficiency rises, the sensitivity to trace contaminants also increases. For example, the threshold Ti impurity concentraion at which cell performance degrades is more than an order of magnitude lower for an 18% cell than for a 16% cell. Similar behavior occurs for numerous other metal species which introduce deep level traps that stimulate the recombination of photogenerated carriers in silicon. Purification via crystal growth in conjunction with gettering steps to preserve the large diffusion length of the as grown material can lead to the production of devices with efficiencies above 18%, as verified experimentally.

  17. Integration of functional complex oxide nanomaterials on silicon

    Directory of Open Access Journals (Sweden)

    Jose Manuel eVila-Fungueiriño

    2015-06-01

    Full Text Available The combination of standard wafer-scale semiconductor processing with the properties of functional oxides opens up to innovative and more efficient devices with high value applications that can be produced at large scale. This review uncovers the main strategies that are successfully used to monolithically integrate functional complex oxide thin films and nanostructures on silicon: the chemical solution deposition approach (CSD and the advanced physical vapor deposition techniques such as oxide molecular beam epitaxy (MBE. Special emphasis will be placed on complex oxide nanostructures epitaxially grown on silicon using the combination of CSD and MBE. Several examples will be exposed, with a particular stress on the control of interfaces and crystallization mechanisms on epitaxial perovskite oxide thin films, nanostructured quartz thin films, and octahedral molecular sieve nanowires. This review enlightens on the potential of complex oxide nanostructures and the combination of both chemical and physical elaboration techniques for novel oxide-based integrated devices.

  18. Picosecond laser texturization of mc-silicon for photovoltaics: A comparison between 1064 nm, 532 nm and 355 nm radiation wavelengths

    Energy Technology Data Exchange (ETDEWEB)

    Binetti, Simona [Department of Materials Science and Milano-Bicocca Solar Energy Research Center (MIB-SOLAR), University of Milano-Bicocca, Via Cozzi 55, 20125 Milano (Italy); Le Donne, Alessia, E-mail: alessia.ledonne@mater.unimib.it [Department of Materials Science and Milano-Bicocca Solar Energy Research Center (MIB-SOLAR), University of Milano-Bicocca, Via Cozzi 55, 20125 Milano (Italy); Rolfi, Andrea [Department of Materials Science and Milano-Bicocca Solar Energy Research Center (MIB-SOLAR), University of Milano-Bicocca, Via Cozzi 55, 20125 Milano (Italy); Jäggi, Beat; Neuenschwander, Beat [Bern University of Applied Sciences, Engineering and Information Technology, Institute for Applied Laser, Photonics and Surface Technologies ALPS, Pestalozzistrasse 20, CH-3400 Burgdorf (Switzerland); Busto, Chiara [ENI Spa, Via Giacomo Fauser, 4, 28100 Novara (Italy); Frigeri, Cesare [CNR-IMEM Institute, Parco Area Delle Scienze 37/A, Fontanini, 43010 Parma (Italy); Scorticati, Davide; Longoni, Luca; Pellegrino, Sergio [Laserpoint Srl, Via Della Burrona 51, 20090 Vimodrone, Milano (Italy)

    2016-05-15

    Highlights: • Self-organized surface structures were produced by picosecond laser pulses on mc-Si. • Three laser wavelengths were used which effectively reduce Si reflectivity up to 8%. • The subsurface damage induced by the three lasers was studied in detail. • μ-Raman, PL and TEM proved that UV laser provides the lowest subsurface damage. • UV laser induced damage is located above the depletion region of the p–n junction. - Abstract: Self-organized surface structures were produced by picosecond laser pulses on multi-crystalline silicon for photovoltaic applications. Three different laser wavelengths were employed (i.e. 1064 nm, 532 nm and 355 nm) and the resulting morphologies were observed to effectively reduce the reflectivity of the samples after laser irradiation. Besides, a comparative study of the laser induced subsurface damage generated by the three different wavelengths was performed by confocal micro-Raman, photoluminescence and transmission electron microscopy. The results of both the structural and optical characterization showed that the mc-Si texturing performed with the laser at 355 nm provides surface reflectivity between 11% and 8% over the spectral range from 400 nm to 1 μm, while inducing the lowest subsurface damage, located above the depletion region of the p–n junction.

  19. Treatment of transparent conductive oxides by laser processes for the development of Silicon photovoltaic cells; Tratamiento de oxidos conductores transparentes por procesos laser para el desarrollo de celulas fotovoltaicas de silicio

    Energy Technology Data Exchange (ETDEWEB)

    Canteli Perez-Caballero, D.

    2015-07-01

    Transparent conductive oxides (TCOs) are heavily doped oxides with high transparency in the visible range of the spectrum and a very low sheet resistance, making them very attractive for applications in optoelectronic devices. TCOs are widely found in many different areas such as low emissivity windows, electric contacts in computers, televisions or portable devices, and, specially, in the photovoltaic (PV) industry. PV industry is mainly based on mono- and multicrystalline silicon, where TCOs are used as anti-reflective coatings, but the search for cheaper, alternative technologies has led to the development of thin film PV technologies, where TCOs are used as transparent contacts. With the maturation of the thin film PV industry, laser sources have become an essential tool, allowing the improvement of some industrial processes and the development of new ones. Because of the interest on a deeper understanding of the interaction processes between laser light and TCOs, the laser ablation of three of the most important TCOs has been studied in depth in the present work. (Author)

  20. Wide-bandgap epitaxial heterojunction windows for silicon solar cells

    Science.gov (United States)

    Landis, Geoffrey A.; Loferski, Joseph J.; Beaulieu, Roland; Sekula-Moise, Patricia A.; Vernon, Stanley M.

    1990-01-01

    It is shown that the efficiency of a solar cell can be improved if minority carriers are confined by use of a wide-bandgap heterojunction window. For silicon (lattice constant a = 5.43 A), nearly lattice-matched wide-bandgap materials are ZnS (a = 5.41 A) and GaP (a = 5.45 A). Isotype n-n heterojuntions of both ZnS/Si and GaP/Si were grown on silicon n-p homojunction solar cells. Successful deposition processes used were metalorganic chemical vapor deposition (MO-CVD) for GaP and ZnS, and vacuum evaporation of ZnS. Planar (100) and (111) and texture-etched - (111)-faceted - surfaces were used. A decrease in minority-carrier surface recombination compared to a bare surface was seen from increased short-wavelength spectral response, increased open-circuit voltage, and reduced dark saturation current, with no degradation of the minority carrier diffusion length.

  1. Comparison of cross-sectional transmission electron microscope studies of thin germanium epilayers grown on differently oriented silicon wafers.

    Science.gov (United States)

    Norris, D J; Myronov, M; Leadley, D R; Walther, T

    2017-12-01

    We compare transmission electron microscopical analyses of the onset of islanding in the germanium-on-silicon (Ge/Si) system for three different Si substrate orientations: (001), (11¯0) and (11¯1)Si. The Ge was deposited by reduced pressure chemical vapour deposition and forms islands on the surface of all Si wafers; however, the morphology (aspect ratio) of the deposited islands is different for each type of wafer. Moreover, the mechanism for strain relaxation is different for each type of wafer owing to the different orientation of the (111) slip planes with the growth surface. Ge grown on (001)Si is initially pseudomorphically strained, yielding small, almost symmetrical islands of high aspect ratio (clusters or domes) on top interdiffused SiGe pedestals, without any evidence of plastic relaxation by dislocations, which would nucleate later-on when the islands might have coalesced and then the Matthews-Blakeslee limit is reached. For (11¯0)Si, islands are flatter and more asymmetric, and this is correlated with plastic relaxation of some islands by dislocations. In the case of growth on (11¯1)Si wafers, there is evidence of immediate strain relaxation taking place by numerous dislocations and also twinning. In the case of untwined film/substrate interfaces, Burgers circuits drawn around certain (amorphous-like) regions show a nonclosure with an edge-type a/4[1¯12] Burgers vector component visible in projection along [110]. Microtwins of multiples of half unit cells in thickness have been observed which occur at the growth interface between the Si(11¯1) buffer layer and the overlying Ge material. Models of the growth mechanisms to explain the interfacial configurations of each type of wafer are suggested. © 2017 The Authors Journal of Microscopy © 2017 Royal Microscopical Society.

  2. Transmission electron microscope study of neutron irradiation-induced defects in silicon

    International Nuclear Information System (INIS)

    Oshima, Ryuichiro; Kawano, Tetsuya; Fujimoto, Ryoji

    1994-01-01

    Commercial Czochralski-grown silicon (Cz-Si) and float-zone silicon (Fz-Si) wafers were irradiated with fission neutrons at various fluences from 10 19 to 10 22 n/cm 2 at temperatures ranging from 473 K to 1043 K. The irradiation induced defect structures were examined by transmission electron microscopy and ultra high voltage electron microscopy, which were compared with Marlowe code computer simulation results. It was concluded that the vacancy-type damage structure formed at 473 K were initiated from collapse of vacancy-rich regions of cascades, while interstitial type defect clusters formed by irradiation above 673 K were associated with interstitial oxygen atoms and free interstitials which diffused out of the cascades. Complex defect structures were identified to consist of {113} and {111} planar faults by the parallel beam illumination diffraction analysis. (author)

  3. Solution growth of microcrystalline silicon on amorphous substrates

    Energy Technology Data Exchange (ETDEWEB)

    Heimburger, Robert

    2010-07-05

    material is proposed. MoSi{sub 2} thin films on glass, produced by annealing near-stoichiometric Mo-Si multilayers, are shown to resist solution contact. Subsequent investigation of feasibility of the vapor-liquid-solid mechanism revealed the success of indium microdroplet formation to be determined by both, the multilayer deposition parameters and the substrate temperature during indium deposition. Steady-state solution growth at 610 C was utilized to enlarge silicon seed crystals to diameters of up to 200 {mu}m. The grown material has been subject of characterization regarding the crystallinity, orientation and purity. Additionally, morphological anomalies are considered. The outgrown material was found to be bound by {l_brace}111{r_brace} facets. Many of these microcrystallites contain at least two twin domains. Twin-assisted growth at formed re-entrant edges promotes high growth rates even at low supersaturation. Additionally, many crystallites exhibit hoppers at the center of their facets. This is explained by a solute concentration inhomogeneity within the diffusion boundary layer of the nutrient solution leading to solute depletion at the facet centers and to morphological instability. The feasibility of the process for growth of microcrystalline silicon on glass has been shown in principle. Nevertheless, limitations exist regarding the achievable crystalline solidity ratio and the size of crystallites grown under stable conditions. (orig.)

  4. Semiconductor nanostructures on silicon. Carrier dynamics, optical amplification and lasing; Halbleiternanostrukturen auf Silizium. Ladungstraegerdynamik, optischer Verstaerker und Laser

    Energy Technology Data Exchange (ETDEWEB)

    Lange, Christoph

    2008-12-11

    Two material systems that can be grown epitaxially on a silicon substrate are experimentally investigated with respect to their optical properties. Quantum wells (qw) of Germanium were experimentally investigated by spectrally resolved white-light pump-probe-absorption spectroscopy at room temperature. A second material class is Ga(NAsP), which was grown as quantum wells on a silicon substrate matching the lattice constant of the substrate. The basic optical properties were determined using the variable stripe-length method. In order to relate the results to those of established materials, a selection of comparable III/V semiconductors were measured in the same setups. The pump-probe measurements on (GaIn)As quantum wells exhibited a much more rapid scattering. In these material systems, quite similar optical gain values of 10{sup -3}/QW were found with decay times of several 100 ps. For (GaIn)(NAs), slightly higher values were determined. Using the variable stripe-length method, GaSb quantum wells with dot-like morphology were investigated. (orig.)

  5. Assessment of the effect of silicon on antioxidant enzymes in cotton plants by multivariate analysis.

    Science.gov (United States)

    Alberto Moldes, Carlos; Fontão de Lima Filho, Oscar; Manuel Camiña, José; Gabriela Kiriachek, Soraya; Lia Molas, María; Mui Tsai, Siu

    2013-11-27

    Silicon has been extensively researched in relation to the response of plants to biotic and abiotic stress, as an element triggering defense mechanisms which activate the antioxidant system. Furthermore, in some species, adding silicon to unstressed plants modifies the activity of certain antioxidant enzymes participating in detoxifying processes. Thus, in this study, we analyzed the activity of antioxidant enzymes in leaves and roots of unstressed cotton plants fertilized with silicon (Si). Cotton plants were grown in hydroponic culture and added with increasing doses of potassium silicate; then, the enzymatic activity of catalase (CAT), guaiacol peroxidase (GPOX), ascorbate peroxidase (APX), and lipid peroxidation were determined. Using multivariate analysis, we found that silicon altered the activity of GPOX, APX, and CAT in roots and leaves of unstressed cotton plants, whereas lipid peroxidation was not affected. The analysis of these four variables in concert showed a clear differentiation among Si treatments. We observed that enzymatic activities in leaves and roots changed as silicon concentration increased, to stabilize at 100 and 200 mg Si L(-1) treatments in leaves and roots, respectively. Those alterations would allow a new biochemical status that could be partially responsible for the beneficial effects of silicon. This study might contribute to adjust the silicon application doses for optimal fertilization, preventing potential toxic effects and unnecessary cost.

  6. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  7. Observation of a photoinduced, resonant tunneling effect in a carbon nanotube–silicon heterojunction

    Directory of Open Access Journals (Sweden)

    Carla Aramo

    2015-03-01

    Full Text Available A significant resonant tunneling effect has been observed under the 2.4 V junction threshold in a large area, carbon nanotube–silicon (CNT–Si heterojunction obtained by growing a continuous layer of multiwall carbon nanotubes on an n-doped silicon substrate. The multiwall carbon nanostructures were grown by a chemical vapor deposition (CVD technique on a 60 nm thick, silicon nitride layer, deposited on an n-type Si substrate. The heterojunction characteristics were intensively studied on different substrates, resulting in high photoresponsivity with a large reverse photocurrent plateau. In this paper, we report on the photoresponsivity characteristics of the device, the heterojunction threshold and the tunnel-like effect observed as a function of applied voltage and excitation wavelength. The experiments are performed in the near-ultraviolet to near-infrared wavelength range. The high conversion efficiency of light radiation into photoelectrons observed with the presented layout allows the device to be used as a large area photodetector with very low, intrinsic dark current and noise.

  8. Gettering improvements of minority-carrier lifetimesin solar grade silicon

    DEFF Research Database (Denmark)

    Osinniy, Viktor; Nylandsted Larsen, Arne; Dahl, Espen

    2012-01-01

    The minority-carrier lifetime in p-type solar-grade silicon (SoG-Si) produced by Elkem Solar was investigated after different types of heat treatment. Two groups of samples differing by the as-grown lifetimes were exposed to internal and phosphorus gettering using constant and variable temperature...... processes. Optimal heat-treatment parameters for each group of samples were then identified which improved the minority-carrier lifetimes to values higher than the minimum value needed for solar cells. Phosphorus gettering using a variable temperature process enhanced in particular the lifetime within each...

  9. Investigation on nonlinear optical properties of MoS2 nanoflake, grown on silicon and quartz substrates

    Science.gov (United States)

    Bayesteh, S.; Mortazavi, S. Z.; Reyhani, A.

    2018-03-01

    In this study, MoS2 was directly synthesized by one-step thermal chemical vapour deposition (TCVD), on different substrates including Si/SiO2 and quartz, using MoO3 and sulfide powders as precursor. The XRD patterns demonstrate the high crystallinity of MoS2 on Si/SiO2 and quartz substrates. SEM confirmed the formation of MoS2 grown on both substrates. According to line width and frequency difference between the E1 2g and A1g in Raman spectroscopy, it is inferred that the MoS2 grown on Si/SiO2 substrate is monolayer and the MoS2 grown on quartz substrate is multilayer. Moreover, by assessment of MoS2 nanoflake band gap via UV-visible analysis, it verified the formation of few layer structures. In addition, the open-aperture and close-aperture Z-scan techniques were employed to study the nonlinear optical properties including nonlinear absorption and nonlinear refraction of the synthesized MoS2. All experiments were performed using a diode laser with a wavelength of 532 nm as light source. The monolayer MoS2 synthesized on Si/SiO2, display considerable two-photon absorption. However, the multilayer MoS2 synthesized on quartz displayed saturable absorption (SA). It is noticeable that both samples demonstrate obvious self-defocusing behaviour.

  10. Evidence of sub-10 nm aluminum-oxygen precipitates in silicon

    International Nuclear Information System (INIS)

    Moutanabbir, Oussama; Isheim, Dieter; Mao, Zugang; Seidman, David N

    2016-01-01

    In this research, ultraviolet laser-assisted atom-probe tomography (APT) was utilized to investigate precisely the behavior at the atomistic level of aluminum impurities in ultrathin epitaxial silicon layers. Aluminum atoms were incorporated in situ during the growth process. The measured average aluminum concentration in the grown layers exceeds by several orders of magnitude the equilibrium bulk solubility. Three-dimensional atom-by-atom mapping demonstrates that aluminum atoms precipitate in the silicon matrix and form nanoscopic precipitates with lateral dimensions in the 1.3 to 6.2 nm range. These precipitates were found to form only in the presence of oxygen impurity atoms, thus providing clear evidence of the long-hypothesized role of oxygen and aluminum-oxygen complexes in facilitating the precipitation of aluminum in a silicon lattice. The measured average aluminum and oxygen concentrations in the precipitates are ∼10 ± 0.5 at.% and ∼4.4 ± 0.5 at.%, respectively. This synergistic interaction is supported by first-principles calculations of the binding energies of aluminum-oxygen dimers in silicon. The calculations demonstrate that there is a strong binding between aluminum and oxygen atoms, with Al-O-Al and O-Al-Al as the energetically favorable sequences corresponding to precipitates in which the concentration of aluminum is twice as large as the oxygen concentration in agreement with APT data. (paper)

  11. Optimization of conditions for growth of vanadium dioxide thin films on silicon by pulsed-laser deposition

    Science.gov (United States)

    Shibuya, Keisuke; Sawa, Akihito

    2015-10-01

    We systematically examined the effects of the substrate temperature (TS) and the oxygen pressure (PO2) on the structural and optical properties polycrystalline V O2 films grown directly on Si(100) substrates by pulsed-laser deposition. A rutile-type V O2 phase was formed at a TS ≥ 450 °C at PO2 values ranging from 5 to 20 mTorr, whereas other structures of vanadium oxides were stabilized at lower temperatures or higher oxygen pressures. The surface roughness of the V O2 films significantly increased at growth temperatures of 550 °C or more due to agglomeration of V O2 on the surface of the silicon substrate. An apparent change in the refractive index across the metal-insulator transition (MIT) temperature was observed in V O2 films grown at a TS of 450 °C or more. The difference in the refractive index at a wavelength of 1550 nm above and below the MIT temperature was influenced by both the TS and PO2, and was maximal for a V O2 film grown at 450 °C under 20 mTorr. Based on the results, we derived the PO2 versus 1/TS phase diagram for the films of vanadium oxides, which will provide a guide to optimizing the conditions for growth of V O2 films on silicon platforms.

  12. Optimization of conditions for growth of vanadium dioxide thin films on silicon by pulsed-laser deposition

    Directory of Open Access Journals (Sweden)

    Keisuke Shibuya

    2015-10-01

    Full Text Available We systematically examined the effects of the substrate temperature (TS and the oxygen pressure (PO2 on the structural and optical properties polycrystalline V O2 films grown directly on Si(100 substrates by pulsed-laser deposition. A rutile-type V O2 phase was formed at a TS ≥ 450 °C at PO2 values ranging from 5 to 20 mTorr, whereas other structures of vanadium oxides were stabilized at lower temperatures or higher oxygen pressures. The surface roughness of the V O2 films significantly increased at growth temperatures of 550 °C or more due to agglomeration of V O2 on the surface of the silicon substrate. An apparent change in the refractive index across the metal–insulator transition (MIT temperature was observed in V O2 films grown at a TS of 450 °C or more. The difference in the refractive index at a wavelength of 1550 nm above and below the MIT temperature was influenced by both the TS and PO2, and was maximal for a V O2 film grown at 450 °C under 20 mTorr. Based on the results, we derived the PO2 versus 1/TS phase diagram for the films of vanadium oxides, which will provide a guide to optimizing the conditions for growth of V O2 films on silicon platforms.

  13. Mobility of carriers in the case of diffuse motion in the configuration space of restructuring divacancies in silicon

    International Nuclear Information System (INIS)

    Dolgolenko, A.P.

    2014-01-01

    Calculated temperature dependence of the electron mobility and describes the behavior of holes in high resistance silicon Czochralski grown and float zone melting, after irradiation by fast neutrons reactor and a subsequent isochronous and isothermal annealing. In the framework of the elaborated model of defect clusters was calculated temperature dependence of the concentration of electrons and holes in silicon samples. It is shown that the configuration change divacancies in clusters of defects and in conducting matrix leads to increase in the height of the drift barriers and concentration of long-wave phonons in conducting matrix samples of silicon. It was defined temperature dependence of the height of the drift barriers in the process of ageing at room temperature n-Si

  14. Structural investigations of silicon nanostructures grown by self-organized island formation for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Roczen, Maurizio; Malguth, Enno; Barthel, Thomas; Gref, Orman; Toefflinger, Jan A.; Schoepke, Andreas; Schmidt, Manfred; Ruske, Florian; Korte, Lars; Rech, Bernd [Institute for Silicon Photovoltaics, Helmholtz-Zentrum Berlin, Berlin (Germany); Schade, Martin; Leipner, Hartmut S. [Martin-Luther-Universitaet Halle-Wittenberg, Interdisziplinaeres Zentrum fuer Materialwissenschaften, Halle (Germany); Callsen, Gordon; Hoffmann, Axel [Technische Universitaet Berlin, Institut fuer Festkoerperphysik, Berlin (Germany); Phillips, Matthew R. [University of Technology Sydney, Department of Physics and Advanced Materials, NSW (Australia)

    2012-09-15

    The self-organized growth of crystalline silicon nanodots and their structural characteristics are investigated. For the nanodot synthesis, thin amorphous silicon (a-Si) layers with different thicknesses have been deposited onto the ultrathin (2 nm) oxidized (111) surface of Si wafers by electron beam evaporation under ultrahigh vacuum conditions. The solid phase crystallization of the initial layer is induced by a subsequent in situ annealing step at 700 C, which leads to the dewetting of the initial a-Si layer. This process results in the self-organized formation of highly crystalline Si nanodot islands. Scanning electron microscopy confirms that size, shape, and planar distribution of the nanodots depend on the thickness of the initial a-Si layer. Cross-sectional investigations reveal a single-crystalline structure of the nanodots. This characteristic is observed as long as the thickness of the initial a-Si layer remains under a certain threshold triggering coalescence. The underlying ultra-thin oxide is not structurally affected by the dewetting process. Furthermore, a method for the fabrication of close-packed stacks of nanodots is presented, in which each nanodot is covered by a 2 nm thick SiO{sub 2} shell. The chemical composition of these ensembles exhibits an abrupt Si/SiO{sub 2} interface with a low amount of suboxides. A minority charge carrier lifetime of 18 {mu}s inside of the nanodots is determined. (orig.)

  15. Plasma immersion ion implantation of boron for ribbon silicon solar cells

    Directory of Open Access Journals (Sweden)

    Derbouz K.

    2013-09-01

    Full Text Available In this work, we report for the first time on the solar cell fabrication on n-type silicon RST (for Ribbon on Sacrificial Template using plasma immersion ion implantation. The experiments were also carried out on FZ silicon as a reference. Boron was implanted at energies from 10 to 15 kV and doses from 1015 to 1016 cm-2, then activated by a thermal annealing in a conventional furnace at 900 and 950 °C for 30 min. The n+ region acting as a back surface field was achieved by phosphorus spin-coating. The frontside boron emitter was passivated either by applying a 10 nm deposited SiOX plasma-enhanced chemical vapor deposition (PECVD or with a 10 nm grown thermal oxide. The anti-reflection coating layer formed a 60 nm thick SiNX layer. We show that energies less than 15 kV and doses around 5 × 1015 cm-2 are appropriate to achieve open circuit voltage higher than 590 mV and efficiency around 16.7% on FZ-Si. The photovoltaic performances on ribbon silicon are so far limited by the bulk quality of the material and by the quality of the junction through the presence of silicon carbide precipitates at the surface. Nevertheless, we demonstrate that plasma immersion ion implantation is very promising for solar cell fabrication on ultrathin silicon wafers such as ribbons.

  16. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    Science.gov (United States)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  17. Comparative study on electrical properties of atomic layer deposited high-permittivity materials on silicon substrates

    International Nuclear Information System (INIS)

    Duenas, S.; Castan, H.; Garcia, H.; Barbolla, J.; Kukli, K.; Ritala, M.; Leskelae, M.

    2005-01-01

    Deep level transient spectroscopy, capacitance-voltage and conductance transient measurement techniques have been applied in order to evaluate the electrical quality of thin high-permittivity oxide layers on silicon. The oxides studied included HfO 2 film grown from two different oxygen-free metal precursors and Ta 2 O 5 and Nb 2 O 5 nanolaminates. The interface trap densities correlated to the oxide growth chemistry and semiconductor substrate treatment. No gap state densities induced by structural disorder were measured in the films grown on chemical SiO 2 . Trap densities were also clearly lower in HfO 2 films compared to Ta 2 O 5 -Nb 2 O 5

  18. Synthesis and properties of silicon nanowire devices

    Science.gov (United States)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  19. Zirconates heteroepitaxy on silicon

    Science.gov (United States)

    Fompeyrine, Jean; Seo, Jin Won; Seigwart, Heinz; Rossel, Christophe; Locquet, Jean-Pierre

    2002-03-01

    In the coming years, agressive scaling in CMOS technology will probably trigger the transition to more advanced materials, for example alternate gate dielectrics. Epitaxial thin films are attractive candidates, as long as the difficult chemical and structural issues can be solved, and superior properties can be obtained. Since very few binary oxides can match the electrical, physical and structural requirements which are needed, a combination of those binaries are used here to investigate other lattice matched oxides. We will report on the growth of crystalline zirconium oxide thin films stabilized with different cationic substitutions. All films have been grown in an oxide-MBE system by direct evaporation of the elements on silicon substrates and exposure to molecular or atomic oxygen. The conditions required to obtain epitaxial thin films will be discussed, and successful examples will be presented.

  20. Clean electricity from photovoltaics

    CERN Document Server

    Green, Martin A

    2015-01-01

    The second edition of Clean Electricity from Photovoltaics , first published in 2001, provides an updated account of the underlying science, technology and market prospects for photovoltaics. All areas have advanced considerably in the decade since the first edition was published, which include: multi-crystalline silicon cell efficiencies having made impressive advances, thin-film CdTe cells having established a decisive market presence, and organic photovoltaics holding out the prospect of economical large-scale power production. Contents: The Past and Present (M D Archer); Limits to Photovol

  1. Silicon waveguided components for the long-wave infrared region

    Science.gov (United States)

    Soref, Richard A.; Emelett, Stephen J.; Buchwald, Walter R.

    2006-10-01

    We propose that the operational wavelength of waveguided Si-based photonic integrated circuits and optoelectronic integrated circuits can be extended beyond the 1.55 µm telecom range into the wide infrared from 1.55 to 100 µm. The Si rib-membrane waveguide offers low-loss transmission from 1.2 to 6 µm and from 24 to 100 µm. This waveguide, which is compatible with Si microelectronics manufacturing, is constructed from silicon-on-insulator by etching away the oxide locally beneath the rib. Alternatively, low-loss waveguiding from 1.9 to 14.7 µm is assured by employing a crystal Ge rib grown directly upon the Si substrate. The Si-based hollow-core waveguide is an excellent device that minimizes loss due to silicon's 6-24 µm multi-phonon absorption. Here the rectangular air-filled core is surrounded by SiGe/Si multi-layer anti-resonant or Bragg claddings. The hollow channel offers less than 1.7 dB cm-1 loss from 1.2 to 100 µm. .

  2. Impurity effects in silicon for high efficiency solar cells

    Science.gov (United States)

    Hopkins, R. H.; Rohatgi, A.

    1986-01-01

    Model analyses indicate that sophisticated solar cell designs including, e.g., back surface fields, optical reflectors, surface passivation, and double layer antireflective coatings can produce devices with conversion efficiencies above 20 percent (AM1). To realize this potential, the quality of the silicon from which the cells are made must be improved; and these excellent electrical properties must be maintained during device processing. As the cell efficiency rises, the sensitivity to trace contaminants also increases. For example, the threshold Ti impurity concentration at which cell performance degrades is more than an order of magnitude lower for an 18-percent cell. Similar behavior occurs for numerous other metal species which introduce deep level traps that stimulate the recombination of photogenerated carriers in silicon. Purification via crystal growth in conjunction with gettering steps to preserve the large diffusion length of the as-grown material can lead to the production of devices with efficiencies aboved 18 percent, as has been verified experimentally.

  3. Crystalline-Amorphous Core−Shell Silicon Nanowires for High Capacity and High Current Battery Electrodes

    KAUST Repository

    Cui, Li-Feng

    2009-01-14

    Silicon is an attractive alloy-type anode material for lithium ion batteries because of its highest known capacity (4200 mAh/g). However silicon\\'s large volume change upon lithium insertion and extraction, which causes pulverization and capacity fading, has limited its applications. Designing nanoscale hierarchical structures is a novel approach to address the issues associated with the large volume changes. In this letter, we introduce a core-shell design of silicon nanowires for highpower and long-life lithium battery electrodes. Silicon crystalline- amorphous core-shell nanowires were grown directly on stainless steel current collectors by a simple one-step synthesis. Amorphous Si shells instead of crystalline Si cores can be selected to be electrochemically active due to the difference of their lithiation potentials. Therefore, crystalline Si cores function as a stable mechanical support and an efficient electrical conducting pathway while amorphous shells store Li ions. We demonstrate here that these core-shell nanowires have high charge storage capacity (̃1000 mAh/g, 3 times of carbon) with ̃90% capacity retention over 100 cycles. They also show excellent electrochemical performance at high rate charging and discharging (6.8 A/g, ̃20 times of carbon at 1 h rate). © 2009 American Chemical Society.

  4. On the growth of native oxides on hydrogen-terminated silicon surfaces in dark and under illumination with light

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Zinine, A.; Bankras, R.G.; Wormeester, Herbert; Poelsema, Bene; Schmitz, Jurriaan

    2006-01-01

    After a cleaning procedure, a silicon surface can be terminated by Si-OH groups which results in a high chemical activity. As it is accepted, after removing the wet-chemically grown oxide layer using an HF solution, the surface becomes terminated with Si-H groups. This results in a chemically stable

  5. Outdoor Performance Comparison of Concentrator Photovoltaic and Flat Plate Photovoltaic Systems

    Directory of Open Access Journals (Sweden)

    Hidaka Yoshihide

    2016-01-01

    Full Text Available Output characteristics of tracking type concentrator photovoltaic (CPV system, multi-crystalline silicon (mc-Si PV system, CIGS PV system, and amorphous silicon (a-Si PV system were analyzed in the data period of a year from August 2013 to July 2014. In this study, we analyzed the influence of environmental factors using average photon energy (APE and temperature of solar cell (Tcell. The characteristics of 14 kW CPV system, 50 kW mc-Si PV system, 60 kW CIGS PV system, 1.35 kW a-Si PV system were evaluated and compared. As a result, the output performance of CPV was highest between the four systems at the most frequent conditions in the outdoor environment.

  6. Modified porous silicon for electrochemical sensor of para-nitrophenol

    International Nuclear Information System (INIS)

    Belhousse, S.; Belhaneche-Bensemra, N.; Lasmi, K.; Mezaache, I.; Sedrati, T.; Sam, S.; Tighilt, F.-Z.; Gabouze, N.

    2014-01-01

    Highlights: • Hybrid device based on Porous silicon (PSi) and polythiophene (PTh) was prepared. • Three types of PSi/PTh hybrid structures were elaborated: PSi/PTh, oxide/PSi/PTh and Amino-propyltrimethoxysilane (APTMES)/oxide/PSi/PTh. • PTh was grafted on PSi using electrochemical polymerization. • The electrodetection of para-nitrophenol (p-NPh) was performed by cyclic voltammetry. • Oxide/PSi/PTh and APTMES/oxide/PSi/PTh, based electrochemical sensor showed a good response toward p-NPh. - Abstract: Hybrid structures based on polythiophene modified porous silicon was used for the electrochemical detection of para-nitrophenol, which is a toxic derivative of parathion insecticide and it is considered as a major toxic pollutant. The porous silicon was prepared by anodic etching in hydrofluodic acid. Polythiophene films were then grown by electropolymerisation of thiophene monomer on three different surfaces: hydrogenated PSi, oxidized PSi and amine-terminated PSi. The morphology of the obtained structures were observed by scanning electron microscopy and characterized by spectroscopy (FTIR). Cyclic voltammetry was used to study the electrochemical response of proposed structures to para-nitrophenol. The results show a high sensitivity of the sensor and a linearity of the electrochemical response in a large concentration interval ranging from 1.5 × 10 −8 M to the 3 × 10 −4 M

  7. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  8. Electrical characterization of 6H-SiC grown by physical vapor transport method

    Energy Technology Data Exchange (ETDEWEB)

    Zaremba, G., E-mail: gzaremba@ite.waw.p [Institute of Electron Technology, Department of Analysis of Semiconductor Nanostructures, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Kaniewska, M.; Jung, W. [Institute of Electron Technology, Department of Analysis of Semiconductor Nanostructures, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Guziewicz, M. [Institute of Electron Technology, Department of Semiconductor Processing for Photonics, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Grasza, K. [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Institute of Electronic Materials Technology, ul. Wolczynska 133, 01-919 Warsaw (Poland)

    2009-11-25

    Deep level transient spectroscopy (DLTS) and capacitance versus voltage (C-V) measurements have been used to study the electrical properties of electron traps in n-type 6H-silicon carbide (SiC) grown by physical vapor transport (PVT) technique, designed as Schottky diodes. Ir Schottky- and Ni ohmic-contacts were deposited by sputtering. Current versus voltage (I-V) measurements showed that sputter deposition of the Schottky contact yields diodes with a reduced barrier height and poor rectification characteristics. Four main electron traps revealed in DLTS spectra have activation energies at 0. 39, 0.41, 0,66, and 0.74 eV below the conduction band. Based on a comparison made with electron traps reported in the literature, we conclude that three of them are well-known traps found in the as-grown or irradiated material. There was no emission signature in the literature to make such a correspondence for the trap at 0.74 eV. Strongly nonhomogenous spatial distribution with a tendency of the trap to accumulation at the surface was found by DLTS and C-V profiling. This together with the fact that the trap at 0.74 eV has not been previously reported in as-grown or processed material makes it possible that the trap is sputter deposition induced defect.

  9. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wrocaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Drzik, Milan [International Laser Center, Ilkovicova 3, 841-04 Bratislava 4 (Slovakia)

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grown with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.

  10. X- and gamma-ray N+PP+ silicon detectors with high radiation resistance

    International Nuclear Information System (INIS)

    Petris, M.; Ruscu, R.; Moraru, R.; Cimpoca, V.

    1998-01-01

    We have investigated the use of p-type silicon detectors as starting material for X-and gamma-ray detectors because of several potential benefits it would bring: 1. high purity p-type silicon grown by the float-zone process exhibits better radial dopant uniformity than n-type float-zone silicon; 2. it is free of radiation damage due to the neutron transmutation doping process and behaves better in a radiation field because mainly acceptor like centers are created through the exposure and the bulk material type inversion does not occur as in the n-type silicon. But the p-type silicon, in combination with a passivating layer of silicon dioxide, leads to a more complex detector layout since the positive charge in the oxide causes an inversion in the surface layer under the silicon dioxide. Consequently, it would be expected that N + P diodes have a higher leakage current than P + N ones. All these facts have been demonstrated experimentally. These features set stringent requirements for the technology of p-type silicon detectors. Our work presents two new geometries and an improved technology for p-type high resistivity material to obtain low noise radiation detectors. Test structures were characterized before and after the gamma exposure with a cumulative dose in the range 10 4 - 5 x 10 6 rad ( 60 Co). Results indicate that proposed structures and their technology enable the development of reliable N + PP + silicon detectors. For some samples (0.8 - 12 mm 2 ), extremely low reverse currents were obtained and, in combination with a low noise charge preamplifier, the splitting of 241 Am X-ray lines was possible and also the Mn Kα line (5.9 keV) was extracted from the noise with a 1.9 keV FWHM at the room temperature. An experimental model of a nuclear probe based on these diodes was designed for X-ray detection applications. (authors)

  11. Aluminium alloyed iron-silicide/silicon solar cells: A simple approach for low cost environmental-friendly photovoltaic technology.

    Science.gov (United States)

    Kumar Dalapati, Goutam; Masudy-Panah, Saeid; Kumar, Avishek; Cheh Tan, Cheng; Ru Tan, Hui; Chi, Dongzhi

    2015-12-03

    This work demonstrates the fabrication of silicide/silicon based solar cell towards the development of low cost and environmental friendly photovoltaic technology. A heterostructure solar cells using metallic alpha phase (α-phase) aluminum alloyed iron silicide (FeSi(Al)) on n-type silicon is fabricated with an efficiency of 0.8%. The fabricated device has an open circuit voltage and fill-factor of 240 mV and 60%, respectively. Performance of the device was improved by about 7 fold to 5.1% through the interface engineering. The α-phase FeSi(Al)/silicon solar cell devices have promising photovoltaic characteristic with an open circuit voltage, short-circuit current and a fill factor (FF) of 425 mV, 18.5 mA/cm(2), and 64%, respectively. The significant improvement of α-phase FeSi(Al)/n-Si solar cells is due to the formation p(+-)n homojunction through the formation of re-grown crystalline silicon layer (~5-10 nm) at the silicide/silicon interface. Thickness of the regrown silicon layer is crucial for the silicide/silicon based photovoltaic devices. Performance of the α-FeSi(Al)/n-Si solar cells significantly depends on the thickness of α-FeSi(Al) layer and process temperature during the device fabrication. This study will open up new opportunities for the Si based photovoltaic technology using a simple, sustainable, and los cost method.

  12. Silicone metalization

    Energy Technology Data Exchange (ETDEWEB)

    Maghribi, Mariam N. (Livermore, CA); Krulevitch, Peter (Pleasanton, CA); Hamilton, Julie (Tracy, CA)

    2008-12-09

    A system for providing metal features on silicone comprising providing a silicone layer on a matrix and providing a metal layer on the silicone layer. An electronic apparatus can be produced by the system. The electronic apparatus comprises a silicone body and metal features on the silicone body that provide an electronic device.

  13. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  14. Growth and intercalation of graphene on silicon carbide studied by low-energy electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Speck, Florian; Ostler, Markus; Wanke, Martina; Seyller, Thomas [Universitaet Erlangen-Nuernberg, Lehrstuhl fuer Technische Physik, Erlangen (Germany); Technische Universitaet Chemnitz, Institut fuer Physik (Germany); Besendoerfer, Sven [Universitaet Erlangen-Nuernberg, Lehrstuhl fuer Technische Physik, Erlangen (Germany); Krone, Julia [Technische Universitaet Chemnitz, Institut fuer Physik (Germany)

    2017-11-15

    Based on its electronic, structural, chemical, and mechanical properties, many potential applications have been proposed for graphene. In order to realize these visions, graphene has to be synthesized, grown, or exfoliated with properties that are determined by the targeted application. Growth of so-called epitaxial graphene on silicon carbide by sublimation of silicon in an argon atmosphere is one particular method that could potentially lead to electronic applications. In this contribution we summarize our recent work on different aspects of epitaxial graphene growth and interface manipulation by intercalation, which was performed by a combination of low-energy electron microscopy, low-energy electron diffraction, atomic force microscopy and photoelectron spectroscopy. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Off-axis electron holography for the measurement of active dopants in silicon semiconductor devices

    International Nuclear Information System (INIS)

    Cooper, David

    2016-01-01

    There is a need in the semiconductor industry for a dopant profiling technique with nm-scale resolution. Here we demonstrate that off-axis electron holography can be used to provide maps of the electrostatic potential in semiconductor devices with nm-scale resolution. In this paper we will discuss issues regarding the spatial resolution and precision of the technique. Then we will discuss problems with specimen preparation and how this affects the accuracy of the measurements of the potentials. Finally we show results from experimental off-axis electron holography applied to nMOS and pMOS CMOS devices grown on bulk silicon and silicon- on-insulator type devices and present solutions to common problems that are encountered when examining these types of devices. (paper)

  16. Lifetime studies of self-activated photoluminescence in heavily silicon-doped GaAs

    Science.gov (United States)

    Sauncy, T.; Palsule, C. P.; Holtz, M.; Gangopadhyay, S.; Massie, S.

    1996-01-01

    We report results of a detailed temperature dependence study of photoluminescence lifetime and continuous emission properties in silicon-doped GaAs. The primary focus is on a defect-related emission at 1.269 eV (T=20 K). GaAs crystals were grown using molecular-beam epitaxy with most of the experiments conducted on a sample having a carrier concentration of 4.9×1018 cm-3. The intensity is seen to decrease above 100 K, with no corresponding decrease in the measured lifetime of 9.63+/-0.25 ns. The intensity decrease implies an activation energy of 19+/-2 meV, which is approximately one order of magnitude smaller than what was previously obtained for similar defects in Czochralski-grown GaAs with other dopants. We interpret our results in terms of a configuration coordinate model and obtain a more complete picture of the energy-level structure. The experiments indicate that the upper level in the recombination process is about 20 meV below the conduction-band continuum, with the lower state approximately 300 meV above the valence band. Our results are consistent with the identification of the corresponding defect complex microstructure as being a silicon-at-gallium substitution, weakly interacting with a gallium vacancy second-nearest neighbor, known as the Si-Y defect complex.

  17. Precision interplanar spacings measurements of boron doped silicon

    International Nuclear Information System (INIS)

    Soares, D.A.W.; Pimentel, C.A.F.

    1982-05-01

    A study of lattice parameters of boron doped silicon (10 14 -10 19 atom/cc) grown in and directions by Czochralski technique has been undertaken. Interplanar spacings (d) were measured by pseudo-Kossel technique to a precision up to 0,001%; different procedures to obtain d and the errors are discussed. It is concluded that the crystallographic planes contract preferentially and the usual study of parameter variation must be made as a function of d. The diffused B particularly contracts the [333] plane and in a more pronunciate way in high concentrations. An orientation dependence of the diffusion during growth was observed. (Author) [pt

  18. Characteristics of MOSFETs fabricated in silicon-on-insulator material formed by high-dose oxygen ion implantation

    International Nuclear Information System (INIS)

    Lam, H.W.; Pinizzotto, R.F.; Yuan, H.T.; Bellavance, D.W.

    1981-01-01

    By implanting a dose of 6 x 10 17 cm -2 of 32 O 2 + at 300 keV into a silicon wafer, a buried oxide layer is formed. Crystallinity of the silicon layer above the buried oxide layer is maintained by applying a high (>200 0 C) substrate temperature during the ion implantation process. A two-step anneal cycle is found to be adequate to form the insulating buried oxide layer and to repair the implantation damage in the silicon layer on top of the buried oxide. A surface electron mobility as high as 710 cm 2 /Vs has been measured in n-channel MOSFETs fabricated in a 0.5 μm-thick epitaxial layer grown on the buried oxide wafer. A minimum subthreshold current of about 10 pA per micron of channel width at Vsub(DS)=2 V has been measured. (author)

  19. Specific features of doping with antimony during the ion-beam crystallization of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Pashchenko, A. S., E-mail: as.pashchenko@gmail.com; Chebotarev, S. N.; Lunin, L. S. [Russian Academy of Sciences, Southern Scientific Center (Russian Federation); Irkha, V. A. [Special Engineering and Technology Department “Inversiya” Ltd. (Russian Federation)

    2016-04-15

    A method of doping during the growth of thin films by ion-beam crystallization is proposed. By the example of Si and Sb, the possibility of controllably doping semiconductors during the ion-beam crystallization process is shown. A calibrated temperature dependence of the antimony vapor flow rate in the range from 150 to 400°C is obtained. It is established that, an increase in the evaporator temperature above 200°C brings about the accumulation of impurities in the layer growth direction. Silicon layers doped with antimony to a concentration of 10{sup 18} cm{sup –3} are grown. It is shown that, as the evaporator temperature is increased, the efficiency of the activation of antimony in silicon nonlinearly decreases from ~10{sup 0} to ~10{sup –3}.

  20. Low-field microwave absorption and magnetoresistance in iron nanostructures grown by electrodeposition on n-type lightly doped silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Felix, J.F. [Universidade Federal de Viçosa-UFV, Departamento de Física, 36570-900 Viçosa, MG (Brazil); Universidade de Brasília-UnB, Instituto de Física, Núcleo de Física Aplicada, 70910-900 Brasília, DF (Brazil); Figueiredo, L.C. [Universidade de Brasília-UnB, Instituto de Física, Núcleo de Física Aplicada, 70910-900 Brasília, DF (Brazil); Mendes, J.B.S. [Universidade Federal de Viçosa-UFV, Departamento de Física, 36570-900 Viçosa, MG (Brazil); Morais, P.C. [Universidade de Brasília-UnB, Instituto de Física, Núcleo de Física Aplicada, 70910-900 Brasília, DF (Brazil); Huazhong University of Science and Technology, School of Automation, 430074 Wuhan (China); Araujo, C.I.L. de., E-mail: dearaujo@ufv.br [Universidade de Brasília-UnB, Instituto de Física, Núcleo de Física Aplicada, 70910-900 Brasília, DF (Brazil)

    2015-12-01

    In this study we investigate magnetic properties, surface morphology and crystal structure in iron nanoclusters electrodeposited on lightly doped (100) n-type silicon substrates. Our goal is to investigate the spin injection and detection in the Fe/Si lateral structures. The samples obtained under electric percolation were characterized by magnetoresistive and magnetic resonance measurements with cycling the sweeping applied field in order to understand the spin dynamics in the as-produced samples. The observed hysteresis in the magnetic resonance spectra, plus the presence of a broad peak in the non-saturated regime confirming the low field microwave absorption (LFMA), were correlated to the peaks and slopes found in the magnetoresistance curves. The results suggest long range spin injection and detection in low resistive silicon and the magnetic resonance technique is herein introduced as a promising tool for analysis of electric contactless magnetoresistive samples. - Highlights: • Electrodeposition of Fe nanostructures on high resistive silicon substrates. • Spin polarized current among clusters through Si suggested by isotropic magnetoresistance. • Low field microwave absorption arising from the sample shape anisotropy. • Contactless magnetoresistive device characterization by resonance measurements.

  1. Fabrication of heterojunction solar cells by using microcrystalline hydrogenated silicon oxide film as an emitter

    International Nuclear Information System (INIS)

    Banerjee, Chandan; Sritharathikhun, Jaran; Konagai, Makoto; Yamada, Akira

    2008-01-01

    Wide gap, highly conducting n-type hydrogenated microcrystalline silicon oxide (μc-SiO : H) films were prepared by very high frequency plasma enhanced chemical vapour deposition at a very low substrate temperature (170 deg. C) as an alternative to amorphous silicon (a-Si : H) for use as an emitter layer of heterojunction solar cells. The optoelectronic properties of n-μc-SiO : H films prepared for the emitter layer are dark conductivity = 0.51 S cm -1 at 20 nm thin film, activation energy = 23 meV and E 04 = 2.3 eV. Czochralski-grown 380 μm thick p-type (1 0 0) oriented polished silicon wafers with a resistivity of 1-10 Ω cm were used for the fabrication of heterojunction solar cells. Photovoltaic parameters of the device were found to be V oc = 620 mV, J sc = 32.1 mA cm -2 , FF = 0.77, η = 15.32% (active area efficiency)

  2. Effect of impurities on the growth of {113} interstitial clusters in silicon under electron irradiation

    Science.gov (United States)

    Nakai, K.; Hamada, K.; Satoh, Y.; Yoshiie, T.

    2011-01-01

    The growth and shrinkage of interstitial clusters on {113} planes were investigated in electron irradiated Czochralski grown silicon (Cz-Si), floating-zone silicon (Fz-Si), and impurity-doped Fz-Si (HT-Fz-Si) using a high voltage electron microscope. In Fz-Si, {113} interstitial clusters were formed only near the beam incident surface after a long incubation period, and shrank on subsequent irradiation from the backside of the specimen. In Cz-Si and HT-Fz-Si, {113} interstitial clusters nucleated uniformly throughout the specimen without incubation, and began to shrink under prolonged irradiation at higher electron beam intensity. At lower beam intensity, however, the {113} interstitial cluster grew stably. These results demonstrate that the {113} interstitial cluster cannot grow without a continuous supply of impurities during electron irradiation. Detailed kinetics of {113} interstitial cluster growth and shrinkage in silicon, including the effects of impurities, are proposed. Then, experimental results are analyzed using rate equations based on these kinetics.

  3. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.

    2015-06-18

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  4. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.; Sgourou, E. N.; Londos, C. A.; Schwingenschlö gl, Udo

    2015-01-01

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  5. The role of defects in fluorescent silicon carbide layers grown by sublimation epitaxy

    DEFF Research Database (Denmark)

    Schimmel, Saskia; Kaiser, Michl; Jokubavicius, Valdas

    Donor-acceptor co-doped silicon carbide layers are promising light converters for novel monolithic all-semiconductor LEDs due to their broad-band donor-acceptor pair luminescence and potentially high internal quantum efficiency. Besides appropriate doping concentrations yielding low radiative...... lifetimes, high nonradiative lifetimes are crucial for efficient light conversion. Despite the excellent crystalline quality that can generally be obtained by sublimation epitaxy according to XRD measurements, the role of defects in f-SiC is not yet well understood. Recent results from room temperature...... photoluminescence, charge carrier lifetime measurements by microwave detected photoconductivity and internal quantum efficiency measurements suggest that the internal quantum efficiency of f-SiC layers is significantly affected by the incorporation of defects during epitaxy. Defect formation seems to be related...

  6. Water Splitting over Epitaxially Grown InGaN Nanowires on-Metallic Titanium/Silicon Template: Reduced Interfacial Transfer Resistance and Improved Stability

    KAUST Repository

    Ebaid, Mohamed

    2018-03-09

    Water splitting using InGaN-based photocatalysts may have a great contribution in future renewable energy production systems. Among the most important parameters to solve are those related to substrate lattice-matching compatibility. Here, we directly grow InGaN nanowires (NWs) on a metallic Ti/Si template, for improving water splitting performance compared to a bare Si substrate. The open circuit potential of the epitaxially grown InGaN NWs on metallic Ti was almost two times that of those grown on Si substrate. The interfacial transfer resistance was also reduced significantly after introducing the metallic Ti interlayer. An applied-bias-photon-to-current conversion efficiency of 2.2% and almost unity Faradic efficiency for hydrogen generation were achieved using this approach. The InGaN NWs grown on Ti showed improved stability of hydrogen generation under continuous operation conditions, when compared to those grown on Si, emphasizing the role of the semiconductor-on-metal approach in enhancing the overall efficiency of water splitting catalysts.

  7. Direct growth of vertically aligned carbon nanotubes on silicon substrate by spray pyrolysis of Glycine max oil

    Directory of Open Access Journals (Sweden)

    K. T. Karthikeyan

    2017-11-01

    Full Text Available Vertically aligned carbon nanotubes have been synthesized by spray pyrolysis from Glycine max oil on silicon substrate using ferrocene as catalyst at 650 °C. Glycine max oil, a plant-based hydrocarbon precursor was used as a source of carbon and argon as a carrier gas. The as-grown vertically aligned carbon nanotubes were characterized by scanning electron microscopy, high-resolution transmission electron microscopy, X-ray diffraction, thermogravimetric analysis, and Raman spectroscopy. Scanning electron microscopic images reveal that the dense bundles of aligned carbon nanotubes. High resolution transmission electron microscopy and Raman spectroscopy observations indicate that as-grown aligned carbon nanotubes are well graphitized.

  8. LASER ABLATION OF MONOCRYSTALLINE SILICON UNDER PULSED-FREQUENCY FIBER LASER

    Directory of Open Access Journals (Sweden)

    V. P. Veiko

    2015-05-01

    Full Text Available Subject of research. The paper deals with research of the surface ablation for single-crystal silicon wafers and properties of materials obtained in response to silicon ablation while scanning beam radiation of pulse fiber ytterbium laser with a wavelenght λ = 1062 nm in view of variation of radiation power and scanning modes. Method. Wafers of commercial p-type conductivity silicon doped with boron (111, n-type conductivity silicon doped with phosphorus (100 have been under research with a layer of intrinsical silicon oxide having the thickness equal to several 10 s of nanometers and SiO2 layer thickness from 120 to 300 nm grown by thermal oxidation method. The learning system comprises pulse fiber ytterbium laser with a wavelenght λ = 1062 nm. The laser rated-power output is equal to 20 W, pulse length is 100 ns. Pulses frequency is in the range from 20 kHz to 100 kHz. Rated energy in the pulse is equal to 1.0 mJ. Scanning has been carried out by means of two axial scanning device driven by VM2500+ and controlled by personal computer with «SinMarkТМ» software package. Scanning velocity is in the range from 10 mm/s to 4000 mm/s, the covering varies from 100 lines per mm to 3000 lines per mm. Control of samples has been carried out by means of Axio Imager A1m optical microscope Carl Zeiss production with a high definition digital video camera. All experiments have been carried out in the mode of focused laser beam with a radiation spot diameter at the substrate equal to 50 μm. The change of temperature and its distribution along the surface have been evaluated by FLIR IR imager of SC7000 series. Main results. It is shown that ablation occurs without silicon melting and with plasma torch origination. The particles of ejected silicon take part in formation of silicon ions plasma and atmosphere gases supporting the plasmo-chemical growth of SiO2. The range of beam scanning modes is determined where the growth of SiO2 layer is observed

  9. Influence of ion bombardment on microcrystalline silicon material quality and solar cell performances

    OpenAIRE

    Bugnon, G; Feltrin, A; Sculati-Meillaud, F; Bailat, J; Ballif, C

    2008-01-01

    Microcrystalline hydrogenated silicon growth with VHF-PECVD was examined in an industrial type parallel plate KAITM reactor. The influence of pressure on material quality was studied in single junction solar cells. Solar cells with their intrinsic layer prepared at higher pressures exhibit remarkable improvements, reaching 8.2% efficiency at 3.5 mbar. Further analyzes showed that μc- Si:H intrinsic layers grown at higher pressures have a significantly lower defect density. These results are a...

  10. Diatom-induced silicon isotopic fractionation in Antarctic sea ice

    Science.gov (United States)

    Francois, F.; Damien, C.; Jean-Louis, T.; Anthony, W.; Luc, A.

    2006-12-01

    grown in a semi-closed system in which the dissolved silicon pool (i.e. brines) is partially replenished. Finally, we show that the average silicon-isotopic composition of the sea-ice diatoms (+0.63 p.mil) is very distinct from the one of biogenic silica in the seasonal ice zone mixed layer (+0.08 p.mil) indicating that sea- ice diatoms either contribute to an insignificant part of the whole diatoms biomass in the upper water layer (without affecting the silicon-isotopic budget), and/or that they are directly exported below the mixed layer. In this latter case, we will study the possibility to use the distinct signature of the sea ice diatoms as a tracer of paleo-sea ice extension in oceanic sediments.

  11. Atomic characterization of Au clusters in vapor-liquid-solid grown silicon nanowires

    International Nuclear Information System (INIS)

    Chen, Wanghua; Roca i Cabarrocas, Pere; Pareige, Philippe; Castro, Celia; Xu, Tao; Grandidier, Bruno; Stiévenard, Didier

    2015-01-01

    By correlating atom probe tomography with other conventional microscope techniques (scanning electron microscope, scanning transmission electron microscope, and scanning tunneling microscopy), the distribution and composition of Au clusters in individual vapor-liquid-solid grown Si nanowires is investigated. Taking advantage of the characteristics of atom probe tomography, we have developed a sample preparation method by inclining the sample at certain angle to characterize the nanowire sidewall without using focused ion beam. With three-dimensional atomic scale reconstruction, we provide direct evidence of Au clusters tending to remain on the nanowire sidewall rather than being incorporated into the Si nanowires. Based on the composition measurement of Au clusters (28% ± 1%), we have demonstrated the supersaturation of Si atoms in Au clusters, which supports the hypothesis that Au clusters are formed simultaneously during nanowire growth rather than during the cooling process

  12. Atomic characterization of Au clusters in vapor-liquid-solid grown silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Wanghua; Roca i Cabarrocas, Pere [Laboratoire de Physique des Interfaces et Couches Minces (LPICM), UMR 7647, CNRS, Ecole Polytechnique, 91128 Palaiseau (France); Pareige, Philippe; Castro, Celia [Groupe de Physique des Matériaux (GPM), Université et INSA de Rouen, UMR 6634, CNRS, Av. de l' Université, BP 12, 76801 Saint Etienne du Rouvray (France); Xu, Tao; Grandidier, Bruno; Stiévenard, Didier [Institut d' Electronique et de Microélectronique et de Nanotechnologies (IEMN), UMR 8520, CNRS, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France)

    2015-09-14

    By correlating atom probe tomography with other conventional microscope techniques (scanning electron microscope, scanning transmission electron microscope, and scanning tunneling microscopy), the distribution and composition of Au clusters in individual vapor-liquid-solid grown Si nanowires is investigated. Taking advantage of the characteristics of atom probe tomography, we have developed a sample preparation method by inclining the sample at certain angle to characterize the nanowire sidewall without using focused ion beam. With three-dimensional atomic scale reconstruction, we provide direct evidence of Au clusters tending to remain on the nanowire sidewall rather than being incorporated into the Si nanowires. Based on the composition measurement of Au clusters (28% ± 1%), we have demonstrated the supersaturation of Si atoms in Au clusters, which supports the hypothesis that Au clusters are formed simultaneously during nanowire growth rather than during the cooling process.

  13. Modeling of Particle Engulfment during the Growth of Crystalline Silicon for Solar Cells

    Science.gov (United States)

    Tao, Yutao

    A major challenge for the growth of multi-crystalline silicon is the formation of carbide and nitride precipitates in the melt that are engulfed by the solidification front to form inclusions. These lower cell efficiency and can lead to wafer breakage and sawing defects. Minimizing the number of these engulfed particles will promote lower cost and higher quality silicon and will advance progress in commercial solar cell production. To better understand the physical mechanisms responsible for such inclusions during crystal growth, we have developed finite-element, moving-boundary analyses to assess particle dynamics during engulfment via solidification fronts. Two-dimensional, steady-state and dynamic models are developed using the Galerkin finite element method and elliptic mesh generation techniques in an arbitrary Eulerian-Lagrangian (ALE) implementation. This numerical approach allows for an accurate representation of forces and dynamics previously inaccessible by approaches using analytical approximations. We reinterpret the significance of premelting via the definition of an unambiguous critical velocity for engulfment from steady-state analysis and bifurcation theory. Parametric studies are then performed to uncover the dependence of critical growth velocity upon some important physical properties. We also explore the complicated transient behaviors due to oscillating crystal growth conditions as well as the nonlinear nature related with temperature gradients and solute effects in the system. When compared with results for the SiC-Si system measured during ParSiWal experiments conducted by our collaborators, our model predicts a more realistic scaling of critical velocity with particle size than that predicted by prior theories. However, the engulfment growth velocity observed in the subsequent experiment onboard the TEXUS sounding rocket mission turned out to be unexpectedly higher. To explain this model discrepancy, a macroscopic model is developed in order

  14. Influence of the structural and compositional properties of PECVD silicon nitride layers on the passivation of AIGaN/GaN HEMTs

    NARCIS (Netherlands)

    Karouta, F.; Krämer, M.C.J.C.M.; Kwaspen, J.J.M.; Grzegorczyk, A.; Hageman, P.R.; Hoex, B.; Kessels, W.M.M.; Klootwijk, J.H.; Timmering, E.C.; Smit, M.K.; Wang, J.; Shiojima, K.

    2008-01-01

    We have investigated the influence of the structural and compositional properties of silicon nitride layers on the passivation of AlGaN/GaN HEMTs grown on sapphire substrates by assessing their continuous wave (CW) and pulsed current-voltage (I-V) characteristics. We have looked at the effect of

  15. Physiological and Proteomic Analysis in Chloroplasts of Solanum lycopersicum L. under Silicon Efficiency and Salinity Stress

    Directory of Open Access Journals (Sweden)

    Sowbiya Muneer

    2014-11-01

    Full Text Available Tomato plants often grow in saline environments in Mediterranean countries where salt accumulation in the soil is a major abiotic stress that limits its productivity. However, silicon (Si supplementation has been reported to improve tolerance against several forms of abiotic stress. The primary aim of our study was to investigate, using comparative physiological and proteomic approaches, salinity stress in chloroplasts of tomato under silicon supplementation. Tomato seedlings (Solanum lycopersicum L. were grown in nutrient media in the presence or absence of NaCl and supplemented with silicon for 5 days. Salinity stress caused oxidative damage, followed by a decrease in silicon concentrations in the leaves of the tomato plants. However, supplementation with silicon had an overall protective effect against this stress. The major physiological parameters measured in our studies including total chlorophyll and carotenoid content were largely decreased under salinity stress, but were recovered in the presence of silicon. Insufficient levels of net-photosynthesis, transpiration and stomatal conductance were also largely improved by silicon supplementation. Proteomics analysis of chloroplasts analyzed by 2D-BN-PAGE (second-dimensional blue native polyacrylamide-gel electrophoresis revealed a high sensitivity of multiprotein complex proteins (MCPs such as photosystems I (PSI and II (PSII to the presence of saline. A significant reduction in cytochrome b6/f and the ATP-synthase complex was also alleviated by silicon during salinity stress, while the complex forms of light harvesting complex trimers and monomers (LHCs were rapidly up-regulated. Our results suggest that silicon plays an important role in moderating damage to chloroplasts and their metabolism in saline environments. We therefore hypothesize that tomato plants have a greater capacity for tolerating saline stress through the improvement of photosynthetic metabolism and chloroplast proteome

  16. Modified porous silicon for electrochemical sensor of para-nitrophenol

    Energy Technology Data Exchange (ETDEWEB)

    Belhousse, S., E-mail: all_samia_b@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Belhaneche-Bensemra, N., E-mail: nbelhaneche@yahoo.fr [Ecole Nationale Polytechnique (ENP), 10, Avenue Hassen Badi, B.P. 182, 16200, El Harrach, Algiers (Algeria); Lasmi, K., E-mail: kahinalasmi@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Mezaache, I., E-mail: lyeso_44@hotmail.fr [Ecole Nationale Polytechnique (ENP), 10, Avenue Hassen Badi, B.P. 182, 16200, El Harrach, Algiers (Algeria); Sedrati, T., E-mail: tarek_1990m@hotmail.fr [Ecole Nationale Polytechnique (ENP), 10, Avenue Hassen Badi, B.P. 182, 16200, El Harrach, Algiers (Algeria); Sam, S., E-mail: Sabrina.sam@polytechnique.edu [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Tighilt, F.-Z., E-mail: mli_zola@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Gabouze, N., E-mail: ngabouze@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria)

    2014-11-15

    Highlights: • Hybrid device based on Porous silicon (PSi) and polythiophene (PTh) was prepared. • Three types of PSi/PTh hybrid structures were elaborated: PSi/PTh, oxide/PSi/PTh and Amino-propyltrimethoxysilane (APTMES)/oxide/PSi/PTh. • PTh was grafted on PSi using electrochemical polymerization. • The electrodetection of para-nitrophenol (p-NPh) was performed by cyclic voltammetry. • Oxide/PSi/PTh and APTMES/oxide/PSi/PTh, based electrochemical sensor showed a good response toward p-NPh. - Abstract: Hybrid structures based on polythiophene modified porous silicon was used for the electrochemical detection of para-nitrophenol, which is a toxic derivative of parathion insecticide and it is considered as a major toxic pollutant. The porous silicon was prepared by anodic etching in hydrofluodic acid. Polythiophene films were then grown by electropolymerisation of thiophene monomer on three different surfaces: hydrogenated PSi, oxidized PSi and amine-terminated PSi. The morphology of the obtained structures were observed by scanning electron microscopy and characterized by spectroscopy (FTIR). Cyclic voltammetry was used to study the electrochemical response of proposed structures to para-nitrophenol. The results show a high sensitivity of the sensor and a linearity of the electrochemical response in a large concentration interval ranging from 1.5 × 10{sup −8} M to the 3 × 10{sup −4}M.

  17. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  18. Probing the formation of silicon nano-crystals (Si-ncs) using variable energy positron annihilation spectroscopy

    Science.gov (United States)

    Knights, A. P.; Bradley, J. D. B.; Hulko, O.; Stevanovic, D. V.; Edwards, C. J.; Kallis, A.; Coleman, P. G.; Crowe, I. F.; Halsall, M. P.; Gwilliam, R. M.

    2011-01-01

    We describe preliminary results from studies of the formation of silicon nano-crystals (Si-ncs) embedded in stoichiometric, thermally grown SiO2 using Variable Energy Positron Annihilation Spectroscopy (VEPAS). We show that the VEPAS technique is able to monitor the introduction of structural damage. In SiO2 through the high dose Si+ ion implantation required to introduce excess silicon as a precursor to Si-nc formation. VEPAS is also able to characterize the rate of the removal of this damage with high temperature annealing, showing strong correlation with photoluminescence. Finally, VEPAS is shown to be able to selectively probe the interface between Si-ncs and the host oxide. Introduction of hydrogen at these interfaces suppresses the trapping of positrons at the interfaces.

  19. Aluminum Nitride Micro-Channels Grown via Metal Organic Vapor Phase Epitaxy for MEMs Applications

    Energy Technology Data Exchange (ETDEWEB)

    Rodak, L.E.; Kuchibhatla, S.; Famouri, P.; Ting, L.; Korakakis, D.

    2008-01-01

    Aluminum nitride (AlN) is a promising material for a number of applications due to its temperature and chemical stability. Furthermore, AlN maintains its piezoelectric properties at higher temperatures than more commonly used materials, such as Lead Zirconate Titanate (PZT) [1, 2], making AlN attractive for high temperature micro and nanoelectromechanical (MEMs and NEMs) applications including, but not limited to, high temperature sensors and actuators, micro-channels for fuel cell applications, and micromechanical resonators. This work presents a novel AlN micro-channel fabrication technique using Metal Organic Vapor Phase Epitaxy (MOVPE). AlN easily nucleates on dielectric surfaces due to the large sticking coefficient and short diffusion length of the aluminum species resulting in a high quality polycrystalline growth on typical mask materials, such as silicon dioxide and silicon nitride [3,4]. The fabrication process introduced involves partially masking a substrate with a silicon dioxide striped pattern and then growing AlN via MOVPE simultaneously on the dielectric mask and exposed substrate. A buffered oxide etch is then used to remove the underlying silicon dioxide and leave a free standing AlN micro-channel. The width of the channel has been varied from 5 ìm to 110 ìm and the height of the air gap from 130 nm to 800 nm indicating the stability of the structure. Furthermore, this versatile process has been performed on (111) silicon, c-plane sapphire, and gallium nitride epilayers on sapphire substrates. Reflection High Energy Electron Diffraction (RHEED), Atomic Force Microscopy (AFM), and Raman measurements have been taken on channels grown on each substrate and indicate that the substrate is influencing the growth of the AlN micro-channels on the SiO2 sacrificial layer.

  20. Efficiency Gain For Bi-Facial Multi-Crystalline Solar Cell With Uncapped Al2O3 And Local Firing-Through Al-BSF

    Energy Technology Data Exchange (ETDEWEB)

    Cesar, I.; Manshanden, P.; Janssen, G.; Weeber, A.W. [ECN Solar Energy, P.O. Box 1, 1755 ZG Petten (Netherlands); Granneman, E.; Siarheyeva, O. [Levitech BV, Versterkerstraat 10, 1322 AP Almere (Netherlands)

    2013-06-15

    The p-type bi-facial cell concept, p-PASHA (Passivated on all sides H- pattern), is developed at ECN and employs an uncapped AlOx passivation layer on the rear through which a screen printed H-pattern of aluminium contacts is fired. Here we report a net gain in cell efficiency of 0.2% absolute for the p-PASHA cell vs. industrial reference with the addition of a clean and an ALD step. Even higher gains up to 0.5% abs. are expected after optimization of the cell design and process. Apart from the efficiency gain, the bi-facial cell concept allows for 50-80% reduction in Al paste consumption, the use of thinner wafers, and consists of less processing steps compared to prevalent PERC concepts. The Al2O3 dielectric layer is deposited in the Levitrack, an industrial-type system for high-throughput Atomic Layer Deposition (ALD) developed by Levitech. The efficiency gain is obtained on multi-crystalline wafers, at a rear metal fraction of 40%. Localized IQE mapping, cross-sectional SEM investigation, resistance measurements and 2D simulation relate the efficiency improvement compared to our conventional process to better eutectic and BSF formation at the Al contact edges.

  1. 17th European photovoltaic solar energy conference and exhibition, Munich 22.-26.10.2001

    International Nuclear Information System (INIS)

    Nowak, S.

    2002-01-01

    This report for the Swiss Federal Office of Energy (SFOE) summarises the photovoltaics (PV) conference and exhibition held in Munich in October 2001 from the Swiss point of view. The contributions made by representatives of Swiss institutions and companies are presented including papers on the progress being made in third generation crystalline and multi-crystalline silicon technology, amorphous and micro-crystalline silicon solar cells, thin film solar cells based on compound semiconductors and thermo-photovoltaics. Further papers deal with PV modules on the market, building-integrated solar power systems and new developments in PV systems technology. The exhibition that accompanied the conference, including the 12 Swiss exhibitors who were present, is reviewed as are international market developments. Contributions concerning the application of photovoltaics in developing countries are also reviewed

  2. The 17{sup th} European photovoltaic solar energy conference and exhibition in Munich from a Swiss point of view; Die 17. europaeische Photovoltaikkonferenz in Muenchen aus Schweizer Sicht

    Energy Technology Data Exchange (ETDEWEB)

    Nowak, S.

    2002-07-01

    This report for the Swiss Federal Office of Energy (SFOE) summarises the photovoltaics (PV) conference and exhibition held in Munich in October 2001 from the Swiss point of view. The contributions made by representatives of Swiss institutions and companies are presented including papers on the progress being made in third generation crystalline and multi-crystalline silicon technology, amorphous and micro-crystalline silicon solar cells, thin film solar cells based on compound semiconductors and thermo-photovoltaics. Further papers deal with PV modules on the market, building-integrated solar power systems and new developments in PV systems technology. The exhibition that accompanied the conference, including the 12 Swiss exhibitors who were present, is reviewed as are international market developments. Contributions concerning the application of photovoltaics in developing countries are also reviewed.

  3. Inorganic materials for photovoltaics: Status and futures challenges

    Directory of Open Access Journals (Sweden)

    Slaoui A.

    2017-01-01

    Full Text Available This paper review the present technologies for the fabrication of solar cells and modules based on the most common semiconductors namely silicon, CuInGaSe(S and CdTe materials as well as on III-V concentrated photovoltaic cells and modules. For silion technology, we give insights on the growth of monocrystalline and multicrystalline silicon wafers and then we describe the most common solar cells designs and how to fabricate them. We also provide information about the fabrication of silicon modules and their performances. As for the thin-films solar cells, we present the structurale and optical properties of the CIGS and CdTe materials as well as the solar cell structures. The multi-junction concept cell that involves several III-V materials of different bandgaps is also described, and data on their fabrication, performances and mounting as modules are presented. Finally, a short outlook on the coming materials for solar cells is provided.

  4. Recent developments in photovoltaics

    International Nuclear Information System (INIS)

    Green, M.A.

    2004-01-01

    The photovoltaic market is booming with over 30% per annum compounded growth over the last five years. The government-subsidised urban-residential use of photovoltaics, particularly in Germany and Japan, is driving this sustained growth. Most of the solar cells being supplied to this market are 'first generation' devices based on crystalline or multi-crystalline silicon wafers. 'Second generation' thin-film solar cells based on amorphous silicon/hydrogen alloys or polycrystalline compound semiconductors are starting to appear on the market in increasing volume. Australian contributions in this area are the thin-film polycrystalline silicon-on-glass technology developed by Pacific Solar and the dye sensitised nanocrystalline titanium cells developed by Sustainable Technologies International. In these thin-film approaches, the major material cost component is usually the glass sheet onto which the film is deposited. After reviewing the present state of development of both cell and application technologies, the likely future development of photovoltaics is outlined. (author)

  5. Density gradient in SiO 2 films on silicon as revealed by positron annihilation spectroscopy

    Science.gov (United States)

    Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

    2002-06-01

    Positron annihilation spectroscopy of thermally grown and deposited SiO 2 films on silicon shows in a non-destructive manner that these films have a gradient in their density. The gradient is most pronounced for the oxide grown in dry oxygen. Oxidation in water-containing ambient results in an oxide with reduced gradient, similarly to the gradient in the deposited oxide. These observations are in accordance with earlier optical and other studies using stepwise etching or a set of samples of varying thickness. The effective oxygen charge, which is very likely one of the reasons for the difference in the W parameters of silica glass and quartz crystal, could be even higher at some localized configurations in the SiO 2 films resulting in increased positron trapping.

  6. Production of electronic grade lunar silicon by disproportionation of silicon difluoride

    Science.gov (United States)

    Agosto, William N.

    1993-01-01

    Waldron has proposed to extract lunar silicon by sodium reduction of sodium fluorosilicate derived from reacting sodium fluoride with lunar silicon tetrafluoride. Silicon tetrafluoride is obtained by the action of hydrofluoric acid on lunar silicates. While these reactions are well understood, the resulting lunar silicon is not likely to meet electronic specifications of 5 nines purity. Dale and Margrave have shown that silicon difluoride can be obtained by the action of silicon tetrafluoride on elemental silicon at elevated temperatures (1100-1200 C) and low pressures (1-2 torr). The resulting silicon difluoride will then spontaneously disproportionate into hyperpure silicon and silicon tetrafluoride in vacuum at approximately 400 C. On its own merits, silicon difluoride polymerizes into a tough waxy solid in the temperature range from liquid nitrogen to about 100 C. It is the silicon analog of teflon. Silicon difluoride ignites in moist air but is stable under lunar surface conditions and may prove to be a valuable industrial material that is largely lunar derived for lunar surface applications. The most effective driver for lunar industrialization may be the prospects for industrial space solar power systems in orbit or on the moon that are built with lunar materials. Such systems would require large quantities of electronic grade silicon or compound semiconductors for photovoltaics and electronic controls. Since silicon is the most abundant semimetal in the silicate portion of any solar system rock (approximately 20 wt percent), lunar silicon production is bound to be an important process in such a solar power project. The lunar silicon extraction process is discussed.

  7. Growth of a delta-doped silicon layer by molecular beam epitaxy on a charge-coupled device for reflection-limited ultraviolet quantum efficiency

    Science.gov (United States)

    Hoenk, Michael E.; Grunthaner, Paula J.; Grunthaner, Frank J.; Terhune, R. W.; Fattahi, Masoud; Tseng, Hsin-Fu

    1992-01-01

    Low-temperature silicon molecular beam epitaxy is used to grow a delta-doped silicon layer on a fully processed charge-coupled device (CCD). The measured quantum efficiency of the delta-doped backside-thinned CCD is in agreement with the reflection limit for light incident on the back surface in the spectral range of 260-600 nm. The 2.5 nm silicon layer, grown at 450 C, contained a boron delta-layer with surface density of about 2 x 10 exp 14/sq cm. Passivation of the surface was done by steam oxidation of a nominally undoped 1.5 nm Si cap layer. The UV quantum efficiency was found to be uniform and stable with respect to thermal cycling and illumination conditions.

  8. Structure and field emission of graphene layers on top of silicon nanowire arrays

    International Nuclear Information System (INIS)

    Huang, Bohr-Ran; Chan, Hui-Wen; Jou, Shyankay; Chen, Guan-Yu; Kuo, Hsiu-An; Song, Wan-Jhen

    2016-01-01

    Graphical abstract: - Highlights: • We prepared graphene on top of silicon nanowires by transfer-print technique. • Graphene changed from discrete flakes to a continuous by repeated transfer-print. • The triple-layer graphene had high electron field emission due to large edge ratio. - Abstract: Monolayer graphene was grown on copper foils and then transferred on planar silicon substrates and on top of silicon nanowire (SiNW) arrays to form single- to quadruple-layer graphene films. The morphology, structure, and electron field emission (FE) of these graphene films were investigated. The graphene films on the planar silicon substrates were continuous. The single- to triple-layer graphene films on the SiNW arrays were discontinuous and while the quadruple-layer graphene film featured a mostly continuous area. The Raman spectra of the graphene films on the SiNW arrays showed G and G′ bands with a singular-Lorentzian shape together with a weak D band. The D band intensity decreased as the number of graphene layers increased. The FE efficiency of the graphene films on the planar silicon substrates and the SiNW arrays varied with the number of graphene layers. The turn-on field for the single- to quadruple-layer graphene films on planar silicon substrates were 4.3, 3.7, 3.5 and 3.4 V/μm, respectively. The turn-on field for the single- to quadruple-layer graphene films on SiNW arrays decreased to 3.9, 3.3, 3.0 and 3.3 V/μm, respectively. Correlation of the FE with structure and morphology of the graphene films is discussed.

  9. Structure and field emission of graphene layers on top of silicon nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Bohr-Ran; Chan, Hui-Wen [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Jou, Shyankay, E-mail: sjou@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Chen, Guan-Yu [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Kuo, Hsiu-An; Song, Wan-Jhen [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China)

    2016-01-30

    Graphical abstract: - Highlights: • We prepared graphene on top of silicon nanowires by transfer-print technique. • Graphene changed from discrete flakes to a continuous by repeated transfer-print. • The triple-layer graphene had high electron field emission due to large edge ratio. - Abstract: Monolayer graphene was grown on copper foils and then transferred on planar silicon substrates and on top of silicon nanowire (SiNW) arrays to form single- to quadruple-layer graphene films. The morphology, structure, and electron field emission (FE) of these graphene films were investigated. The graphene films on the planar silicon substrates were continuous. The single- to triple-layer graphene films on the SiNW arrays were discontinuous and while the quadruple-layer graphene film featured a mostly continuous area. The Raman spectra of the graphene films on the SiNW arrays showed G and G′ bands with a singular-Lorentzian shape together with a weak D band. The D band intensity decreased as the number of graphene layers increased. The FE efficiency of the graphene films on the planar silicon substrates and the SiNW arrays varied with the number of graphene layers. The turn-on field for the single- to quadruple-layer graphene films on planar silicon substrates were 4.3, 3.7, 3.5 and 3.4 V/μm, respectively. The turn-on field for the single- to quadruple-layer graphene films on SiNW arrays decreased to 3.9, 3.3, 3.0 and 3.3 V/μm, respectively. Correlation of the FE with structure and morphology of the graphene films is discussed.

  10. Multifunctional epitaxial systems on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968 (United States); Prater, John Thomas [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such as threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  11. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  12. Engineered Emitters for Improved Silicon Photovoltaics

    Science.gov (United States)

    Kamat, Ronak A.

    In 2014, installation of 5.3GW of new Photovoltaic (PV) systems occurred in the United States, raising the total installed capacity to 16.36GW. Strong growth is predicted for the domestic PV market with analysts reporting goals of 696GW by 2020. Conventional single crystalline silicon cells are the technology of choice, accounting for 90% of the installations in the global commercial market. Cells made of GaAs offer higher efficiencies, but at a substantially higher cost. Thin film technologies such as CIGS and CdTe compete favorably with multi-crystalline Si (u-Si), but at 20% efficiency, still lag the c-Si cell in performance. The c-Si cell can be fabricated to operate at approximately 25% efficiency, but commercially the efficiencies are in the 18-21% range, which is a direct result of cost trade-offs between process complexity and rapid throughput. With the current cost of c-Si cell modules at nearly 0.60/W. The technology is well below the historic metric of 1/W for economic viability. The result is that more complex processes, once cost-prohibitive, may now be viable. An example is Panasonic's HIT cell which operates in the 22-24% efficiency range. To facilitate research and development of novel PV materials and techniques, RIT has developed a basic solar cell fabrication process. Student projects prior to this work had produced cells with 12.8% efficiency using p type substrates. This thesis reports on recent work to improve cell efficiencies while simultaneously expanding the capability of the rapid prototyping process. In addition to the p-Si substrates, cells have been produced using n-Si substrates. The cell emitter, which is often done with a single diffusion or implant has been re-engineered using a dual implant of the same dose. This dual-implanted emitter has been shown to lower contact resistance, increase Voc, and increase the efficiency. A p-Si substrate cell has been fabricated with an efficiency of 14.6% and n-Si substrate cell with a 13

  13. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001 Patterned Si Substrates by Metal Organic Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Ludovico Megalini

    2018-02-01

    Full Text Available We report on the use of InGaAsP strain-compensated superlattices (SC-SLs as a technique to reduce the defect density of Indium Phosphide (InP grown on silicon (InP-on-Si by Metal Organic Chemical Vapor Deposition (MOCVD. Initially, a 2 μm thick gallium arsenide (GaAs layer was grown with very high uniformity on exact oriented (001 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2 stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD; atomic force microscopy (AFM; transmission electron microscopy (TEM; and electron channeling contrast imaging (ECCI; which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer.

  14. Colloidal characterization of ultrafine silicon carbide and silicon nitride powders

    Science.gov (United States)

    Whitman, Pamela K.; Feke, Donald L.

    1986-01-01

    The effects of various powder treatment strategies on the colloid chemistry of aqueous dispersions of silicon carbide and silicon nitride are examined using a surface titration methodology. Pretreatments are used to differentiate between the true surface chemistry of the powders and artifacts resulting from exposure history. Silicon nitride powders require more extensive pretreatment to reveal consistent surface chemistry than do silicon carbide powders. As measured by titration, the degree of proton adsorption from the suspending fluid by pretreated silicon nitride and silicon carbide powders can both be made similar to that of silica.

  15. Effect of root and leaf applications of soluble silicon on blast development in rice

    Directory of Open Access Journals (Sweden)

    Isaias Severino Cacique

    2013-01-01

    Full Text Available Blast, caused by Pyricularia oryzae, is the most important fungal disease of rice worldwide. This study aimed to compare root and foliar supply of soluble silicon (Si on rice resistance to blast. The application of soluble Si to the roots increased Si concentration in leaf tissues as compare to plants grown in soil amended with calcium silicate. There was no increase in leaf Si concentration after soluble Si spray, regardless if the leaves were washed or not before analysis. X-ray microanalysis revealed that Si deposition was very similar on the leaf epidermis of plants sprayed with soluble Si, root amended with soluble Si or grown in soil amended with calcium silicate. The lesion size, the number of lesions per cm² of leaf and the area under blast progress curve were reduced for rice plants grown in soil that received the application of soluble Si or was amended with calcium silicate. The results of this study showed that the supply of soluble Si to the roots or its spray onto to the rice leaves can decrease blast symptoms.

  16. Deep level transient spectroscopy and minority carrier lifetime study on Ga-doped continuous Czochralski silicon

    Science.gov (United States)

    Yoon, Yohan; Yan, Yixin; Ostrom, Nels P.; Kim, Jinwoo; Rozgonyi, George

    2012-11-01

    Continuous-Czochralski (c-Cz) crystal growth has been suggested as a viable technique for the fabrication of photovoltaic Si wafers due to its low resistivity variation of any dopant, independent of segregation, compared to conventional Cz. In order to eliminate light induced degradation due to boron-oxygen traps in conventional p-type silicon wafers, gallium doped wafers have been grown by c-Cz method and investigated using four point probe, deep level transient spectroscopy (DLTS), and microwave-photoconductance decay. Iron-gallium related electrically active defects were identified using DLTS as the main lifetime killers responsible for reduced non-uniform lifetimes in radial and axial positions of the c-Cz silicon ingot. A direct correlation between minority carrier lifetime and the concentration of electrically active Fe-Ga pairs was established.

  17. Increasing the radiation resistance of single-crystal silicon epitaxial layers

    Directory of Open Access Journals (Sweden)

    Kurmashev Sh. D.

    2014-12-01

    Full Text Available The authors investigate the possibility of increasing the radiation resistance of silicon epitaxial layers by creating radiation defects sinks in the form of dislocation networks of the density of 109—1012 m–2. Such networks are created before the epitaxial layer is applied on the front surface of the silicon substrate by its preliminary oxidation and subsequent etching of the oxide layer. The substrates were silicon wafers KEF-4.5 and KDB-10 with a diameter of about 40 mm, grown by the Czochralski method. Irradiation of the samples was carried out using electron linear accelerator "Electronics" (ЭЛУ-4. Energy of the particles was 2,3—3,0 MeV, radiation dose 1015—1020 m–2, electron beam current 2 mA/m2. It is shown that in structures containing dislocation networks, irradiation results in reduction of the reverse currents by 5—8 times and of the density of defects by 5—10 times, while the mobility of the charge carriers is increased by 1,2 times. Wafer yield for operation under radiation exposure, when the semiconductor structures are formed in the optimal mode, is increased by 7—10% compared to the structures without dislocation networks. The results obtained can be used in manufacturing technology for radiation-resistant integrated circuits (bipolar, CMOS, BiCMOS, etc..

  18. Silicon induced improvement in morpho-physiological traits of maize (zea mays l.) under water deficit

    International Nuclear Information System (INIS)

    Amin, M.; Ahmad, R.; Basra, S.M.A.; Murtaza, G.

    2014-01-01

    Current water scarcity is an emerging issue in semi-arid regions like Pakistan and cause of deterioration in productivity of crops to reduce crop yield all over the world. Silicon is known to be better against the deleterious effects of drought on plant growth and development. A pot study was conducted to evaluate the effect of Si nutrition (0, 50, 100 and 150 mg/kg) on the growth of a relatively drought tolerant (P-33H25) and sensitive (FH-810) maize hybrids. Two levels of soil water content were used viz. 100 and 60% of field capacity. Water deficit condition in soil significantly reduced morphological and physiological attributes of maize plants. Silicon application significantly improved the plant height, leaf area per plant, primary root length, dry matter of shoot and roots and plant dry matter, water relation and gas exchange characteristics of both maize cultivars under water deficit condition. Poor growth of drought stressed plants was significantly improved with Si application. The silicon fertilized (100 mg/kg) drought stressed plants of hybrid P-33H25 produced maximum (21.68% more) plant dry matter as compared to plants that were not provided with silicon nutrition. Nonetheless, silicon application (150 mg/kg) resulted in maximum increase (26.03%) in plant dry weight of hybrid FH-810 plants that were grown under limited moisture supply i.e., 60% FC. In conclusion silicon application to drought stressed maize plants was better to improve the growth and dry matter could be attributed to improved osmotic adjustment, photosynthetic rate and lowered transpiration. (author)

  19. An attempt to specify thermal history in CZ silicon wafers and possibilities for its modification

    International Nuclear Information System (INIS)

    Kissinger, G.; Sattler, A.; Mueller, T.; Ammon, W. von

    2007-01-01

    The term thermal history of silicon wafers represents the whole variety of process parameters of crystal growth. The aim of this contribution is an attempt to specify thermal history by one parameter that is directly correlated to the bulk microdefect density. The parameter that reflects thermal history and correlates it with nucleation of oxide precipitates is the concentration of VO 2 complexes. The VO 2 concentration in silicon wafers is too low to be measured by FTIR but it can be obtained from the loss of interstitial oxygen during a standardized thermal treatment. Based on this, the vacancy concentration frozen during crystal cooling in the ingot can be calculated. RTA treatments above 1150 deg. C create a well defined level of the VO 2 concentration in silicon wafers. This means that a well controlled modification of the thermal history is possible. We also investigated the kinetics of reduction of the as-grown excess VO 2 concentration during RTA treatments at 950 deg. C and 1050 deg. C and the effectiveness of this attempt to totally delete the thermal history

  20. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  1. Three-dimensionally structured silicon as a substrate for the MOVPE growth of GaN nanoLEDs

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Li, Shunfeng; Soekmen, Uensal; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2009-06-15

    Three-dimensionally patterned Si(111) substrates are used to grow GaN based heterostructures by metalorganic vapour phase epitaxy, with the goal of fabricating well controlled, defect reduced GaN-based nanoLEDs. In contrast to other approaches to achieve GaN nanorods, we employed silicon substrates with deep etched nanopillars to control the GaN nanorods growth by varying the size and distance of the Si pillars. The small footprint of GaN nanorods grown on Si pillars minimise the influence of the lattice mismatched substrate and improve the material quality. For the Si pillars an inductively coupled plasma dry-etching process at cryogenic temperature has been developed. An InGaN/GaN multi quantum well (MQW) structure has been incorporated into the GaN nanorods. We found GaN nanostructures grown on top of the silicon pillars with a pyramidal shape. This shape results from a competitive growth on different facets as well as from surface diffusion of the growth species. Spatially resolved optical properties of the structures are analysed by cathodoluminescence. Strongly spatial-dependent MQW emission spectra indicate the growth rate differences on top of the rods. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Porous silicon: silicon quantum dots for photonic applications

    International Nuclear Information System (INIS)

    Pavesi, L.; Guardini, R.

    1996-01-01

    Porous silicon formation and structure characterization are briefly illustrated. Its luminescence properties rae presented and interpreted on the basis of exciton recombination in quantum dot structures: the trap-controlled hopping mechanism is used to describe the recombination dynamics. Porous silicon application to photonic devices is considered: porous silicon multilayer in general, and micro cavities in particular are described. The present situation in the realization of porous silicon LEDs is considered, and future developments in this field of research are suggested. (author). 30 refs., 30 figs., 13 tabs

  3. Aperture-time of oxygen-precursor for minimum silicon incorporation into the interface-layer in atomic layer deposition-grown HfO{sub 2}/Si nanofilms

    Energy Technology Data Exchange (ETDEWEB)

    Mani-Gonzalez, Pierre Giovanni [CINVESTAV-Unidad Querétaro, Querétaro 76230, Querétaro, Mexico and Departamento de Física y Matemáticas, Instituto de Ingeniería y Tecnología, Universidad Autónoma de Ciudad Juárez, Ave. Del Charro 450, Cd. Juárez C.P. 32310, Chihuahua (Mexico); Vazquez-Lepe, Milton Oswaldo [CINVESTAV-Unidad Querétaro, Querétaro 76230, Querétaro, Mexico and Departamento de Ingeniería de Proyectos, Universidad de Guadalajara, Guadalajara 45100, Jalisco (Mexico); Herrera-Gomez, Alberto, E-mail: aherrera@qro.cinvestav.mx [CINVESTAV-Unidad Querétaro, Querétaro 76230, Querétaro (Mexico)

    2015-01-15

    Hafnium oxide nanofilms were grown with atomic layer deposition on H-terminated Si (001) wafers employing tetrakis dimethyl amino hafnium (TDMA-Hf) and water as precursors. While the number of cycles (30) and the aperture-time for TDMA-Hf (0.08 s) were kept constant, the aperture-time (τ{sub H{sub 2O}}) for the oxidant-agent (H{sub 2}O) was varied from 0 to 0.10 s. The structure of the films was characterized with robust analysis employing angle-resolved x-ray photoelectron spectroscopy. In addition to a ∼1 nm hafnium oxide layer, a hafnium silicate interface layer, also ∼1 nm thick, is formed for τ{sub H{sub 2O}} > 0. The incorporation degree of silicon into the interface layer (i.e., the value of 1 − x in Hf{sub x}Si{sub 1−x}O{sub y}) shows a minimum of 0.32 for τ{sub H{sub 2O}} = 0.04 s. By employing the simultaneous method during peak-fitting analysis, it was possible to clearly resolve the contribution from the silicate and from oxide to the O 1s spectra, allowing for the assessment of the oxygen composition of each layer as a function of oxidant aperture time. The uncertainties of the peak areas and on the thickness and composition of the layers were calculated employing a rigorous approach.

  4. Effects of silicon-limitation on growth and morphology of Triparma laevis NIES-2565 (Parmales, Heterokontophyta).

    Science.gov (United States)

    Yamada, Kazumasa; Yoshikawa, Shinya; Ichinomiya, Mutsuo; Kuwata, Akira; Kamiya, Mitsunobu; Ohki, Kaori

    2014-01-01

    The order Parmales (Heterokontophyta) is a group of small-sized unicellular marine phytoplankton, which is distributed widely from tropical to polar waters. The cells of Parmales are surrounded by a distinctive cell wall, which consists of several siliceous plates fitting edge to edge. Phylogenetic and morphological analyses suggest that Parmales is one of the key organisms for elucidating the evolutionary origin of Bacillariophyceae (diatoms), the most successful heterokontophyta. The effects of silicon-limitation on growth and morphogenesis of plates were studied using a strain of Triparma laevis NIES-2565, which was cultured for the first time in artificial sea water. The cells of T. laevis were surrounded by eight plates when grown with sufficient silicon. However, plate formation became incomplete when cells were cultured in a medium containing low silicate (ca. silicon-limitation did not affect growth rate; cells continued to divide without changing their growth rate, even after all plates were lost. Loss of plates was reversible; when cells without plates were transferred to a medium containing sufficient silicate, regeneration of shield and ventral plates was followed by the formation of girdle and triradiate plates. The results indicate that the response to silicon-limitation of T. laevis is different from that of diatoms, where cell division becomes inhibited under such conditions.

  5. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  6. Hydrogenated amorphous silicon-selenium alloys - a short journey through parameter space

    International Nuclear Information System (INIS)

    Al-Dallal, S.; Al-Alawi, S.M.; Aljishi, S.

    1999-01-01

    Hydrogenated amorphous silicon-selenium alloy thin films were grown by capacity coupled radio frequency glow discharge decomposition of (SiH/sub 4/ + He) and (H/sub 2/S + He) gas mixtures. In this work we report on a study to correlate the deposition parameters of a-Si, Se:H thin films with its optical, electronic and spectroscopic properties. The alloy composition was varied by changing the gas volume ratio R/sub v/ = [H/sub 2/Se]/[SiH/sub 4/]. The films are characterized via infrared spectroscopy, photoconductivity, photoluminescence, constant current method and conductivity measurements. (author)

  7. MICROSTRUCTURING OF SILICON SINGLE CRYSTALS BY FIBER LASER IN HIGH-SPEED SCANNING MODE

    Directory of Open Access Journals (Sweden)

    T. A. Trifonova

    2015-11-01

    Full Text Available Subject of Study. The surface structure of the silicon wafers (substrate with a thermally grown silicon dioxide on the surface (of SiO2/Si is studied after irradiation by pulse fiber laser of ILI-1-20 type. The main requirements for exposure modes of the system are: the preservation of the integrity of the film of silicon dioxide in the process of microstructuring and the absence of interference of surrounding irradiated areas of the substrate. Method. Studies were carried out on silicon wafers KEF-4,5 oriented in the crystallographic plane (111 with the source (natural silicon dioxide (SiO2 with thickness of about 4 nm, and SiO2 with 40 nm and 150 nm thickness, grown by thermal oxidation in moist oxygen. Also, wafers KHB-10 oriented in the plane (100 with 500 nm thickness of thermal oxide were investigated. Irradiation of SiO2/Si system was produced by laser complex based on ytterbium fiber pulse laser ILI-1-20. Nominal output power of the laser was 20 W, and the laser wavelength was λ = 1062 nm. Irradiation was carried out by a focused beam spot with a diameter of 25 microns and a pulse repetition rate of 99 kHz. The samples with 150 nm and 40 nm thickness of SiO2 were irradiated at a power density equal to 1,2·102 W/cm2, and the samples of SiO2 with 500 nm thickness were irradiated at a power density equal to 2,0·102 W/cm2. Scanning was performed using a two-axis Coordinate Scanning Device based on VM2500+ drives with control via a PC with the software package "SinMarkTM." Only one scan line was used at the maximum speed of the beam equal to 8750 mm/s. Morphology control of the irradiated samples was conducted by an optical microscope ZeissA1M with high-resolution CCD array. A scanning probe microscope Nanoedicator of the NT-MDT company was used for structural measurements. Main Results. It has been shown that at a single exposure of high-frequency pulsed laser radiation on SiO2/Si system, with maintaining the integrity of the SiO2 film

  8. Opto-electro-modulated transient photovoltage and photocurrent system for investigation of charge transport and recombination in solar cells.

    Science.gov (United States)

    Shi, Jiangjian; Li, Dongmei; Luo, Yanhong; Wu, Huijue; Meng, Qingbo

    2016-12-01

    An opto-electro-modulated transient photovoltage/photocurrent system has been developed to probe microscopic charge processes of a solar cell in its adjustable operating conditions. The reliability of this system is carefully determined by electric circuit simulations and experimental measurements. Using this system, the charge transport, recombination and storage properties of a conventional multicrystalline silicon solar cell under different steady-state bias voltages, and light illumination intensities are investigated. This system has also been applied to study the influence of the hole transport material layer on charge extraction and the microscopic charge processes behind the widely considered photoelectric hysteresis in perovskite solar cells.

  9. Investigation of innovative silicon detector assembling solutions for hadron calorimeter modules

    International Nuclear Information System (INIS)

    Cai, G.; Ammannati, N.

    1995-01-01

    The application of large areas of silicon detector mosaics in calorimetry for high energy particles measurement in Physics has grown in the last few years and is still in progress. The high number of mosaic units in the calorimeter implies the following main requirements to be satisfied: a simple low cost for manufacturing and assembling, easy mountable/dismountable units, possibility to move or change silicon detectors easily, reliability of the electrical contacts between the aluminium layer on the silicon detector surface and the PCB breaker points.In order to satisfy the above requirements several assembling solutions have been investigated and tested recently, as fixed contact by using conducting epoxy-glues, mechanically dismountable contacts of gold-plated PCB copper to the silicon detectors, and others.The results of the tests show a general degradation of the original electrical characteristics of the contacts after of varying lengths operating times.This fact, due to corrosion phenomena assisted by chemical residuals in the contact interface, causes an irreversible damage of the detectors in the long term.In addition we found a room temperature interdiffusion of gold and copper.A promising solution to these problems can be achieved by careful removal of chemical, increase of golden layer of the PCB electrical copper contacts or aluminising them by pure aluminium vapour deposition in vacuum chamber.Thee estimated degradation time between the PCB copper and the aluminium film is very low in this case, and the risk of diffusion in the detector aluminium film surface is low along the whole operating life of the calorimeter. (orig.)

  10. Low temperature synthesis and field emission characteristics of single to few layered graphene grown using PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Khan, Sunny; Zulfequar, M.; Harsh; Husain, Mushahid, E-mail: mush_reslab@rediffmail.com

    2017-04-30

    Highlights: • Graphene was synthesized by PECVD system at a low temperature of 600 °C. • From different characterization techniques, the presence of single and few layered graphene was confirmed. • X-ray diffraction pattern of the graphene showed single crystalline nature of the film. • The as-grown graphene films were observed extremely good field emitters with long term emission current stability. - Abstract: In this work, high-quality graphene has successfully been synthesized on copper (Cu) coated Silicon (Si) substrate at very large-area by plasma enhanced chemical vapor deposition system. This method is low cost and highly effective for synthesizing graphene relatively at low temperature of 600 °C. Electron microscopy images have shown that surface morphology of the grown samples is quite uniform consisting of single layered graphene (SLG) to few layered graphene (FLG). Raman spectra reveal that graphene has been grown with high-quality having negligible defects and the observation of G and G' peaks is also an indicative of stokes phonon energy shift caused due to laser excitation. Scanning probe microscopy image also depicts the synthesis of single to few layered graphene. The field emission characteristics of as-grown graphene samples were studied in a planar diode configuration at room temperature. The graphene samples were observed to be a good field emitter having low turn-on field, higher field amplification factor and long term emission current stability.

  11. Porous silicon formation by hole injection from a back side p+/n junction for electrical insulation applications

    International Nuclear Information System (INIS)

    Fèvre, A; Menard, S; Defforge, T; Gautier, G

    2016-01-01

    In this paper, we propose to study the formation of porous silicon (PS) in low doped (1 × 10 14 cm −3 ) n-type silicon through hole injection from a back side p + /n junction in the dark. This technique is investigated within the framework of electrical insulation. Three different types of junctions are investigated. The first one is an epitaxial n-type layer grown on p + doped silicon wafer. The two other junctions are carried out by boron diffusion leading to p + regions with junction depths of 20 and 115 μm. The resulting PS morphology is a double layer with a nucleation layer (NL) and macropores fully filled with mesoporous material. This result is unusual for low doped n-type silicon. Morphology variations are described depending on the junction formation process, the electrolyte composition, the anodization current density and duration. In order to validate the more interesting industrial potentialities of the p + /n injection technique, a comparison is achieved with back side illumination in terms of resulting morphology and experiments confirm comparable results. Electrical characterizations of the double layer, including NL and fully filled macropores, are then performed. To our knowledge, this is the first electrical investigation in low doped n type silicon with this morphology. Compared to the bulk silicon, the measured electrical resistivities are 6–7 orders of magnitude higher at 373 K. (paper)

  12. Electronic transport through organophosphonate monolayers on silicon/silicon dioxide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bora, Achyut; Pathak, Anshuma; Tornow, Marc [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Liao, Kung-Ching; Schwartz, Jeffrey [Department of Chemistry, Princeton University, NJ (United States); Cattani-Scholz, Anna; Abstreiter, Gerhard [Walter Schottky Institut, TU Muenchen (Germany)

    2011-07-01

    Understanding the electronic transport through layered systems of organic functional layers on semiconductor surfaces is of major importance for future applications in nanoelectronics, photovoltaics and sensors. We have prepared self-assembled monolayers (SAMs) of 9,10-diphenyl-2,6-diphosphono-anthracene and 11-hydroxyundecyl phosphonic acid precursors on highly p-doped silicon surfaces coated with a 1 nm SiO{sub 2} layer. Contact angle, AFM and ellipsometry evidenced the homogeneity of the formed SAMs, and their thickness was determined to be 0.82{+-}0.07 nm and 1.13{+-}0.09 nm, respectively. We provided large area electrical contacts on top of the SAMs by a hanging Hg drop electrode. The measured I-V characteristics revealed an enhanced conductance of the aromatic vs. the aliphatic compounds, with current densities of the order of 10 A/m{sup 2} and 0.01 A/m{sup 2}, at 0.5 V, respectively. We analyzed the data in terms of non-resonant tunneling through the combined oxide-SAM barrier and found good qualitative agreement up to 0.2 V bias. Preliminary measurements on organized bilayers of anthracene bisphosphonates that were grown using techniques of coordination chemistry are discussed, too.

  13. Two-dimensionally grown single-crystal silicon nanosheets with tunable visible-light emissions.

    Science.gov (United States)

    Kim, Sung Wook; Lee, Jaejun; Sung, Ji Ho; Seo, Dong-jae; Kim, Ilsoo; Jo, Moon-Ho; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2014-07-22

    Since the discovery of graphene, growth of two-dimensional (2D) nanomaterials has greatly attracted attention. However, spontaneous growth of atomic two-dimensional (2D) materials is limitedly permitted for several layered-structure crystals, such as graphene, MoS2, and h-BN, and otherwise it is notoriously difficult. Here we report the gas-phase 2D growth of silicon (Si), that is cubic in symmetry, via dendritic growth and an interdendritic filling mechanism and to form Si nanosheets (SiNSs) of 1 to 13 nm in thickness. Thin SiNSs show strong thickness-dependent photoluminescence in visible range including red, green, and blue (RGB) emissions with the associated band gap energies ranging from 1.6 to 3.2 eV; these emission energies were greater than those from Si quantum dots (SiQDs) of the similar sizes. We also demonstrated that electrically driven white, as well as blue, emission in a conventional organic light-emitting diode (OLED) geometry with the SiNS assembly as the active emitting layers. Tunable light emissions in visible range in our observations suggest practical implications for novel 2D Si nanophotonics.

  14. Silicon scaffolds promoting three-dimensional neuronal web of cytoplasmic processes.

    Science.gov (United States)

    Papadopoulou, Evie L; Samara, Athina; Barberoglou, Marios; Manousaki, Aleka; Pagakis, Stamatis N; Anastasiadou, Ema; Fotakis, Costas; Stratakis, Emmanuel

    2010-06-01

    Primary neurons were grown on structured silicon (Si) substrates, in the absence of chemotropic factors or synthetic extracellular matrix. The Si substrates used for the study comprise hierarchical structures in the micro- and nanolength scales. The substrates were structured via femtosecond laser irradiation of the Si wafer, in a reactive SF(6) environment. Electron microscopy revealed that the neurons formed an elaborate web of cytoplasmic processes in the absence of glial elements. The neuronal cytoplasm autografted the depth of the spikes, and the neurite sprouting took place over the spikes surface. Here we demonstrate how microfabrication of a Si surface provides an excellent platform for multifaceted studies of neuronal specimens.

  15. Gigantic uphill drift of vacancies and self-interstitials in silicon

    International Nuclear Information System (INIS)

    Voronkov, V.V.; Falster, R.

    2009-01-01

    Point defect transport in a growing crystal includes a drift along the temperature gradient, G, at a velocity αG. It was not clear if the drift is negligible or strong in silicon crystal growth. It is now found that reported microdefect patterns in crystals grown with a temporarily halt provide a clear evidence in favour of a strong (even gigantic) drift of both kinds of intrinsic point defects. The drift coefficients α V (for vacancies) and α I (for self-interstitials) are deduced by fitting the simulating defect profiles to the observed location of halt-induced interstitial region immersed into a vacancy-type crystal.

  16. Property control of graphene aerogels by in situ growth of silicone polymer

    Science.gov (United States)

    Zhou, Shuai; Zhou, Xiang; Hao, Gazi; Jiang, Wei; Wang, Tianhe

    2018-05-01

    Modulation of the density (from 3.5 to 64 mg cm-3), hydrophobicity and oil-uptake capability of graphene aerogels in extensive ranges were achieved by reacting (3-Mercaptopropyl)trimethoxysilane (MPS) with graphene oxide solutions under heating. The reaction allowed a characteristic silicone substructure to be formed on graphene and joint the graphene layers firmly together. With the increase of MPS concentrations (≤ca. 0.2 vol%), the nano silicone polymer grown on graphene functioned as a "linker" and "spacer", leading to a substantial decrease of the aerogel density. Because of the formation of silicone polymer and the characteristic nano-micro substructures on the backbones of graphene aerogels, the graphene aerogels exhibited a high hydrophobicity with the water contact angle consistently exceeding 142 degrees. Functionalized graphene aerogels with a density of 3.5 mg cm-3 were conveniently fabricated that displayed an extraordinary oil absorption capacity, 182 times for lubricating oil and 143 times for n-hexane of its own weight. Furthermore, the aerogels maintained their ultra-high absorption capability even after 20 absorption-distillation cycles, due to structural integrity held by the strong interfacial adhesion between graphene sheets and polymer chains of aerogels. This study offers a promising graphene aerogels and also provides a strategy for fabricating extra low dense functional materials.

  17. Reduction in Recombination Current Density in Boron Doped Silicon Using Atomic Hydrogen

    Science.gov (United States)

    Young, Matthew Garett

    The solar industry has grown immensely in recent years and has reached a point where solar energy has now become inexpensive enough that it is starting to emerge as a mainstream electrical generation source. However, recent economic analysis has suggested that for solar to become a truly wide spread source of electricity, the costs still need to plummet by a factor of 8x. This demands new and innovative concepts to help lower such cost. In pursuit of this goal, this dissertation examines the use of atomic hydrogen to lessen the recombination current density in the boron doped region of n-type silicon solar cells. This required the development of a boron diffusion process that maintained the bulk lifetime of n-type silicon such that the recombination current density could be extracted by photoconductance spectroscopy. It is demonstrated that by hydrogenating boron diffusions, the majority carrier concentration can be controlled. By using symmetrically diffused test structures with quinhydrone-methanol surface passivation the recombination current density of a hydrogenated boron profile is shown to be less than that of a standard boron profile, by as much as 30%. This is then applied to a modified industrial silicon solar cell process to demonstrate an efficiency enhancement of 0.4%.

  18. Dynamic surface deformation of silicone elastomers for management of marine biofouling: laboratory and field studies using pneumatic actuation.

    Science.gov (United States)

    Shivapooja, Phanindhar; Wang, Qiming; Szott, Lizzy M; Orihuela, Beatriz; Rittschof, Daniel; Zhao, Xuanhe; López, Gabriel P

    2015-01-01

    Many strategies have been developed to improve the fouling release (FR) performance of silicone coatings. However, biofilms inevitably build on these surfaces over time. Previous studies have shown that intentional deformation of silicone elastomers can be employed to detach biofouling species. In this study, inspired by the methods used in soft-robotic systems, controlled deformation of silicone elastomers via pneumatic actuation was employed to detach adherent biofilms. Using programmed surface deformation, it was possible to release > 90% of biofilm from surfaces in both laboratory and field environments. A higher substratum strain was required to remove biofilms accumulated in the field environment as compared with laboratory-grown biofilms. Further, the study indicated that substratum modulus influences the strain needed to de-bond biofilms. Surface deformation-based approaches have potential for use in the management of biofouling in a number of technological areas, including in niche applications where pneumatic actuation of surface deformation is feasible.

  19. Characterization of bulk-micromachined direct-bonded silicon nanofilters

    Science.gov (United States)

    Tu, Jay K.; Huen, Tony; Szema, Robert; Ferrari, Mauro

    1998-03-01

    The ability to separate 30-100 nm particles - nanofiltration - is critical for many biomedical applications. Where this filtration needs to be absolute, such as for viral elimination in the blood fractionation process, the large variations in pore size found with conventional polymeric filters can lead to the unwanted presence of viruses in the filtrate. To overcome this problem, we have developed a filter with micromachined channels sandwiched between two bonded silicon wafers. These channels are formed through the selective deposition and then removal of a thermally-grown oxide, the thickness of which can be controlled to +/- 4 percent for 30 nm pores. In this paper, we will present both the gas and liquid characterization, and the filtration studies done on 44 and 100 nm beads.

  20. Ultra-Smooth ZnS Films Grown on Silicon via Pulsed Laser Deposition

    Science.gov (United States)

    Reidy, Christopher; Tate, Janet

    2011-10-01

    Ultra-smooth, high quality ZnS films were grown on (100) and (111) oriented Si wafers via pulsed laser deposition with a KrF excimer laser in UHV (10-9 Torr). The resultant films were examined with optical spectroscopy, electron diffraction, and electron probe microanalysis. The films have an rms roughness of ˜1.5 nm, and the film stoichiometry is approximately Zn:S :: 1:0.87. Additionally, each film exhibits an optical interference pattern which is not a function of probing location on the sample, indicating excellent film thickness uniformity. Motivation for high-quality ZnS films comes from a proposed experiment to measure carrier amplification via impact ionization at the boundary between a wide-gap and a narrow-gap semiconductor. If excited charge carriers in a sufficiently wide-gap harvester can be extracted into a narrow-gap host material, impact ionization may occur. We seek near-perfect interfaces between ZnS, with a direct gap between 3.3 and 3.7 eV, and Si, with an indirect gap of 1.1 eV.

  1. Carrier dynamics in silicon nanowires studied using optical-pump terahertz-probe spectroscopy

    Science.gov (United States)

    Beaudoin, Alexandre; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Morris, Denis

    2014-03-01

    The advance of non-contact measurements involving pulsed terahertz radiation presents great interests for characterizing electrical properties of a large ensemble of nanowires. In this work, N-doped and undoped silicon nanowires (SiNWs) grown by chemical vapour deposition (CVD) on quartz substrate were characterized using optical-pump terahertz probe (OPTP) transmission experiments. Our results show that defects and ionized impurities introduced by N-doping the CVD-grown SiNWs tend to reduce the photoexcited carrier lifetime and degrade their conductivity properties. Capture mechanisms by the surface trap states play a key role on the photocarrier dynamics in theses small diameters' (~100 nm) SiNWs and the doping level is found to alter this dynamics. We propose convincing capture and recombination scenarios that explain our OPTP measurements. Fits of our photoconductivity data curves, from 0.5 to 2 THz, using a Drude-plasmon conductivity model allow determining photocarrier mobility values of 190 and 70 cm2/V .s, for the undoped and N-doped NWs samples, respectively.

  2. Studies on silicon nitrides; Chikka keiso ni kansuru kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-10-31

    Sinters of silicon nitrides have excellent properties as a structural material, but their technological repercussion effect is not as much as has been expected. The cause is in insufficient understanding on the mutual relationship between microstructures and mechanical properties. Therefore, methods of controlling structures were first discussed in the studies on synthesis of high-tenacity ceramics. In order to achieve high reliability in material strength, discussions were given on means to have a structure developed with high reproducibility. Development was performed on {beta} powder which shows no abnormal grain growth and is stable at elevated temperatures. Then, quantitative evaluation was made on factors to manifest a self-compounding structure with columnar particles grown in ultrafine particles. The relationship between its chemical composition, microstructure and mechanical properties was also discussed. Particle shapes of silicon carbides and their fracture tenacity values were considered theoretically by using a drawing model. To evaluate the microstructure, it is important to determine the grain boundary composition, whereas an electric field radiation type high-performance electron microscope was developed. In discussing the fracture mechanism, a model was structured for behavior of covalent binding crystals against external stress. 164 refs., 95 figs., 10 tabs.

  3. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    Science.gov (United States)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  4. Growth and Nitrogen Fixation in Silicon and/or Potassium Fed Chickpeas Grown under Drought and Well Watered Conditions

    Directory of Open Access Journals (Sweden)

    Fawaz Kurdali

    2013-08-01

    Full Text Available A pot experiment was conducted to study the effects of silicon (Si and/or potassium (K on plant growth, nitrogen uptake and N2-fixation in water stressed (FC1 and well watered (FC2 chickpea plants using 15N and 13C isotopes. Three fertilizer rates of Si (Si50, Si100 and Si200 and one fertilizer rate of K were used. For most of the growth parameters, it was found that Si either alone or in combination with K was more effective to alleviate water stress than K alone. Increasing soil water level from FC1 to FC2 often had a positive impact on values of almost all studied parameters. The Si100K+ (FC1 and Si50K+ (FC2 treatments gave high enough amounts of N2-fixation, higher dry matter production and greater nitrogen yield. The percent increments of total N2-fixed in the above mentioned treatments were 51 and 47% over their controls, respectively. On the other hand, increasing leave’s dry matter in response to the solely added Si (Si50K- and Si100K- is associated with lower Δ13C under both watering regimes. This may indicate that Si fertilization had a beneficial effect on water use efficiency (WUE. Hence, Δ13C could be an adequate indicator of WUE in response to the exogenous supply of silicon to chickpea plants. Our results highlight that Si is not only involved in amelioration of growth and in maintaining of water status but it can be also considered an important element for the symbiotic performance of chickpea plants. It can be concluded that the synergistic effect of silicon and potassium fertilization with adequate irrigation improves growth and nitrogen fixation in chickpea plants.

  5. VV and VO2 defects in silicon studied with hybrid density functional theory

    KAUST Repository

    Christopoulos, Stavros Richard G

    2014-12-07

    The formation of VO (A-center), VV and VO2 defects in irradiated Czochralski-grown silicon (Si) is of technological importance. Recent theoretical studies have examined the formation and charge states of the A-center in detail. Here we use density functional theory employing hybrid functionals to analyze the formation of VV and VO2 defects. The formation energy as a function of the Fermi energy is calculated for all possible charge states. For the VV and VO2 defects double negatively charged and neutral states dominate, respectively.

  6. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  7. G-centers in irradiated silicon revisited: A screened hybrid density functional theory approach

    KAUST Repository

    Wang, H.

    2014-05-13

    Electronic structure calculations employing screened hybrid density functional theory are used to gain fundamental insight into the interaction of carbon interstitial (Ci) and substitutional (Cs) atoms forming the CiCs defect known as G-center in silicon (Si). The G-center is one of the most important radiation related defects in Czochralski grown Si. We systematically investigate the density of states and formation energy for different types of CiCs defects with respect to the Fermi energy for all possible charge states. Prevalence of the neutral state for the C-type defect is established.

  8. Geochemistry of silicon isotopes

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Tiping; Li, Yanhe; Gao, Jianfei; Hu, Bin [Chinese Academy of Geological Science, Beijing (China). Inst. of Mineral Resources; Jiang, Shaoyong [China Univ. of Geosciences, Wuhan (China).

    2018-04-01

    Silicon is one of the most abundant elements in the Earth and silicon isotope geochemistry is important in identifying the silicon source for various geological bodies and in studying the behavior of silicon in different geological processes. This book starts with an introduction on the development of silicon isotope geochemistry. Various analytical methods are described and compared with each other in detail. The mechanisms of silicon isotope fractionation are discussed, and silicon isotope distributions in various extraterrestrial and terrestrial reservoirs are updated. Besides, the applications of silicon isotopes in several important fields are presented.

  9. Forward-bias diode parameters, electronic noise, and photoresponse of graphene/silicon Schottky junctions with an interfacial native oxide layer

    Science.gov (United States)

    An, Yanbin; Behnam, Ashkan; Pop, Eric; Bosman, Gijs; Ural, Ant

    2015-09-01

    Metal-semiconductor Schottky junction devices composed of chemical vapor deposition grown monolayer graphene on p-type silicon substrates are fabricated and characterized. Important diode parameters, such as the Schottky barrier height, ideality factor, and series resistance, are extracted from forward bias current-voltage characteristics using a previously established method modified to take into account the interfacial native oxide layer present at the graphene/silicon junction. It is found that the ideality factor can be substantially increased by the presence of the interfacial oxide layer. Furthermore, low frequency noise of graphene/silicon Schottky junctions under both forward and reverse bias is characterized. The noise is found to be 1/f dominated and the shot noise contribution is found to be negligible. The dependence of the 1/f noise on the forward and reverse current is also investigated. Finally, the photoresponse of graphene/silicon Schottky junctions is studied. The devices exhibit a peak responsivity of around 0.13 A/W and an external quantum efficiency higher than 25%. From the photoresponse and noise measurements, the bandwidth is extracted to be ˜1 kHz and the normalized detectivity is calculated to be 1.2 ×109 cm Hz1/2 W-1. These results provide important insights for the future integration of graphene with silicon device technology.

  10. 18O isotopic tracer studies of silicon oxidation in dry oxygen

    International Nuclear Information System (INIS)

    Han, C.J.

    1986-01-01

    Oxidation of silicon in dry oxygen has been an important process in the integrated circuit industry for making gate insulators on metal-oxide-semiconductory (MOS) devices. This work examines this process using isotopic tracers of oxygen to determine the transport mechanisms of oxygen through silicon dioxide. Oxides were grown sequentially using mass-16 and mass-18 oxygen gas sources to label the oxygen molecules from each step. The resulting oxides are analyzed using secondary ion mass spectrometry (SIMS). The results of these analyses suggest two oxidant species are present during the oxidation, each diffuses and oxidizes separately during the process. A model from this finding using a sum of two linear-parabolic growth rates, each representing the growth rate from one of the oxidants, describes the reported oxidation kinetics in the literature closely. A fit of this relationship reveals excellent fits to the data for oxide thicknesses ranging from 30 A to 1 μm and for temperatures ranging from 800 to 1200 0 C. The mass-18 oxygen tracers also enable a direct observation of the oxygen solubility in the silicon dioxide during a dry oxidation process. The SIMS profiles establish a maximum solubility for interstitial oxygen at 1000 0 C at 2 x 10 20 cm -3 . Furthermore, the mass-18 oxygen profiles show negligible network diffusion during an 1000 0 C oxidation

  11. Fluidized bed deposition and evaluation of silicon carbide coatings on microspheres

    International Nuclear Information System (INIS)

    Federer, J.I.

    1977-01-01

    The fuel element for the HTGR is an array of closely packed fuel microspheres in a carbonaceous matrix. A coating of dense silicon carbide (SiC), along with pyrocarbon layers, is deposited on the fueled microspheres to serve as a barrier against diffusion of fission products. The microspheres are coated with silicon carbide in a fluidized bed by reaction of methyltrichlorosilane (CH 3 SiCl 3 or MTS) and hydrogen at elevated temperatures. The principal variables of coating temperature and reactant gas composition (H 2 /MTS ratio) have been correlated with coating rate, morphology, stoichiometry, microstructure, and density. The optimum temperature for depositing highly dense coatings is in the range 1475 to 1675 0 C. Lower temperatures result in silicon-rich deposits, while higher temperatures may cause unacceptable porosity. The optimum H 2 /MTS ratio for highly dense coatings is 20 or more (approximately 5% MTS or less). The amount of grown-in porosity increases as the H 2 /MTS ratio decreases below 20. The requirement that the H 2 /MTS ratio be about 20 or more imposes a practical restraint on coating rate, since increasing the total flow rate would eventually expel microspheres from the coating tube. Evaluation of stoichiometry, morphology, and microstructure support the above mentioned optimum conditions of temperature and reactant gas composition. 18 figures, 3 tables

  12. High-performance Schottky heterojunction photodetector with directly grown graphene nanowalls as electrodes.

    Science.gov (United States)

    Shen, Jun; Liu, Xiangzhi; Song, Xuefen; Li, Xinming; Wang, Jun; Zhou, Quan; Luo, Shi; Feng, Wenlin; Wei, Xingzhan; Lu, Shirong; Feng, Shuanglong; Du, Chunlei; Wang, Yuefeng; Shi, Haofei; Wei, Dapeng

    2017-05-11

    Schottky heterojunctions based on graphene-silicon structures are promising for high-performance photodetectors. However, existing fabrication processes adopt transferred graphene as electrodes, limiting process compatibility and generating pollution because of the metal catalyst. In this report, photodetectors are fabricated using directly grown graphene nanowalls (GNWs) as electrodes. Due to the metal-free growth process, GNWs-Si heterojunctions with an ultralow measured current noise of 3.1 fA Hz -1/2 are obtained, and the as-prepared photodetectors demonstrate specific detectivities of 5.88 × 10 13 cm Hz 1/2 W -1 and 2.27 × 10 14 cm Hz 1/2 W -1 based on the measured and calculated noise current, respectively, under ambient conditions. These are among the highest reported values for planar silicon Schottky photodetectors. In addition, an on/off ratio of 2 × 10 7 , time response of 40 μs, cut-off frequency of 8.5 kHz and responsivity of 0.52 A W -1 are simultaneously realized. The ultralow current noise is attributed to the excellent junction quality with a barrier height of 0.69 eV and an ideal factor of 1.18. Furthermore, obvious infrared photoresponse is observed in blackbody tests, and potential applications based on the photo-thermionic effect are discussed.

  13. Effect of preliminary annealing of silicon substrates on the spectral sensitivity of photodetectors in bipolar integrated circuits

    International Nuclear Information System (INIS)

    Blynskij, V.I.; Bozhatkin, O.A.; Golub, E.S.; Lemeshevskaya, A.M.; Shvedov, S.V.

    2010-01-01

    We examine the results of an effect of preliminary annealing on the spectral sensitivity of photodetectors in bipolar integrated circuits, formed in silicon grown by the Czochralski method. We demonstrate the possibility of substantially improving the sensitivity of photodetectors in the infrared region of the spectrum with twostep annealing. The observed effect is explained by participation of oxidation in the gettering process, where oxidation precedes formation of a buried n + layer in the substrate. (authors)

  14. Silicon heterojunction transistor

    International Nuclear Information System (INIS)

    Matsushita, T.; Oh-uchi, N.; Hayashi, H.; Yamoto, H.

    1979-01-01

    SIPOS (Semi-insulating polycrystalline silicon) which is used as a surface passivation layer for highly reliable silicon devices constitutes a good heterojunction for silicon. P- or B-doped SIPOS has been used as the emitter material of a heterojunction transistor with the base and collector of silicon. An npn SIPOS-Si heterojunction transistor showing 50 times the current gain of an npn silicon homojunction transistor has been realized by high-temperature treatments in nitrogen and low-temperature annealing in hydrogen or forming gas

  15. Oxidation Properties of Nitrogen-Doped Silicon Films Deposited from Si2H6 and NH3

    Science.gov (United States)

    Scheid, Emmanuel; Boyer, Pierre; Samitier, Josep; Hassani, Ahmed

    1994-03-01

    Si2H6/NH3 gas mixture was employed to obtain, by low-pressure chemical vapor deposition (LPCVD) at low temperature, nitrogen-doped silicon (NIDOS) films with various N/Si ratios. Thermal oxide was grown in dry oxygen at 900°C and 1100°C on NIDOS films. The result indicates that the nitrogen content of NIDOS films, assessed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), greatly influences their oxidation rate.

  16. Optimization of plasma parameters for the production of silicon nano-crystals

    CERN Document Server

    Chaabane, N; Vach, H; Cabarrocas, P R I

    2003-01-01

    We use silane-hydrogen plasmas to synthesize silicon nano-crystals in the gas phase and thermophoresis to collect them onto a cooled substrate. To distinguish between nano-crystals formed in the plasma and those grown on the substrate, as a result of surface and subsurface reactions, we have simultaneously deposited films on a conventional substrate heated at 250 deg. C and on a second substrate cooled down to 90 deg. C. A series of samples deposited at various discharge pressures, in the range of 400 mTorr to 1.2 Torr, have been characterized by Raman spectroscopy and ellipsometry. At low pressure (400-500 mTorr), the films are amorphous on the cold substrate and micro-crystalline on the hot one. As pressure increases, gas phase reactions lead to the formation of nano-crystalline particles which are attracted by the cold substrate due to thermophoresis. Consequently, we obtain nano-crystalline silicon thin films on the cold substrate and amorphous thin films on the heated one in the pressure range of 600-900...

  17. Characterisation of micro-strip and pixel silicon detectors before and after hadron irradiation

    CERN Document Server

    Allport, P.P

    2012-01-01

    The use of segmented silicon detectors for tracking and vertexing in particle physics has grown substantially since their introduction in 1980. It is now anticipated that roughly 50,000 six inch wafers of high resistivity silicon will need to be processed into sensors to be deployed in the upgraded experiments in the future high luminosity LHC (HL-LHC) at CERN. These detectors will also face an extremely severe radiation environment, varying with distance from the interaction point. The volume of required sensors is large and their delivery is required during a relatively short time, demanding a high throughput from the chosen suppliers. The current situation internationally, in this highly specialist market, means that security of supply for large orders can therefore be an issue and bringing additional potential vendors into the field can only be an advantage. Semiconductor companies that could include planar sensors suitable for particle physics in their product lines will, however, need to prove their pro...

  18. Thermal stability of an InAlN/GaN heterostructure grown on silicon by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Watanabe, Arata, E-mail: a.watanabe.106@nitech.jp; Freedsman, Joseph J.; Urayama, Yuya; Christy, Dennis [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466 8555 (Japan); Egawa, Takashi, E-mail: egawa.takashi@nitech.ac.jp [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466 8555 (Japan); Innovation Center for Multi-Business of Nitride Semiconductors, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466 8555 (Japan)

    2015-12-21

    The thermal stabilities of metal-organic chemical vapor deposition-grown lattice-matched InAlN/GaN/Si heterostructures have been reported by using slower and faster growth rates for the InAlN barrier layer in particular. The temperature-dependent surface and two-dimensional electron gas (2-DEG) properties of these heterostructures were investigated by means of atomic force microscopy, photoluminescence excitation spectroscopy, and electrical characterization. Even at the annealing temperature of 850 °C, the InAlN layer grown with a slower growth rate exhibited a smooth surface morphology that resulted in excellent 2-DEG properties for the InAlN/GaN heterostructure. As a result, maximum values for the drain current density (I{sub DS,max}) and transconductance (g{sub m,max}) of 1.5 A/mm and 346 mS/mm, respectively, were achieved for the high-electron-mobility transistor (HEMT) fabricated on this heterostructure. The InAlN layer grown with a faster growth rate, however, exhibited degradation of the surface morphology at an annealing temperature of 850 °C, which caused compositional in-homogeneities and impacted the 2-DEG properties of the InAlN/GaN heterostructure. Additionally, an HEMT fabricated on this heterostructure yielded lower I{sub DS,max} and g{sub m,max} values of 1 A/mm and 210 mS/mm, respectively.

  19. Analytical and Experimental Evaluation of Joining Silicon Carbide to Silicon Carbide and Silicon Nitride to Silicon Nitride for Advanced Heat Engine Applications Phase II

    Energy Technology Data Exchange (ETDEWEB)

    Sundberg, G.J.

    1994-01-01

    Techniques were developed to produce reliable silicon nitride to silicon nitride (NCX-5101) curved joins which were used to manufacture spin test specimens as a proof of concept to simulate parts such as a simple rotor. Specimens were machined from the curved joins to measure the following properties of the join interlayer: tensile strength, shear strength, 22 C flexure strength and 1370 C flexure strength. In parallel, extensive silicon nitride tensile creep evaluation of planar butt joins provided a sufficient data base to develop models with accurate predictive capability for different geometries. Analytical models applied satisfactorily to the silicon nitride joins were Norton's Law for creep strain, a modified Norton's Law internal variable model and the Monkman-Grant relationship for failure modeling. The Theta Projection method was less successful. Attempts were also made to develop planar butt joins of siliconized silicon carbide (NT230).

  20. Sharp boron spikes in silicon grown at reduced and atmospheric pressure by fast-gas-switching CVD

    NARCIS (Netherlands)

    Vink, A.T.; Roksnoer, P.J.; Maes, J.W.F.M.; Vriezema, C.J.; IJzendoorn, van L.J.; Zalm, P.C.

    1990-01-01

    Boron doping spikes in Si were grown by fast-gas-switching CVD at 800 and 850°C using Si2H6 and B2H6 in 0.03, 0.1 and 1 atm H2 as the carrier gas. The B2H6 doping gas was added for 2 s by two methods, namely during growth or as a flush while the Si2H6 flow was interrupted. High-resolution SIMS