WorldWideScience

Sample records for monolayer sam surface

  1. Growth and Functionality of Cells Cultured on Conducting and Semi-Conducting Surfaces Modified with Self-Assembled Monolayers (SAMs

    Directory of Open Access Journals (Sweden)

    Rajendra K. Aithal

    2016-02-01

    Full Text Available Bioengineering of dermal and epidermal cells on surface modified substrates is an active area of research. The cytotoxicity, maintenance of cell phenotype and long-term functionality of human dermal fibroblast (HDF cells on conducting indium tin oxide (ITO and semi-conducting, silicon (Si and gallium arsenide (GaAs, surfaces modified with self-assembled monolayers (SAMs containing amino (–NH2 and methyl (–CH3 end groups have been investigated. Contact angle measurements and infrared spectroscopic studies show that the monolayers are conformal and preserve their functional end groups. Morphological analyses indicate that HDFs grow well on all substrates except GaAs, exhibiting their normal spindle-shaped morphology and exhibit no visible signs of stress or cytoplasmic vacuolation. Cell viability analyses indicate little cell death after one week in culture on all substrates except GaAs, where cells died within 6 h. Cells on all surfaces proliferate except on GaAs and GaAs-ODT. Cell growth is observed to be greater on SAM modified ITO and Si-substrates. Preservation of cellular phenotype assessed through type I collagen immunostaining and positive staining of HDF cells were observed on all modified surfaces except that on GaAs. These results suggest that conducting and semi-conducting SAM-modified surfaces support HDF growth and functionality and represent a promising area of bioengineering research.

  2. Characterization of self-assembled monolayers on a ruthenium surface

    NARCIS (Netherlands)

    Shaheen, Amrozia; Sturm, Jacobus Marinus; Ricciardi, R.; Huskens, Jurriaan; Lee, Christopher James; Bijkerk, Frederik

    2017-01-01

    We have modified and stabilized the ruthenium surface by depositing a self-assembled monolayer (SAM) of 1-hexadecanethiol on a polycrystalline ruthenium thin film. The growth mechanism, dynamics, and stability of these monolayers were studied. SAMs, deposited under ambient conditions, on

  3. Electrochemical Properties of Alkanethiol Monolayers Adsorbed on Nanoporous Au Surfaces

    International Nuclear Information System (INIS)

    Chu, Yeon Yi; Seo, Bora; Kim, Jong Won

    2010-01-01

    We investigated the electrochemical properties of alkanethiol monolayers adsorbed on NPG surfaces by cyclic voltammetry and electrochemical impedance spectroscopy, and the results are compared to those on flat Au surfaces. The reductive desorption of alkanethiols on NPG surfaces is observed in more negative potential regions than that on flat Au surfaces due the stronger S-Au interaction on NPG surfaces. While the electron transfer through alkanethiol monolayers on flat Au surfaces occurs via a tunneling process through the monolayer films, the redox species can permeate through the monolayers on NPG surfaces to transfer the electrons to the Au surfaces. The results presented here will help to elucidate the intrinsic electrochemical properties of alkanethiol monolayers adsorbed on curved Au surfaces, particularly on the surface of AuNPs. Self-assembled monolayers (SAMs) of thiolate molecules on Au surfaces have been the subject of intensive research for the last few decades due to their unique physical and chemical properties. The well-organized surface structures of thiolate SAMs with various end-group functionalities can be further utilized for many applications in biology and nanotechnology. In addition to the practical applications, SAMs of thiolate molecules on Au surfaces also provide unique opportunities to address fundamental issues in surface chemistry such as self-organized surface structures, electron transfer behaviors, and moleculesubstrate interactions. Although there have been numerous reports on the fundamental physical and chemical properties of thiolate SAMs on Au surfaces, most of them were investigated on flat Au surfaces, typically on well-defined Au(111) surfaces

  4. Surface adhesion and confinement variation of Staphylococcus aurius on SAM surfaces

    Science.gov (United States)

    Amroski, Alicia; Olsen, Morgan; Calabrese, Joseph; Senevirathne, Reshani; Senevirathne, Indrajith

    2012-02-01

    Controlled surface adhesion of non - pathogenic gram positive strain, Staphylococcus aureus is interesting as a model system due to possible development of respective biosensors for prevention and detection of the pathogenic strain methicillin resistant Staphylococcus aureus (MRSA) and further as a study for bio-machine interfacing. Self Assembled Monolayers (SAM) with engineered surfaces of linear thiols on Au(111) were used as the substrate. Sub cultured S. aureus were used for the analysis. The SAM layered surfaces were dipped in 2 -- 4 Log/ml S. aureus solution. Subsequent surface adhesion at different bacterial dilutions on surfaces will be discussed, and correlated with quantitative and qualitative adhesion properties of bacteria on the engineered SAM surfaces. The bacteria adhered SAM surfaces were investigated using intermittent contact, noncontact, lateral force and contact modes of Atomic Force Microscopy (AFM).

  5. Mixed carboranethiol self-assembled monolayers on gold surfaces

    Science.gov (United States)

    Yavuz, Adem; Sohrabnia, Nima; Yilmaz, Ayşen; Danışman, M. Fatih

    2017-08-01

    Carboranethiol self-assembled monolayers on metal surfaces have been shown to be very convenient systems for surface engineering. Here we have studied pure and mixed self-assembled monolayers (SAMs) of three different carboranethiol (CT) isomers on gold surfaces. The isomers were chosen with dipole moments pointing parallel to (m-1-carboranethiol, M1), out of (m-9-carboranethiol, M9) and into (o-1-carboranethiol, O1) the surface plane, in order to investigate the effect of dipole moment orientation on the film properties. In addition, influence of the substrate surface morphology on the film properties was also studied by using flame annealed (FA) and template stripped (TS) gold surfaces. Contact angle measurements indicate that in M1/M9 and M1/O1 mixed SAMs, M1 is the dominant species on the surface even for low M1 ratio in the growth solution. Whereas for O1/M9 mixed SAMs no clear evidence could be observed indicating dominance of one of the species over the other one. Though contact angle values were lower and hysteresis values were higher for SAMs grown on TS gold surfaces, the trends in the behavior of the contact angles with changing mixing ratio were identical for SAMs grown on both substrates. Atomic force microscopy images of the SAMs on TS gold surfaces indicate that the films have similar morphological properties regardless of mixing ratio.

  6. Mixed carboranethiol self-assembled monolayers on gold surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yavuz, Adem [Micro and Nanotechnology Department, Graduate School of Natural and Applied Science, Middle East Technical University, Ankara 06800 (Turkey); Sohrabnia, Nima [Department of Chemistry, Middle East Technical University, Ankara 06800 (Turkey); Yilmaz, Ayşen [Micro and Nanotechnology Department, Graduate School of Natural and Applied Science, Middle East Technical University, Ankara 06800 (Turkey); Department of Chemistry, Middle East Technical University, Ankara 06800 (Turkey); Danışman, M. Fatih, E-mail: danisman@metu.edu.tr [Micro and Nanotechnology Department, Graduate School of Natural and Applied Science, Middle East Technical University, Ankara 06800 (Turkey); Department of Chemistry, Middle East Technical University, Ankara 06800 (Turkey)

    2017-08-15

    Highlights: • M1 binds to the gold surface preferentially when co-deposited with M9 or O1. • Contact angles show similar trends regardless of the gold substrate roughness. • Contact angles were lower, with higher hysteresis, on template stripped gold. • Mixed carboranethiol SAMs have similar morphological properties regardless of mixing ratio. - Abstract: Carboranethiol self-assembled monolayers on metal surfaces have been shown to be very convenient systems for surface engineering. Here we have studied pure and mixed self-assembled monolayers (SAMs) of three different carboranethiol (CT) isomers on gold surfaces. The isomers were chosen with dipole moments pointing parallel to (m-1-carboranethiol, M1), out of (m-9-carboranethiol, M9) and into (o-1-carboranethiol, O1) the surface plane, in order to investigate the effect of dipole moment orientation on the film properties. In addition, influence of the substrate surface morphology on the film properties was also studied by using flame annealed (FA) and template stripped (TS) gold surfaces. Contact angle measurements indicate that in M1/M9 and M1/O1 mixed SAMs, M1 is the dominant species on the surface even for low M1 ratio in the growth solution. Whereas for O1/M9 mixed SAMs no clear evidence could be observed indicating dominance of one of the species over the other one. Though contact angle values were lower and hysteresis values were higher for SAMs grown on TS gold surfaces, the trends in the behavior of the contact angles with changing mixing ratio were identical for SAMs grown on both substrates. Atomic force microscopy images of the SAMs on TS gold surfaces indicate that the films have similar morphological properties regardless of mixing ratio.

  7. Electrochemical characterization of a 1,8-octanedithiol self-assembled monolayer (ODT-SAM) on a Au(111) single crystal electrode

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Raya, Daniel; Madueno, Rafael; Sevilla, Jose Manuel; Blazquez, Manuel; Pineda, Teresa [Departamento de Quimica Fisica y Termodinamica Aplicada, Universidad de Cordoba, Campus de Rabanales, Cordoba (Spain)

    2008-11-15

    Recently, it has becoming increasingly important to control the organization of self-assembled monolayers (SAMs) of {omega}-functionalized thiols for its potential applications in the construction of more complex molecular architectures. In this paper, we report on the spontaneous formation of a SAM of octanedithiol (ODT) as a function of the modification time. Electrochemical techniques such as cyclic voltammetry, double layer capacitance and electrochemical impedance spectroscopy are used for the characterization of this monolayer. The increase in modification time brings about changes in the octanedithiol self-assembled monolayer (ODT-SAM) reductive desorption voltammograms that indicate an evolution toward a more ordered and compact monolayer. This trend has also been found by following the changes in the electron transfer processes of the redox probe K{sub 3}Fe(CN){sub 6}. In fact, the ODT-SAM formed at low-modification time does not significantly perturb the electrochemical response as it is typical of either a low coverage or of the presence of large defects in the layer. Upon increasing the modification time, the voltammograms of the redox probe adopt a sigmoidal shape indicating the existence of pinholes in the monolayer distributed as an array of microelectrodes. The surface coverage as well as the size and distribution of these pinholes have been determined by the impedance technique that gives a more reliable evaluation of these monolayer structural parameters. (author)

  8. Electrochemical characterization of a 1,8-octanedithiol self-assembled monolayer (ODT-SAM) on a Au(1 1 1) single crystal electrode

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Raya, Daniel; Madueno, Rafael; Sevilla, Jose Manuel; Blazquez, Manuel [Departamento de Quimica Fisica y Termodinamica Aplicada, Universidad de Cordoba, Campus de Rabanales, Ed. Marie Curie, E-14071 Cordoba (Spain); Pineda, Teresa [Departamento de Quimica Fisica y Termodinamica Aplicada, Universidad de Cordoba, Campus de Rabanales, Ed. Marie Curie, E-14071 Cordoba (Spain)], E-mail: tpineda@uco.es

    2008-11-15

    Recently, it has becoming increasingly important to control the organization of self-assembled monolayers (SAMs) of {omega}-functionalized thiols for its potential applications in the construction of more complex molecular architectures. In this paper, we report on the spontaneous formation of a SAM of octanedithiol (ODT) as a function of the modification time. Electrochemical techniques such as cyclic voltammetry, double layer capacitance and electrochemical impedance spectroscopy are used for the characterization of this monolayer. The increase in modification time brings about changes in the octanedithiol self-assembled monolayer (ODT-SAM) reductive desorption voltammograms that indicate an evolution toward a more ordered and compact monolayer. This trend has also been found by following the changes in the electron transfer processes of the redox probe K{sub 3}Fe(CN){sub 6}. In fact, the ODT-SAM formed at low-modification time does not significantly perturb the electrochemical response as it is typical of either a low coverage or of the presence of large defects in the layer. Upon increasing the modification time, the voltammograms of the redox probe adopt a sigmoidal shape indicating the existence of pinholes in the monolayer distributed as an array of microelectrodes. The surface coverage as well as the size and distribution of these pinholes have been determined by the impedance technique that gives a more reliable evaluation of these monolayer structural parameters.

  9. Method for selective immobilization of macromolecules on self assembled monolayer surfaces

    Science.gov (United States)

    Laskin, Julia [Richland, WA; Wang, Peng [Billerica, MA

    2011-11-29

    Disclosed is a method for selective chemical binding and immobilization of macromolecules on solid supports in conjunction with self-assembled monolayer (SAM) surfaces. Immobilization involves selective binding of peptides and other macromolecules to SAM surfaces using reactive landing (RL) of mass-selected, gas phase ions. SAM surfaces provide a simple and convenient platform for tailoring chemical properties of a variety of substrates. The invention finds applications in biochemistry ranging from characterization of molecular recognition events at the amino acid level and identification of biologically active motifs in proteins, to development of novel biosensors and substrates for stimulated protein and cell adhesion.

  10. Fabrication and tribological properties of self-assembled monolayer of n-alkyltrimethoxysilane on silicon: Effect of SAM alkyl chain length

    Science.gov (United States)

    Huo, Lixia; Du, Pengcheng; Zhou, Hui; Zhang, Kaifeng; Liu, Peng

    2017-02-01

    It is well known that the self-assembled organic molecules on a solid surface exhibit the friction-reducing performance. However, the effect of the molecular size of the self-assembled organic molecules has not been established. In the present work, self-assembled monolayers (SAMs) of n-alkyltrimethoxysilanes with different alkyl chain lengths (C6, C12, or C18) were fabricated on silicon substrate. The water contact angles of the SAMs increased from 26.8° of the hydroxylated silicon substrate to near 60° after self-assembly. The atomic force microscopy (AFM) analysis results showed that the mean roughness (Ra) of the SAMs decreased with increasing the alkyl chain length. The tribological properties of the SAMs sliding against Al2O3 ball were evaluated on an UMT-2 tribometer, and the worn surfaces of the samples were analyzed by means of Nano Scratch Tester and surface profilometry. It was found that lowest friction coefficient and smallest width of wear were achieved with the SAMs of C12 alkyl chain (C12-SAM). The superior friction reduction and wear resistance of the SAMs in comparison with the bare silicon substrate are attributed to good adhesion of the self-assembled films to the substrate, especially the C12-SAM with desirable alkyl chain length.

  11. Monolayer assembly and striped architecture of Co nanoparticles on organic functionalized Si surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Bae, S.-S.; Lim, D.K.; Park, J.-I.; Kim, S. [Korea Advanced Institute of Science and Technology, Department of Chemistry and School of Molecular Science (BK 21), Daejeon (Korea); Cheon, J. [Yonsei University, Department of Chemistry, College of Sciences, Seoul (Korea); Jeon, I.C. [Chonbuk National University, Department of Chemistry, College of Natural Sciences, Chonbuk (Korea)

    2005-03-01

    We present a new strategy to fabricate a monolayer assembly of Br-terminated Co nanoparticles on functionalized Si surfaces by using chemical covalent bonding and microcontact printing method. Self-assembled monolayers (SAMs) of the Co nanoparticles formed on the hydroxyl-terminated Si surface exhibit two-dimensional island networks with locally ordered arrays via covalent linkage between nanoparticles and surface. On the other hand, SAMs of the nanoparticles on the aminopropyl-terminated Si surface show an individual and random distribution over an entire surface. Furthermore, we have fabricated striped architectures of Co nanoparticles using a combination of microcontact printing and covalent linkage. Microcontact printing of octadecyltrichlorosilane and selective covalent linkage between nanoparticles and functionalized Si surfaces lead to a hybrid nanostructure with selectively assembled nanoparticles stripes on the patterned functionalized Si surfaces. (orig.)

  12. Toposelective electrochemical desorption of thiol SAMs from neighboring polycrystalline gold surfaces.

    Science.gov (United States)

    Tencer, Michal; Berini, Pierre

    2008-11-04

    We describe a method for the selective desorption of thiol self-assembled monolayers from gold surfaces having micrometer-scale separations on a substrate. In an electrolyte solution, the electrical resistance between the adjacent areas can be much lower than the resistance between a surface and the counter electrode. Also, both reductive and oxidative thiol desorption may occur. Therefore, the potentials of the surfaces must be independently controlled with a multichannel potentiostat and operating windows for a given thiol/electrolyte system must be established. In this study operating windows were established for 1-dodecanethiol-based SAMs in phosphate buffer, phosphate-buffered saline, and sodium hydroxide solution, and selective SAM removal was successfully performed in a four-electrode configuration.

  13. Fabrication and tribological properties of self-assembled monolayer of n-alkyltrimethoxysilane on silicon: Effect of SAM alkyl chain length

    International Nuclear Information System (INIS)

    Huo, Lixia; Du, Pengcheng; Zhou, Hui; Zhang, Kaifeng; Liu, Peng

    2017-01-01

    Highlights: • n-Alkyltrimethoxysilanes with various chain lengths were self-assembled on silicon. • Effect of alkyl chain lengths (C6, C12, or C18) on the SAMs was investigated. • Surface roughness of the SAMs decreased with increasing the alkyl chain lengths. • The C 12 -SAM possessed superior friction reduction and wear resistance. - Abstract: It is well known that the self-assembled organic molecules on a solid surface exhibit the friction-reducing performance. However, the effect of the molecular size of the self-assembled organic molecules has not been established. In the present work, self-assembled monolayers (SAMs) of n-alkyltrimethoxysilanes with different alkyl chain lengths (C 6 , C 12 , or C 18 ) were fabricated on silicon substrate. The water contact angles of the SAMs increased from 26.8° of the hydroxylated silicon substrate to near 60° after self-assembly. The atomic force microscopy (AFM) analysis results showed that the mean roughness (R a ) of the SAMs decreased with increasing the alkyl chain length. The tribological properties of the SAMs sliding against Al 2 O 3 ball were evaluated on an UMT-2 tribometer, and the worn surfaces of the samples were analyzed by means of Nano Scratch Tester and surface profilometry. It was found that lowest friction coefficient and smallest width of wear were achieved with the SAMs of C 12 alkyl chain (C 12 -SAM). The superior friction reduction and wear resistance of the SAMs in comparison with the bare silicon substrate are attributed to good adhesion of the self-assembled films to the substrate, especially the C 12 -SAM with desirable alkyl chain length.

  14. Organic surfaces exposed by self-assembled organothiol monolayers: Preparation, characterization, and application

    Science.gov (United States)

    Kind, Martin; Wöll, Christof

    2009-07-01

    Organic surfaces play a major role in materials science. Most surfaces that we touch in our daily lives are made from organic materials, e.g., vegetables, fruit, skin, wood, and textiles made from natural fibers. In the context of biology, organic surfaces play a prominent role too, proteins docking onto cell surfaces are a good example. To better understand the characteristics of organic surfaces, including physico-chemical properties like wettability or chemical reactivities and physical properties like friction and lubrication, a structurally well-defined model system that can be investigated with numerous analytical techniques is desirable. In the last two decades, one particular system, self-assembled monolayers or SAMs, have demonstrated their suitability for this purpose. In particular, organothiols consisting of an organic molecule with an attached SH-group are well suited to fabricating structurally well-defined adlayers of monolayer thickness on gold substrates using a simple preparation procedure. These ultrathin monolayers expose an organic surface with properties that can be tailored by varying the type of organothiol employed. After a short introduction into the preparation of SAMs, this article provides an overview of the possibilities and limitations of organic surfaces exposed by Au-thiolate SAMs. Applications are as diverse as the metallization of organic surfaces, a fundamental problem in materials science, and the fabrication of surfaces that resist the adsorption of proteins. In addition to a number of different case studies, we will also discuss the most powerful analytical techniques needed to characterize these important model systems.

  15. Fabrication and tribological properties of self-assembled monolayer of n-alkyltrimethoxysilane on silicon: Effect of SAM alkyl chain length

    Energy Technology Data Exchange (ETDEWEB)

    Huo, Lixia [National Key Laboratory of Science and Technology on Vacuum Technology and Physics, Lanzhou Institute of Physics, Lanzhou, Gansu 730010 (China); Institute of Polymer Science and Engineering, College of Chemistry and Chemical Engineering, Lanzhou University, Gansu 730000 (China); Du, Pengcheng [Institute of Polymer Science and Engineering, College of Chemistry and Chemical Engineering, Lanzhou University, Gansu 730000 (China); Zhou, Hui; Zhang, Kaifeng [National Key Laboratory of Science and Technology on Vacuum Technology and Physics, Lanzhou Institute of Physics, Lanzhou, Gansu 730010 (China); Liu, Peng, E-mail: pliu@lzu.edu.cn [Institute of Polymer Science and Engineering, College of Chemistry and Chemical Engineering, Lanzhou University, Gansu 730000 (China)

    2017-02-28

    Highlights: • n-Alkyltrimethoxysilanes with various chain lengths were self-assembled on silicon. • Effect of alkyl chain lengths (C6, C12, or C18) on the SAMs was investigated. • Surface roughness of the SAMs decreased with increasing the alkyl chain lengths. • The C{sub 12}-SAM possessed superior friction reduction and wear resistance. - Abstract: It is well known that the self-assembled organic molecules on a solid surface exhibit the friction-reducing performance. However, the effect of the molecular size of the self-assembled organic molecules has not been established. In the present work, self-assembled monolayers (SAMs) of n-alkyltrimethoxysilanes with different alkyl chain lengths (C{sub 6}, C{sub 12}, or C{sub 18}) were fabricated on silicon substrate. The water contact angles of the SAMs increased from 26.8° of the hydroxylated silicon substrate to near 60° after self-assembly. The atomic force microscopy (AFM) analysis results showed that the mean roughness (R{sub a}) of the SAMs decreased with increasing the alkyl chain length. The tribological properties of the SAMs sliding against Al{sub 2}O{sub 3} ball were evaluated on an UMT-2 tribometer, and the worn surfaces of the samples were analyzed by means of Nano Scratch Tester and surface profilometry. It was found that lowest friction coefficient and smallest width of wear were achieved with the SAMs of C{sub 12} alkyl chain (C{sub 12}-SAM). The superior friction reduction and wear resistance of the SAMs in comparison with the bare silicon substrate are attributed to good adhesion of the self-assembled films to the substrate, especially the C{sub 12}-SAM with desirable alkyl chain length.

  16. The SAM, not the electrodes, dominates charge transport in metal-monolayer//Ga2O3/gallium-indium eutectic junctions.

    Science.gov (United States)

    Reus, William F; Thuo, Martin M; Shapiro, Nathan D; Nijhuis, Christian A; Whitesides, George M

    2012-06-26

    The liquid-metal eutectic of gallium and indium (EGaIn) is a useful electrode for making soft electrical contacts to self-assembled monolayers (SAMs). This electrode has, however, one feature whose effect on charge transport has been incompletely understood: a thin (approximately 0.7 nm) film-consisting primarily of Ga(2)O(3)-that covers its surface when in contact with air. SAMs that rectify current have been measured using this electrode in Ag(TS)-SAM//Ga(2)O(3)/EGaIn (where Ag(TS) = template-stripped Ag surface) junctions. This paper organizes evidence, both published and unpublished, showing that the molecular structure of the SAM (specifically, the presence of an accessible molecular orbital asymmetrically located within the SAM), not the difference between the electrodes or the characteristics of the Ga(2)O(3) film, causes the observed rectification. By examining and ruling out potential mechanisms of rectification that rely either on the Ga(2)O(3) film or on the asymmetry of the electrodes, this paper demonstrates that the structure of the SAM dominates charge transport through Ag(TS)-SAM//Ga(2)O(3)/EGaIn junctions, and that the electrical characteristics of the Ga(2)O(3) film have a negligible effect on these measurements.

  17. Characterization of self-assembled monolayers (SAMs) on silicon substrate comparative with polymer substrate for Escherichia coli O157:H7 detection

    International Nuclear Information System (INIS)

    Moldovan, Carmen; Mihailescu, Carmen; Stan, Dana; Ruta, Lavinia; Iosub, Rodica; Gavrila, Raluca; Purica, Munizer; Vasilica, Schiopu

    2009-01-01

    This article presents the characterization of two substrates, silicon and polymer coated with gold, that are functionalized by mixed self-assembled monolayers (SAMs) in order to efficiently immobilize the anti-Escherichia coli O157:H7 polyclonal purified antibody. A biosurface functionalized by SAMs (self-assembled monolayers) technique has been developed. Immobilization of goat anti-E. coli O157:H7 antibody was performed by covalently bonding of thiolate mixed self-assembled monolayers (SAMs) realized on two substrates: polymer coated with gold and silicon coated with gold. The F(ab') 2 fragments of the antibodies have been used for eliminating nonspecific bindings between the Fc portions of antibodies and the Fc receptor on cells. The properties of the monolayers and the biofilm formatted with attached antibody molecules were analyzed at each step using infrared spectroscopy (FTIR-ATR), atomic force microscopy (AFM), scanning electron microscopy (SEM) and cyclic voltammetry (CV). In our study the gold-coated silicon substrates approach yielded the best results. These experimental results revealed the necessity to investigate each stage of the immobilization process taking into account in the same time the factors that influence the chemistry of the surface and the further interactions as well and also provide a solid basis for further studies aiming at elaborating sensitive and specific immunosensor or a microarray for the detection of E. coli O157:H7.

  18. Characterization of self-assembled monolayers (SAMs) on silicon substrate comparative with polymer substrate for Escherichia coli O157:H7 detection

    Energy Technology Data Exchange (ETDEWEB)

    Moldovan, Carmen, E-mail: carmen.moldovan@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Mihailescu, Carmen, E-mail: carmen_mihail28@yahoo.com [University of Bucharest, 90-92 Sos Panduri, Bucharest (Romania); Stan, Dana, E-mail: dana_stan2005@yahoo.com [DDS Diagnostic, 1 Segovia Street, Bucharest (Romania); Ruta, Lavinia, E-mail: laviniacoco@yahoo.com [University of Bucharest, 90-92 Sos Panduri, Bucharest (Romania); Iosub, Rodica, E-mail: rodica.iosub@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Gavrila, Raluca, E-mail: raluca.gavrila@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Purica, Munizer, E-mail: munizer.purica@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Vasilica, Schiopu, E-mail: vasilica.schiopu@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania)

    2009-08-30

    This article presents the characterization of two substrates, silicon and polymer coated with gold, that are functionalized by mixed self-assembled monolayers (SAMs) in order to efficiently immobilize the anti-Escherichia coli O157:H7 polyclonal purified antibody. A biosurface functionalized by SAMs (self-assembled monolayers) technique has been developed. Immobilization of goat anti-E. coli O157:H7 antibody was performed by covalently bonding of thiolate mixed self-assembled monolayers (SAMs) realized on two substrates: polymer coated with gold and silicon coated with gold. The F(ab'){sub 2} fragments of the antibodies have been used for eliminating nonspecific bindings between the Fc portions of antibodies and the Fc receptor on cells. The properties of the monolayers and the biofilm formatted with attached antibody molecules were analyzed at each step using infrared spectroscopy (FTIR-ATR), atomic force microscopy (AFM), scanning electron microscopy (SEM) and cyclic voltammetry (CV). In our study the gold-coated silicon substrates approach yielded the best results. These experimental results revealed the necessity to investigate each stage of the immobilization process taking into account in the same time the factors that influence the chemistry of the surface and the further interactions as well and also provide a solid basis for further studies aiming at elaborating sensitive and specific immunosensor or a microarray for the detection of E. coli O157:H7.

  19. Influence of indium-tin oxide surface structure on the ordering and coverage of carboxylic acid and thiol monolayers

    International Nuclear Information System (INIS)

    Cerruti, Marta; Rhodes, Crissy; Losego, Mark; Efremenko, Alina; Maria, Jon-Paul; Fischer, Daniel; Franzen, Stefan; Genzer, Jan

    2007-01-01

    This paper analyses the variability of self-assembled monolayers (SAMs) formation on ITO depending on the substrate surface features. In particular, we report on the formation of carboxylic acid- and thiol-based SAMs on two lots of commercially prepared indium-tin oxide (ITO) thin films. Contact angle measurements, electrochemical experiments, and near-edge x-ray absorption fine structure (NEXAFS) spectroscopy showed that the quality of monolayers formed differed substantially between the two ITO batches. Only one of the two ITO substrates was capable of forming well-organized thiol- and carboxylic acid-based SAMs. In order to rationalize these observations, atomic force microscopy and x-ray diffraction analyses were carried out, and SAMs were prepared on ITO substrates fabricated by sputtering in our laboratories. An attempt was made to influence the film microstructure and surface morphology by varying substrate temperatures during ITO deposition. Good-quality thiol and carboxylic acid SAMs were obtained on one of the ITO substrates prepared in-house. While our characterization could not single out conclusively one specific parameter in ITO surface structure that could be responsible for good SAMs formation, we could point out homogeneous surface morphology as a relevant factor for the quality of the SAMs. Evidence was also found for ITO crystallographic orientation to be a parameter influencing SAMs organization

  20. Better Organic Ternary Memory Performance through Self-Assembled Alkyltrichlorosilane Monolayers on Indium Tin Oxide (ITO) Surfaces.

    Science.gov (United States)

    Hou, Xiang; Cheng, Xue-Feng; Zhou, Jin; He, Jing-Hui; Xu, Qing-Feng; Li, Hua; Li, Na-Jun; Chen, Dong-Yun; Lu, Jian-Mei

    2017-11-16

    Recently, surface engineering of the indium tin oxide (ITO) electrode of sandwich-like organic electric memory devices was found to effectively improve their memory performances. However, there are few methods to modify the ITO substrates. In this paper, we have successfully prepared alkyltrichlorosilane self-assembled monolayers (SAMs) on ITO substrates, and resistive random access memory devices are fabricated on these surfaces. Compared to the unmodified ITO substrates, organic molecules (i.e., 2-((4-butylphenyl)amino)-4-((4-butylphenyl)iminio)-3-oxocyclobut-1-en-1-olate, SA-Bu) grown on these SAM-modified ITO substrates have rougher surface morphologies but a smaller mosaicity. The organic layer on the SAM-modified ITO further aged to eliminate the crystalline phase diversity. In consequence, the ternary memory yields are effectively improved to approximately 40-47 %. Our results suggest that the insertion of alkyltrichlorosilane self-assembled monolayers could be an efficient method to improve the performance of organic memory devices. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Self-assembled monolayer of ammonium pyrrolidine dithiocarbamate on copper detected using electrochemical methods, surface enhanced Raman scattering and quantum chemistry calculations

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Q.-Q., E-mail: liaoqq1971@yahoo.com.cn [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy-Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Yue, Z.-W.; Yang, D. [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy-Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Wang, Z.-H. [Department of Chemistry, Tongji University, Shanghai 200092 (China); Li, Z.-H. [Department of Chemistry, Fudan University, Shanghai 200433 (China); Ge, H.-H. [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy-Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Li, Y.-J. [Department of Chemistry, Tongji University, Shanghai 200092 (China)

    2011-07-29

    Ammonium pyrrolidine dithiocarbamate (APDTC) monolayer was self-assembled on fresh copper surface obtained after oxidation-reduction cycle treatment in 0.1 mol L{sup -1} potassium chloride solution at ambient temperature. The APDTC self-assembled monolayer (SAM) on copper surface was investigated by surface enhanced Raman scattering spectroscopy and the results show that APDTC SAM is chemisorbed on copper surface by its sulfur atoms with perpendicular orientation. The optimum immersing period for SAM formation is 4 h at 0.01 mol L{sup -1} concentration of APDTC. The impedance results indicate that APDTC SAM has good corrosion inhibition effects for copper in 0.5 mol L{sup -1} hydrochloric acid solution and its maximum inhibition efficiency could reach 95%. Quantum chemical calculations show that APDTC has relatively small {Delta}E between the highest occupied molecular orbital and the lowest unoccupied molecular orbital and large negative charge in its two sulfur atoms, which facilitate formation of an insulating Cu/APDTC film on copper surface.

  2. Surface characterization of sulfur and alkanethiol self-assembled monolayers on Au(111)

    International Nuclear Information System (INIS)

    Vericat, C; Vela, M E; Benitez, G A; Gago, J A Martin; Torrelles, X; Salvarezza, R C

    2006-01-01

    In the last two decades surface science techniques have decisively contributed to our present knowledge of alkanethiol self-assembled monolayers (SAMs) on solid surfaces. These organic layers have been a challenge for surface scientists, in particular because of the soft nature of the organic material (which can be easily damaged by irradiation), the large number of atoms present in the molecules, and the complex physical chemistry involved in the self-assembly process. This challenge has been motivated by the appealing technological applications of SAMs that cover many fields of the emerging area of nanotechnology. Sulfur (S) is closely related to alkanethiols and can be used to understand basic aspects of the surface structure of SAMs. In this review we focus on the atomic/molecular structures of S-containing SAMs on Au(111). Particular emphasis is given to the substrate, adsorption sites, chemical state of the S-metal bond and also to the experimental and theoretical tools used to study these structures at the atomic or molecular levels. (topical review)

  3. Surface characterization of sulfur and alkanethiol self-assembled monolayers on Au(111)

    Energy Technology Data Exchange (ETDEWEB)

    Vericat, C [Instituto de Investigaciones FisicoquImicas Teoricas y Aplicadas (INIFTA), Universidad Nacional de La Plata-CONICET, Sucursal 4 Casilla de Correo 16 (1900) La Plata (Argentina); Vela, M E [Instituto de Investigaciones FisicoquImicas Teoricas y Aplicadas (INIFTA), Universidad Nacional de La Plata-CONICET, Sucursal 4 Casilla de Correo 16 (1900) La Plata (Argentina); Benitez, G A [Instituto de Investigaciones FisicoquImicas Teoricas y Aplicadas (INIFTA), Universidad Nacional de La Plata-CONICET, Sucursal 4 Casilla de Correo 16 (1900) La Plata (Argentina); Gago, J A Martin [Centro de AstrobiologIa (CSIC-INTA), 28850 Torrejon de Ardoz Madrid (Spain); Torrelles, X [Instituto de Ciencia de Materiales de Barcelona (ICMAB), Barcelona (Spain); Salvarezza, R C [Instituto de Investigaciones FisicoquImicas Teoricas y Aplicadas (INIFTA), Universidad Nacional de La Plata-CONICET, Sucursal 4 Casilla de Correo 16 (1900) La Plata (Argentina)

    2006-12-06

    In the last two decades surface science techniques have decisively contributed to our present knowledge of alkanethiol self-assembled monolayers (SAMs) on solid surfaces. These organic layers have been a challenge for surface scientists, in particular because of the soft nature of the organic material (which can be easily damaged by irradiation), the large number of atoms present in the molecules, and the complex physical chemistry involved in the self-assembly process. This challenge has been motivated by the appealing technological applications of SAMs that cover many fields of the emerging area of nanotechnology. Sulfur (S) is closely related to alkanethiols and can be used to understand basic aspects of the surface structure of SAMs. In this review we focus on the atomic/molecular structures of S-containing SAMs on Au(111). Particular emphasis is given to the substrate, adsorption sites, chemical state of the S-metal bond and also to the experimental and theoretical tools used to study these structures at the atomic or molecular levels. (topical review)

  4. Influence of the solution pH in the 6-mercaptopurine self-assembled monolayer (6MP-SAM) on a Au(111) single-crystal electrode.

    Science.gov (United States)

    Madueño, Rafael; García-Raya, Daniel; Viudez, Alfonso J; Sevilla, José M; Pineda, Teresa; Blázquez, Manuel

    2007-10-23

    Self-assembled monolayers (SAMs) of 6-mercaptopurine (6MP) have been prepared on a Au(111) single-crystal electrode by immersion of the metal surface in a 100 microM 6MP and 0.01 M HClO4 solution. The 6MP-SAM Au(111) single-crystal electrodes were transferred to the cell and allowed to equilibrate with the different aqueous working solutions before the electrochemical experiments. The influence of the solution pH was studied by cyclic voltammetry, double layer capacitance curves, and electrochemical impedance spectroscopy. The electrochemical behavior of the 6MP-SAM in acetic acid at pH 4 presents important differences in comparison to that obtained in 0.1 M KOH solutions. Cyclic voltammograms for the reductive desorption process in acid medium are broad and show some features that can be explained by a phase transition between a chemisorbed and a physisorbed state of the 6MP molecules. The low solubility of these molecules in acid medium could explain this phenomenon and the readsorption of the complete monolayer when the potential is scanned in the positive direction. The variation of the double-layer capacitance values in the potential range of monolayer stability with the pH suggests that the acid-base chemistry of the 6MP molecules is playing a role. This fact has been studied by following the variations of the electron-transfer rate constant of the highly charged redox probes as are Fe(CN)(6)-3/-4 and Ru(NH3)(6)+3/+2 as a function of solution pH. The apparent surface pKa value for the 6MP-SAM (pKa approximately 8) is explained by the total conversion of the different 6MP tautomers that exist in solution to the thiol species in the adsorbed state.

  5. Deposition of phospholipid layers on SiO{sub 2} surface modified by alkyl-SAM islands

    Energy Technology Data Exchange (ETDEWEB)

    Tero, R.; Takizawa, M.; Li, Y.J.; Yamazaki, M.; Urisu, T

    2004-11-15

    Formation of the supported planar bilayer of dipalmitoylphosphatidylcholine (DPPC) on SiO{sub 2} surfaces modified with the self-assembled monolayer (SAM) of octadecyltrichlorosilane (OTS) has been investigated by atomic force microscopy (AFM). DPPC was deposited by the fusion of vesicles on SiO{sub 2} surfaces with OTS-SAM islands of different sizes and densities. The DPPC bilayer membrane formed self-organizingly on the SiO{sub 2} surface with small and sparse OTS islands, while did not when the OTS islands were larger and denser. The relative size between the vesicles and the SiO{sub 2} regions is the critical factor for the formation of the DPPC bilayer membrane.

  6. Effects of self-assembled monolayer structural order, surface homogeneity and surface energy on pentacene morphology and thin film transistor device performance.

    Science.gov (United States)

    Hutchins, Daniel Orrin; Weidner, Tobias; Baio, Joe; Polishak, Brent; Acton, Orb; Cernetic, Nathan; Ma, Hong; Jen, Alex K-Y

    2013-01-04

    A systematic study of six phosphonic acid (PA) self-assembled monolayers (SAMs) with tailored molecular structures is performed to evaluate their effectiveness as dielectric modifying layers in organic field-effect transistors (OFETs) and determine the relationship between SAM structural order, surface homogeneity, and surface energy in dictating device performance. SAM structures and surface properties are examined by near edge X-ray absorption fine structure (NEXAFS) spectroscopy, contact angle goniometry, and atomic force microscopy (AFM). Top-contact pentacene OFET devices are fabricated on SAM modified Si with a thermally grown oxide layer as a dielectric. For less ordered methyl- and phenyl-terminated alkyl ~(CH 2 ) 12 PA SAMs of varying surface energies, pentacene OFETs show high charge carrier mobilities up to 4.1 cm 2 V -1 s -1 . It is hypothesized that for these SAMs, mitigation of molecular scale roughness and subsequent control of surface homogeneity allow for large pentacene grain growth leading to high performance pentacene OFET devices. PA SAMs that contain bulky terminal groups or are highly crystalline in nature do not allow for a homogenous surface at a molecular level and result in charge carrier mobilities of 1.3 cm 2 V -1 s -1 or less. For all molecules used in this study, no causal relationship between SAM surface energy and charge carrier mobility in pentacene FET devices is observed.

  7. Self-assembled monolayers of perfluoroalkylsilane on plasma-hydroxylated silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Lin; Cai, Lu; Liu, Anqi; Wang, Wei; Yuan, Yanhua [College of Textile, Clothing Engineering, Soochow University, Suzhou 215021 (China); National Engineering Laboratory for Modern Silk, Suzhou 215123 (China); Li, Zhanxiong, E-mail: lizhanxiong@suda.edu.cn [College of Textile, Clothing Engineering, Soochow University, Suzhou 215021 (China); State Key Laboratory of Disaster Prevention & Mitigation of Explosion & Impact, Nanjing 210007 (China)

    2015-09-15

    Highlights: • A novel kind of fluoroalkylsilane monomers with different fluoroalkyl chain length was synthesized. • The fluoroalkyl-terminated self-assembled monolayers (SAMs) on silanol-terminated silicon substrates were chemically fabricated using the liquid phase deposition method. • Fluoroalkylsilanes were used for the self-assembly rather than the silane coupling agents and fluorochemicals to fabricate controllable, ordered SAMs. • The angle-dependent XPS study was conducted to investigate the changes of surface structures as well as elemental compositions of the SAMs. • The results indicated that fluoroalkyl groups would migrate from the inner part of the monolayers to the outermost interface after heat treatment, resulting into the microphase separation of the SAMs surface. - Abstract: In this study, a novel kind of fluoroalkylsilane monomers with different fluoroalkyl chain lengths was synthesized via three steps method and characterized by Fourier transform infrared (FT-IR) spectroscopy, {sup 1}H and {sup 19}F nuclear magnetic resonance ({sup 1}H NMR and {sup 19}F NMR), and mass spectra (MS). Fluoroalkyl-terminated self-assembled monolayers (SAMs) on silanol-terminated silicon substrates (O{sub 2} plasma treatment) were chemically fabricated via –Si–O– covalent bonds using the liquid phase deposition method (LPD). The wetabilities of the SAMs were characterized by water contact angles (CA), surface free energies and adhesive force (AF) measurements. 3-(1H,1H,2H,2H-perfluorooctyloxycarbonyl) -propionamidepropyl-triethoxysilane (PFOPT) assembled monolayer was chosen for in-depth investigation as its CA was higher than the others. Attenuated total reflection infrared spectroscopy (ATR-IR) and X-ray photoelectron spectroscopy (XPS) were used to validate the attachment of PFOPT on the silicon substrate, together with the chemical composition and structure of the SAMs. The surface morphologies and roughness of the monolayers were obtained and

  8. Thermal stability of thiol and silane monolayers: A comparative study

    International Nuclear Information System (INIS)

    Chandekar, Amol; Sengupta, Sandip K.; Whitten, James E.

    2010-01-01

    The stability of self-assembled monolayers (SAMs) at elevated temperatures is of considerable technological importance. The thermal stability of 1-octadecanethiol (ODT), 16-mercaptohexadecanoic acid (MHDA) and 1H,1H,2H,2H-perfluorodecanethiol (PFDT) SAMs on gold surfaces, and of 4-aminobutyltriethoxysilane (ABTES) and 1H, 1H, 2H, 2H-perfluorodecyltriethoxysilane (PFDS) assembled on hydroxylated silicon surfaces, was studied by X-ray photoelectron spectroscopy (XPS). The samples were heated in ultrahigh vacuum to temperatures in excess of that required for SAM degradation. ODT monolayers were stable to ca. 110 deg. C, while MHDA and PFDT SAMs were stable to ca. 145 deg. C. ABTES SAMs were found to be indefinitely stable to 250 deg. C, while PFDS SAMs were stable to 350 deg. C. These studies demonstrate the advantages of using silane monolayers for moderate to high temperature applications and illustrate differences that arise due to the nature of the tail group. To demonstrate the feasibility of silanes for template-directed patterning, a hydroxylated silicon oxide surface containing microcontact-printed PFDS patterns was spin-coated with a mainly hydrophilic block copolymer. Annealing the surface at 90 deg. C for 2 h caused the block copolymer to dewet the hydrophobic PFDS-patterned regions and adsorb exclusively on the unpatterned regions of the surface.

  9. Mirror-finished superhydrophobic aluminum surfaces modified by anodic alumina nanofibers and self-assembled monolayers

    Science.gov (United States)

    Nakajima, Daiki; Kikuchi, Tatsuya; Natsui, Shungo; Suzuki, Ryosuke O.

    2018-05-01

    We demonstrate mirror-finished superhydrophobic aluminum surfaces fabricated via the formation of anodic alumina nanofibers and subsequent modification with self-assembled monolayers (SAMs). High-density anodic alumina nanofibers were formed on the aluminum surface via anodizing in a pyrophosphoric acid solution. The alumina nanofibers became tangled and bundled by further anodizing at low temperature because of their own weight, and the aluminum surface was completely covered by the long falling nanofibers. The nanofiber-covered aluminum surface exhibited superhydrophilic behavior, with a contact angle measuring less than 10°. As the nanofiber-covered aluminum surface was modified with n-alkylphosphonic acid SAMs, the water contact angle drastically shifted to superhydrophobicity, measuring more than 150°. The contact angle increased with the applied voltage during pyrophosphoric acid anodizing, the anodizing time, and the number of carbon atoms contained in the SAM molecules modified on the alumina nanofibers. By optimizing the anodizing and SAM-modification conditions, superhydrophobic behavior could be achieved with only a brief pyrophosphoric acid anodizing period of 3 min and subsequent simple immersion in SAM solutions. The superhydrophobic aluminum surface exhibited a high reflectance, measuring approximately 99% across most of the visible spectrum, similar to that of an electropolished aluminum surface. Therefore, our mirror-finished superhydrophobic aluminum surface based on anodic alumina nanofibers and SAMs can be used as a reflective mirror in various optical applications such as concentrated solar power systems.

  10. Efficient surface enhanced Raman scattering on confeito-like gold nanoparticle-adsorbed self-assembled monolayers.

    Science.gov (United States)

    Chang, Chia-Chi; Imae, Toyoko; Chen, Liang-Yih; Ujihara, Masaki

    2015-12-28

    Confeito-like gold nanoparticles (AuNPs; average diameter = 80 nm) exhibiting a plasmon absorption band at 590 nm were adsorbed through immersion-adsorption on two self-assembled monolayers (SAMs) of 3-aminopropyltriethoxysilane (APTES-SAM) and polystyrene spheres coated with amine-terminated poly(amido amine) dendrimers (DEN/PS-SAM). The surface enhanced Raman scattering (SERS) effect on the SAM substrates was examined using the molecules of a probe dye, rhodamine 6G (R6G). The Raman scattering was strongly intensified on both substrates, but the enhancement factor (>10,000) of the AuNP/DEN/PS-SAM hierarchy substrate was 5-10 times higher than that of the AuNP/APTES-SAM substrate. This strong enhancement is attributed to the large surface area of the substrate and the presence of hot spots. Furthermore, analyzing the R6G concentration dependence of SERS suggested that the enhancement mechanism effectively excited the R6G molecules in the first layer on the hot spots and invoked the strong SERS effect. These results indicate that the SERS activity of confeito-like AuNPs on SAM substrates has high potential in molecular electronic devices and ultrasensitive analyses.

  11. Surface Structures and Thermal Desorption Behaviors of Cyclopentanethiol Self-Assembled Monolayers on Au(111)

    International Nuclear Information System (INIS)

    Kang, Hun Gu; Kim, You Young; Park, Tae Sun; Noh, Jae Geun; Park, Joon B.; Ito, Eisuke; Hara, Masahiko

    2011-01-01

    The surface structures, adsorption conditions, and thermal desorption behaviors of cyclopentanethiol (CPT) self-assembled monolayers (SAMs) on Au(111) were investigated by scanning tunneling microscopy (STM), X-ray photoelectron spectroscopy (XPS), and thermal desorption spectroscopy (TDS). STM imaging revealed that although the adsorption of CPT on Au(111) at room temperature generates disordered SAMs, CPT molecules at 50 .deg. C formed well-ordered SAMs with a (2√3 x √5)R41".deg. packing structure. XPS measurements showed that CPT SAMs at room temperature were formed via chemical reactions between the sulfur atoms and gold surfaces. TDS measurements showed two dominant TD peaks for the decomposed fragments (C_5H_9 "+, m/e = 69) generated via C-S bond cleavage and the parent molecular species (C_5H_9SH"+, m/e = 102) derived from a recombination of the chemisorbed thiolates and hydrogen atoms near 440 K. Interestingly, dimerization of sulfur atoms in n-alkanethiol SAMs usually occurs during thermal desorption and the same reaction did not happen for CPT SAMs, which may be due to the steric hindrance of cyclic rings of the CPT molecules. In this study, we demonstrated that the alicyclic ring of organic thiols strongly affected the surface structure and thermal desorption behavior of SAMs, thus providing a good method for controlling chemical and physical properties of organic thiol SAMs

  12. Surface-Enhanced Raman Spectroscopy of Carbon Nanomembranes from Aromatic Self-Assembled Monolayers.

    Science.gov (United States)

    Zhang, Xianghui; Mainka, Marcel; Paneff, Florian; Hachmeister, Henning; Beyer, André; Gölzhäuser, Armin; Huser, Thomas

    2018-02-27

    Surface-enhanced Raman scattering spectroscopy (SERS) was employed to investigate the formation of self-assembled monolayers (SAMs) of biphenylthiol, 4'-nitro-1,1'-biphenyl-4-thiol, and p-terphenylthiol on Au surfaces and their structural transformations into carbon nanomembranes (CNMs) induced by electron irradiation. The high sensitivity of SERS allows us to identify two types of Raman scattering in electron-irradiated SAMs: (1) Raman-active sites exhibit similar bands as those of pristine SAMs in the fingerprint spectral region, but with indications of an amorphization process and (2) Raman-inactive sites show almost no Raman-scattering signals, except a very weak and broad D band, indicating a lack of structural order but for the presence of graphitic domains. Statistical analysis showed that the ratio of the number of Raman-active sites to the total number of measurement sites decreases exponentially with increasing the electron irradiation dose. The maximum degree of cross-linking ranged from 97 to 99% for the three SAMs. Proof-of-concept experiments were conducted to demonstrate potential applications of Raman-inactive CNMs as a supporting membrane for Raman analysis.

  13. Gold cleaning methods for preparation of cell culture surfaces for self-assembled monolayers of zwitterionic oligopeptides.

    Science.gov (United States)

    Enomoto, Junko; Kageyama, Tatsuto; Myasnikova, Dina; Onishi, Kisaki; Kobayashi, Yuka; Taruno, Yoko; Kanai, Takahiro; Fukuda, Junji

    2018-05-01

    Self-assembled monolayers (SAMs) have been used to elucidate interactions between cells and material surface chemistry. Gold surfaces modified with oligopeptide SAMs exhibit several unique characteristics, such as cell-repulsive surfaces, micropatterns of cell adhesion and non-adhesion regions for control over cell microenvironments, and dynamic release of cells upon external stimuli under culture conditions. However, basic procedures for the preparation of oligopeptide SAMs, including appropriate cleaning methods of the gold surface before modification, have not been fully established. Because gold surfaces are readily contaminated with organic compounds in the air, cleaning methods may be critical for SAM formation. In this study, we examined the effects of four gold cleaning methods: dilute aqua regia, an ozone water, atmospheric plasma, and UV irradiation. Among the methods, UV irradiation most significantly improved the formation of oligopeptide SAMs in terms of repulsion of cells on the surfaces. We fabricated an apparatus with a UV light source, a rotation table, and HEPA filter, to treat a number of gold substrates simultaneously. Furthermore, UV-cleaned gold substrates were capable of detaching cell sheets without serious cell injury. This may potentially provide a stable and robust approach to oligopeptide SAM-based experiments for biomedical studies. Copyright © 2017 The Society for Biotechnology, Japan. Published by Elsevier B.V. All rights reserved.

  14. Reactions of BBr(n)(+) (n = 0--2) at fluorinated and hydrocarbon self-assembled monolayer surfaces: observations of chemical selectivity in ion--surface scattering.

    Science.gov (United States)

    Wade, N; Shen, J; Koskinen, J; Cooks, R G

    2001-07-01

    Ion-surface reactions involving BBr(n)(+) (n = 0--2) with a fluorinated self-assembled monolayer (F-SAM) surface were investigated using a multi-sector scattering mass spectrometer. Collisions of the B(+) ion yield BF(2)(+) at threshold energy with the simpler product ion BF(+)* appearing at higher collision energies and remaining of lower abundance than BF(2)(+) at all energies examined. In addition, the reactively sputtered ion CF(+) accompanies the formation of BF(2)(+) at low collision energies. These results stand in contrast with previous data on the ion-surface reactions of atomic ions with the F-SAM surface in that the threshold and most abundant reaction products in those cases involved the abstraction of a single fluorine atom. Gas-phase enthalpy data are consistent with BF(2)(+) being the thermodynamically favored product. The fact that the abundance of BF(2)(+) is relatively low and relatively insensitive to changes in collision energy suggests that this reaction proceeds through an entropically demanding intermediate at the vacuum--surface interface, one which involves interaction of the B(+) ion simultaneously with two fluorine atoms. By contrast with the reaction of B(+), the odd-electron species BBr(+)* reacts with the F-SAM surface to yield an abundant single-fluorine abstraction product, BBrF(+). Corresponding gas-phase ion--molecule experiments involving B(+) and BBr(+)* with C(6)F(14) also yield the products BF(+)* and BF(2)(+), but only in extremely low abundances and with no preference for double fluorine abstraction. Ion--surface reactions were also investigated for BBr(n)(+) (n = 0-2) with a hydrocarbon self-assembled monolayer (H-SAM) surface. Reaction of the B(+) ion and dissociative reactions of BBr(+)* result in the formation of BH(2)(+), while the thermodynamically less favorable product BH(+)* is not observed. Collisions of BBr(2)(+) with the H-SAM surface yield the dissociative ion-surface reaction products, BBrH(+) and BBrCH(3

  15. Characterization of Functionalized Self-Assembled Monolayers and Surface-Attached Interlocking Molecules Using Near-Edge X-ray Absorption Fine Structure Spectroscopy

    International Nuclear Information System (INIS)

    Willey, T; Willey, T

    2004-01-01

    Quantitative knowledge of the fundamental structure and substrate binding, as well as the direct measurement of conformational changes, are essential to the development of self-assembled monolayers (SAMs) and surface-attached interlocking molecules, catenanes and rotaxanes. These monolayers are vital to development of nano-mechanical, molecular electronic, and biological/chemical sensor applications. This dissertation investigates properties of functionalized SAMs in sulfur-gold based adsorbed molecular monolayers using quantitative spectroscopic techniques including near-edge x-ray absorption fine structure spectroscopy (NEXAFS) and x-ray photoelectron spectroscopy (XPS). The stability of the gold-thiolate interface is addressed. A simple model SAM consisting of dodecanethiol adsorbed on Au(111) degrades significantly in less than 24 hours under ambient laboratory air. S 2p and O 1s XPS show the gold-bound thiolates oxidize to sulfinates and sulfonates. A reduction of organic material on the surface and a decrease in order are observed as the layer degrades. The effect of the carboxyl vs. carboxylate functionalization on SAM structure is investigated. Carboxyl-terminated layers consisting of long alkyl-chain thiols vs. thioctic acid with short, sterically separated, alkyl groups are compared and contrasted. NEXAFS shows a conformational change, or chemical switchability, with carboxyl groups tilted over and carboxylate endgroups more upright. Surface-attached loops and simple surface-attached rotaxanes are quantitatively characterized, and preparation conditions that lead to desired films are outlined. A dithiol is often insufficient to form a molecular species bound at each end to the substrate, while a structurally related disulfide-containing polymer yields surface-attached loops. Similarly, spectroscopic techniques show the successful production of a simple, surface-attached rotaxane that requires a ''molecular riveting'' step to hold the mechanically attached

  16. Fabrication and Surface Properties of Composite Films of SAM/Pt/ZnO/SiO 2

    KAUST Repository

    Yao, Ke Xin

    2008-12-16

    Through synthetic architecture and functionalization with self-assembled monolayers (SAMs), complex nanocomposite films of SAM/Pt/ZnO/SiO2 have been facilely prepared in this work. The nanostructured films are highly uniform and porous, showing a wide range of tunable wettabilities from superhydrophilicity to superhydrophobicity (water contact angles: 0° to 170°). Our approach offers synthetic flexibility in controlling film architecture, surface topography, coating texture, crystallite size, and chemical composition of modifiers (e.g., SAMs derived from alkanethiols). For example, wettability properties of the nanocomposite films can be finely tuned with both inorganic phase (i.e., ZnO/SiO2 and Pt/ZnO/SiO2) and organic phase (i.e., SAMs on Pt/ZnO/SiO2). Due to the presence of catalytic components Pt/ZnO within the nanocomposites, surface reactions of the organic modifiers can further take place at room temperature and elevated temperatures, which provides a means for SAM formation and elimination. Because the Pt/ZnO forms an excellent pair of metal-semiconductors for photocatalysis, the anchored SAMs can also be modified or depleted by UV irradiation (i.e., the films possess self-cleaning ability). Potential applications of these nanocomposite films have been addressed. Our durability tests also confirm that the films are thermally stable and structurally robust in modification- regeneration cycles. © 2008 American Chemical Society.

  17. Surface Structures and Thermal Desorption Behaviors of Cyclopentanethiol Self-Assembled Monolayers on Au(111)

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Hun Gu; Kim, You Young; Park, Tae Sun; Noh, Jae Geun [Hanyang University, Seoul (Korea, Republic of); Park, Joon B. [Chonbuk National University, Jeonju (Korea, Republic of); Ito, Eisuke; Hara, Masahiko [RIKEN-HYU Collaboration Center, Saitama (Japan)

    2011-04-15

    The surface structures, adsorption conditions, and thermal desorption behaviors of cyclopentanethiol (CPT) self-assembled monolayers (SAMs) on Au(111) were investigated by scanning tunneling microscopy (STM), X-ray photoelectron spectroscopy (XPS), and thermal desorption spectroscopy (TDS). STM imaging revealed that although the adsorption of CPT on Au(111) at room temperature generates disordered SAMs, CPT molecules at 50 .deg. C formed well-ordered SAMs with a (2√3 x √5)R41{sup .}deg. packing structure. XPS measurements showed that CPT SAMs at room temperature were formed via chemical reactions between the sulfur atoms and gold surfaces. TDS measurements showed two dominant TD peaks for the decomposed fragments (C{sub 5}H{sub 9} {sup +}, m/e = 69) generated via C-S bond cleavage and the parent molecular species (C{sub 5}H{sub 9}SH{sup +}, m/e = 102) derived from a recombination of the chemisorbed thiolates and hydrogen atoms near 440 K. Interestingly, dimerization of sulfur atoms in n-alkanethiol SAMs usually occurs during thermal desorption and the same reaction did not happen for CPT SAMs, which may be due to the steric hindrance of cyclic rings of the CPT molecules. In this study, we demonstrated that the alicyclic ring of organic thiols strongly affected the surface structure and thermal desorption behavior of SAMs, thus providing a good method for controlling chemical and physical properties of organic thiol SAMs.

  18. One-pot reaction for the preparation of biofunctionalized self-assembled monolayers on gold surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Raigoza, Annette F.; Fies, Whitney; Lim, Amber; Onyirioha, Kristeen; Webb, Lauren J., E-mail: lwebb@cm.utexas.edu

    2017-02-01

    Highlights: • One-pot synthesis of α-helical-terminated self-assembled monolayers on Au(111). • Synthesis of high density, structured, and covalently bound α-helices on Au(111). • Characterization by surface-averaged and single molecule techniques. • Peptide-terminated surfaces for fabrication of biomaterials and sensors. - Abstract: The Huisgen cycloaddition reaction (“click” chemistry) has been used extensively to functionalize surfaces with macromolecules in a straightforward manner. We have previously developed a procedure using the copper(I)-catalyzed click reaction to tether synthetic α-helical peptides carrying two alkyne groups to a well-ordered azide-terminated alkanethiol self-assembled monolayer (SAM) on a Au(111) surface. While convenient, click-based strategies potentially pose significant problems from reagents, solvents, and reaction temperatures that may irreversibly damage some molecules or substrates. Tuning click chemistry conditions would allow individual optimization of reaction conditions for a wide variety of biomolecules and substrate materials. Here, we explore the utility of simultaneous SAM formation and peptide-attachment chemistry in a one-pot reaction. We demonstrate that a formerly multistep reaction can be successfully carried out concurrently by mixing azide-terminated alkanethiols, CuCl, and a propargylglycine-containing peptide over a bare gold surface in ethanol and reacting at 70 °C. X-ray photoelectron spectroscopy (XPS), surface infrared spectroscopy, surface circular dichroic (CD) spectroscopy, and scanning tunneling microscopy (STM) were used to determine that this one-pot reaction strategy resulted in a high density of surface-bound α-helices without aggregation. This work demonstrates the simplicity and versatility of a SAM-plus-click chemistry strategy for functionalizing Au surfaces with structured biomolecules.

  19. Fabrication and Surface Properties of Composite Films of SAM/Pt/ZnO/SiO 2

    KAUST Repository

    Yao, Ke Xin; Zeng, Hua Chun

    2008-01-01

    Through synthetic architecture and functionalization with self-assembled monolayers (SAMs), complex nanocomposite films of SAM/Pt/ZnO/SiO2 have been facilely prepared in this work. The nanostructured films are highly uniform and porous, showing a

  20. 11-Hydroxyundecyl octadecyl disulfide self-assembled monolayers on Au(1 1 1)

    Energy Technology Data Exchange (ETDEWEB)

    Albayrak, Erol [Department of Materials and Metallurgical Engineering, Ahi Evran University, Kırşehir 40000 (Turkey); Karabuga, Semistan [Department of Chemistry, Kahramanmaraş Sütçü İmam University, Kahramanmaraş 46030 (Turkey); Bracco, Gianangelo [CNR-IMEM and Department of Physics, University of Genoa, via Dodecaneso 33, Genoa 16146 (Italy); Danışman, M. Fatih, E-mail: danisman@metu.edu.tr [Department of Chemistry, Middle East Technical University, Ankara 06800 (Turkey)

    2014-08-30

    Highlights: • 11-Hydroxyundecyl octadecyl disulfide self-assembled monolayers on Au(1 1 1) surface were grown by supersonic molecular beam deposition. • Two different lying down monolayer phases were observed depending on the substrate temperature. • High temperature monolayer phase has a diffraction pattern similar to that of mercaptoundecanol SAMs. • Desorption from several different chemisorbed and physisorbed states were observed. - Abstract: Here, we report a helium atom diffraction study of 11-hydroxyundecyl octadecyl disulfide (CH{sub 3}-(CH{sub 2}){sub 17}-S-S-(CH{sub 2}){sub 11}-OH, HOD) self-assembled monolayers (SAMs) produced by supersonic molecular beam deposition (SMBD). Two different lying down monolayer phases were observed depending on the substrate temperature. At low temperatures a poorly ordered phase was observed, while the diffraction patterns of the film grown at high temperatures were similar to that of mercaptoundecanol (MUD) SAMs reported previously in the literature. The transition from the low temperature phase to the high temperature phase is due to S-S bond cleavage at the surface. Desorption from several different chemisorbed and physisorbed states were observed with energies in the same range as observed for MUD and octadecanelthiol (ODT) SAMs.

  1. Surface characterization on binary nano/micro-domain composed of alkyl- and amino-terminated self-assembled monolayer

    Energy Technology Data Exchange (ETDEWEB)

    Lee, S.H. [Faculty of Engineering, Shinshu University, 4-17-1 Wakasato, Nagano 380-8553 (Japan); Ishizaki, T. [Materials Research Institute for Sustainable Development, National Institute of Advanced Industrial Science and Technology, 2266-98 Anagahora, Shimo-Shidami, Moriyama-ku, Nagoya 463-8560 (Japan); Saito, N. [Department of Molecular Design and Engineering, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa, Nagano 464-8603 (Japan)], E-mail: hiro@eco-t.esi.nagoya-u.ac.jp; Takai, O. [EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa, Nagoya 464-8603 (Japan)

    2008-09-15

    The binary alkyl- and amino-terminated self-assembled monolayers (SAMs) composed of nano/micro-sized domains was prepared though a self-assembly technique. In addition, the wetting and electrostatic property of the binary SAMs was investigated by the analysis of the static and dynamic water contact angle and zeta-potentials measurement. The binary SAMs were also characterized by atomic force microscope (AFM), Kelvin probe force microscope (KPFM) and X-ray photoelectron spectroscopy (XPS). The domains on the binary SAMs were observed in topographic and surface potential images. The height of domain and the surface potential between octadecyltrichlorosilanes (OTS)-domain and n-(6-aminohexl)aminopropyl-trimethoxysilane (AHAPS)-SAM were about 1.1 nm and -30 mV. These differences of height and surface potential correspond to the ones between OTS and AHAPS. In XPS N 1s spectra, we confirmed the formation of binary SAMs by an amino peak observed at 399.15 eV. The dynamic and the static water contact angles indicated that the wetting property of the binary SAMs was depended on the OTS domain size. In addition, static water contact angles were measured under the conditions of different pH water and zeta-potential also indicated that the electrostatic property of the binary SAMs depended on OTS domain size. Thus, these results showed that the wetting and electrostatic property on the binary SAMs could be regulated by controlling the domain size.

  2. Surface chemistry and electrocatalytic behaviour of tetra-carboxy substituted iron, cobalt and manganese phthalocyanine monolayers on gold electrode

    International Nuclear Information System (INIS)

    Mashazi, Philani N.; Westbroek, Philippe; Ozoemena, Kenneth I.; Nyokong, Tebello

    2007-01-01

    Surface chemistry and electrocatalytic properties of self-assembled monolayers of metal tetra-carboxylic acid phthalocyanine complexes with cobalt (Co), iron (Fe) and manganese (Mn) as central metal ions have been studied. These phthalocyanine molecules are immobilized on gold electrode via the coupling reaction between the ring substituents and pre-formed mercaptoethanol self-assembled monolayer (Au-ME SAM). X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy confirmed chemisorption of mercaptoethanol via sulfur group on gold electrode and also coupling reaction between phthalocyanines and Au-ME SAM. Electrochemical parameters of the immobilized molecules show that these molecules are densely packed with a perpendicular orientation. The potential applications of the gold modified electrodes were investigated towards L-cysteine detection and the analysis at phthalocyanine SAMs. Cobalt and iron tetra-carboxylic acid phthalocyanine monolayers showed good oxidation peak for L-cysteine at potentials where metal oxidation (M III /M II ) takes place and this metal oxidation mediates the catalytic oxidation of L-cysteine. Manganese tetra-carboxylic acid phthalocyanine monolayer also exhibited a good catalytic oxidation peak towards L-cysteine at potentials where Mn IV /Mn III redox peak occurs and this redox peak mediates L-cysteine oxidation. The analysis of cysteine at phthalocyanine monolayers displayed good analytical parameters with good detection limits of the orders of 10 -7 mol L -1 and good linearity for a studied concentration range up to 60 μmol L -1

  3. Surface barrier analysis of semi-insulating and n{sup +}-type GaAs(0 0 1) following passivation with n-alkanethiol SAMs

    Energy Technology Data Exchange (ETDEWEB)

    Marshall, Gregory M. [Laboratory for Quantum Semiconductors and Photon-Based BioNanotechnology, Department of Electrical and Computer Engineering, Universite de Sherbrooke, Sherbrooke, Quebec, J1K 2R1 (Canada); Institute for Chemical Process and Environmental Technology, National Research Council of Canada, Ottawa, Ontario, K1A 0R6 (Canada); Bensebaa, Farid [Institute for Chemical Process and Environmental Technology, National Research Council of Canada, Ottawa, Ontario, K1A 0R6 (Canada); Dubowski, Jan J., E-mail: jan.j.dubowski@usherbrooke.ca [Laboratory for Quantum Semiconductors and Photon-Based BioNanotechnology, Department of Electrical and Computer Engineering, Universite de Sherbrooke, Sherbrooke, Quebec, J1K 2R1 (Canada)

    2011-02-15

    The surface Fermi level of semi-insulating and n{sup +}-type GaAs(0 0 1) was determined before and after passivation with n-alkanethiol self-assembled monolayers (SAMs) by X-ray photoelectron spectroscopy. Fermi level positioning was achieved using Au calibration pads integrated directly onto the GaAs surface, prior to SAM deposition, in order to provide a surface equipotential binding energy reference. Fermi level pinning within 50 meV and surface barrier characteristics according to the Advanced Unified Defect Model were observed. Our results demonstrate the effectiveness of the Au integration technique for the determination of band-edge referenced Fermi level positions and are relevant to an understanding of emerging technologies based on the molecular-semiconductor junction.

  4. Controlling the stereochemistry and regularity of butanethiol self-assembled monolayers on Au(111)

    DEFF Research Database (Denmark)

    Yan, Jiawei; Ouyang, Runhai; Jensen, Palle Skovhus

    2014-01-01

    The rich stereochemistry of the self-assembled monolayers (SAMs) of four butanethiols on Au(111) is described, the SAMs containing up to 12 individual C, S, or Au chiral centers per surface unit cell. This is facilitated by synthesis of enantiomerically pure 2-butanethiol (the smallest unsubstitu......The rich stereochemistry of the self-assembled monolayers (SAMs) of four butanethiols on Au(111) is described, the SAMs containing up to 12 individual C, S, or Au chiral centers per surface unit cell. This is facilitated by synthesis of enantiomerically pure 2-butanethiol (the smallest...... when R is achiral, while adatom binding leads to rectangular plane groups that suppress long-range expression of chirality. Binding as RS• also inhibits the pitting intrinsically associated with adatom binding, desirably producing more regularly structured SAMs....

  5. Self-assembled monolayers on metal oxides : applications in nanotechnology

    NARCIS (Netherlands)

    Yildirim, O.

    2010-01-01

    The thesis describes the use of phosph(on)ate-based self-assembled monolayers (SAMs) to modify and pattern metal oxides. Metal oxides have interesting electronic and magnetic properties such as insulating, semiconducting, metallic, ferromagnetic etc. and SAMs can tailor the surface properties. FePt

  6. Evaluation of monolayers and mixed monolayers formed from mercaptobenzothiazole and decanethiol as sensing platforms

    International Nuclear Information System (INIS)

    Mary Vergheese, T.; Berchmans, Sheela

    2004-01-01

    In this investigation, the characterisation of monolayer and mixed monolayers formed from mercaptobenzothiazole (MBT) and decanethiol (DT) has been carried out with cyclic voltammetry. The SAMs have been tested for their stability and electron transfer blocking properties. The redox probes used in the present study are [Fe(China) 6 ] 4- , [Ru(NH 3 ) 6 ] 2+ and Cu underpotential deposition (upd). The electron transfer kinetics is investigated in acid and neutral pH range. Electron transfer kinetics is altered by the nature of charge on the redox probe and the charge on the monolayer. Electron transfer kinetics of negatively charged redox probes like ferrocyanide ions is blocked when the surface pK a medium and at pK a >pH medium reversible features is observed for negatively charged probes. An exactly reverse effect is observed in the case of positively charged redox species like [Ru(NH 3 ) 6 ] 2+/3+ . Cu under potential deposition studies reflects the structural integrity and compactness of the SAM layer. The utility of these monolayers and mixed monolayer for selective sensing of dopamine is discussed based on their ability to discriminate between positively and negatively charged redox species at different pH

  7. Imidazolide monolayers for versatile reactive microcontact printing

    NARCIS (Netherlands)

    Hsu, S.H.; Reinhoudt, David; Huskens, Jurriaan; Velders, Aldrik

    2008-01-01

    Imidazolide monolayers prepared from the reaction of amino SAMs with N,N-carbonyldiimidazole (CDI) are used as a versatile platform for surface patterning with amino-, carboxyl- and alcohol-containing compounds through reactive microcontact printing (µCP). To demonstrate the surface reactivity of

  8. Surface properties of self-assembled monolayer films of tetra-substituted cobalt, iron and manganese alkylthio phthalocyanine complexes

    Energy Technology Data Exchange (ETDEWEB)

    Akinbulu, Isaac Adebayo; Khene, Samson [Department of Chemistry, Rhodes University, Grahamstown 6140 (South Africa); Nyokong, Tebello, E-mail: t.nyokong@ru.ac.z [Department of Chemistry, Rhodes University, Grahamstown 6140 (South Africa)

    2010-09-30

    Self-assembled monolayer (SAM) films of iron (SAM-1), cobalt (SAM-2) and manganese (SAM-3) phthalocyanine complexes, tetra-substituted with diethylaminoethanethio at the non-peripheral positions, were formed on gold electrode in dimethylformamide (DMF). Electrochemical, impedimentary and surface properties of the SAM films were investigated. Cyclic voltammetry was used to investigate the electrochemical properties of the films. Ability of the films to inhibit common faradaic processes on bare gold surface (gold oxidation, solution redox chemistry of [Fe(H{sub 2}O){sub 6}]{sup 3+}/[Fe(H{sub 2}O){sub 6}]{sup 2+} and underpotential deposition (UDP) of copper) was investigated. Electrochemical impedance spectroscopy (EIS), using [Fe(CN){sub 6}]{sup 3-/4-} redox process as a probe, offered insights into the electrical properties of the films/electrode interfaces. Surface properties of the films were probed using atomic force microscopy (AFM) and scanning electron microscopy (SEM). The films were employed for the electrocatalytic oxidation of the pesticide, carbofuran. Electrocatalysis was evidenced from enhanced current signal and less positive oxidation potential of the pesticide on each film, relative to that observed on the bare gold electrode. Mechanism of electrocatalytic oxidation of the pesticide was studied using rotating disc electrode voltammetry.

  9. Electrical resistivity of nanoporous gold modified with thiol self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Hakamada, Masataka, E-mail: hakamada.masataka.3x@kyoto-u.ac.jp; Kato, Naoki, E-mail: katou.naoki.75w@st.kyoto-u.ac.jp; Mabuchi, Mamoru, E-mail: mabuchi@energy.kyoto-u.ac.jp

    2016-11-30

    Highlights: • Nanoporous gold is modified with thiol-containing self-assembled monolayers. • The electrical resistivity of the thiol-modified nanoporous gold increases. • The electrical resistivity increases with increasing thiol concentration. • Monolayer tail groups enhance the atmosphere dependence of electrical resistivity. - Abstract: The electrical resistivity of nanoporous gold (NPG) modified with thiol self-assembled monolayers (SAMs) has been measured at 298 K using a four-probe method. We found that the adsorption of thiol SAMs increases the electrical resistivity of NPG by up to 22.2%. Dependence of the electrical resistivity on the atmosphere (air or water) was also observed in SAMs-modified NPG, suggesting that the electronic states of the tail groups affect the electrons of the binding sulfur and adjacent surface gold atoms. The present results suggest that adsorption of thiol molecules can influence the behavior of the conducting electrons in NPG and that modification of NPG with SAMs may be useful for environmental sensing.

  10. Measurement of molecular length of self-assembled monolayer probed by localized surface plasmon resonance

    Science.gov (United States)

    Ito, Juri; Kajikawa, Kotaro

    2016-02-01

    We propose a method to measure the variation of the molecular length of self-assembled monolayers (SAMs) when it is exposed to solutions at different pH conditions. The surface immobilized gold nanospheres (SIGNs) shows strong absorption peak at the wavelengths of 600-800 nm when p-polarized light is illuminated. The peak wavelength depends on the length of the gap distance between the SIGNs and the substrate. The gap is supported by the SAM molecules. According to the analytical calculation based on multiple expansion, the relation between the peak wavelength of the SIGN structures and the gap distance is calculated, to evaluate the molecular length of the SAM through the optical absorption spectroscopy for the SIGN structures. The molecular length of the SIGN structure was measured in air, water, acidic, and basic solutions. It was found that the molecular lengths are longer in acidic solutions.

  11. Self-assembled monolayers of a disulphide-derivatised cobalt-porphyrin on gold

    International Nuclear Information System (INIS)

    Viana, A.S.; Leupold, S.; Montforts, F.-P.; Abrantes, L.M.

    2005-01-01

    A self-assembled monolayer (SAM) of a novel cobalt(II)porphyrin disulphide derivative was prepared on flat gold(1 1 1) electrode. Evidence for surface modification was provided by electrochemical reductive desorption of the monolayer and ellipsometry, consistent with a coverage of 2.5 x 10 -10 mol cm -2 and a thickness of 13 A, respectively. Both results support the presence of SAMs where the molecules share an intermediate position between perpendicular and flat orientation. Scanning tunnelling microscopy have also proven the formation of CoPSS SAMs, however high-resolution images could only be obtained when the CoPSS molecules were diluted in an hexanethiol SAM. The electrocatalytic activity of the surface confined Co-porphyrin was evaluated for the oxygen reduction. Voltammetric data indicate that reaction involves two electrons consistent with the formation of hydrogen peroxide. Under similar experimental conditions the data obtained for an iron-porphyrin analogue points for a full reduction of dioxygen to water

  12. Adsorption of hydrophobin on different self-assembled monolayers: the role of the hydrophobic dipole and the electric dipole.

    Science.gov (United States)

    Peng, Chunwang; Liu, Jie; Zhao, Daohui; Zhou, Jian

    2014-09-30

    In this work, the adsorptions of hydrophobin (HFBI) on four different self-assembled monolayers (SAMs) (i.e., CH3-SAM, OH-SAM, COOH-SAM, and NH2-SAM) were investigated by parallel tempering Monte Carlo and molecular dynamics simulations. Simulation results indicate that the orientation of HFBI adsorbed on neutral surfaces is dominated by a hydrophobic dipole. HFBI adsorbs on the hydrophobic CH3-SAM through its hydrophobic patch and adopts a nearly vertical hydrophobic dipole relative to the surface, while it is nearly horizontal when adsorbed on the hydrophilic OH-SAM. For charged SAM surfaces, HFBI adopts a nearly vertical electric dipole relative to the surface. HFBI has the narrowest orientation distribution on the CH3-SAM, and thus can form an ordered monolayer and reverse the wettability of the surface. For HFBI adsorption on charged SAMs, the adsorption strength weakens as the surface charge density increases. Compared with those on other SAMs, a larger area of the hydrophobic patch is exposed to the solution when HFBI adsorbs on the NH2-SAM. This leads to an increase of the hydrophobicity of the surface, which is consistent with the experimental results. The binding of HFBI to the CH3-SAM is mainly through hydrophobic interactions, while it is mediated through a hydration water layer near the surface for the OH-SAM. For the charged SAM surfaces, the adsorption is mainly induced by electrostatic interactions between the charged surfaces and the oppositely charged residues. The effect of a hydrophobic dipole on protein adsorption onto hydrophobic surfaces is similar to that of an electric dipole for charged surfaces. Therefore, the hydrophobic dipole may be applied to predict the probable orientations of protein adsorbed on hydrophobic surfaces.

  13. Self-assembled monolayers of bimetallic Au/Ag nanospheres with superior surface-enhanced Raman scattering activity for ultra-sensitive triphenylmethane dyes detection.

    Science.gov (United States)

    Tian, Yue; Zhang, Hua; Xu, Linlin; Chen, Ming; Chen, Feng

    2018-02-15

    The bimetallic Au/Ag self-assembled monolayers (SAMs) were constructed by using mono-dispersed Au/Ag nanospheres (Ag: 4.07%-34.53%) via evaporation-based assembly strategy. The composition-dependent surface-enhanced Raman scattering (SERS) spectroscopy revealed that the Au/Ag (Ag: 16.83%) SAMs provide maximized activity for triphenylmethane dyes detection. With the inter-metallic synergy, the optimized SAMs enable the Raman intensity of crystal violet molecules to be about 223 times higher than that of monometallic Au SAMs. Moreover, the SERS signals with excellent uniformity (<5% variation) are sensitive down to 10 -13   M concentrations because of the optimal matching between bimetallic plasmon resonance and the incident laser wavelength.

  14. Reactive Landing of Gramicidin S and Ubiquitin Ions onto Activated Self-Assembled Monolayer Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Laskin, Julia; Hu, Qichi

    2017-03-13

    Using mass-selected ion deposition combined with in situ infrared reflection absorption spectroscopy (IRRAS), we examined the reactive landing of gramicidin S and ubiquitin ions onto activated self-assembled monolayer (SAM) surfaces terminated with N-hydroxysuccinimidyl ester (NHS-SAM) and acyl fluoride (COF-SAM) groups. Doubly protonated gramicidin S, [GS+2H]2+, and two charge states of ubiquitin, [U+5H]5+ and [U+13H]13+, were used as model systems, allowing us to explore the effect of the number of free amino groups and the secondary structure on the efficiency of covalent bond formation between the projectile ion and the surface. For all projectile ions, ion deposition resulted in the depletion of IRRAS bands corresponding to the terminal groups on the SAM and the appearance of several new bands not associated with the deposited species. These new bands were assigned to the C=O stretching vibrations of COOH and COO- groups formed on the surface as a result of ion deposition. The presence of these bands was attributed to an alternative reactive landing pathway that competes with covalent bond formation. This pathway with similar yields for both gramicidin S and ubiquitin ions is analogous to the hydrolysis of the NHS ester bond in solution. The covalent bond formation efficiency increased linearly with the number of free amino groups and was found to be lower for the more compact conformation of ubiquitin compared with the fully unfolded conformation. This observation was attributed to the limited availability of amino groups on the surface of the folded conformation. Our results have provided new insights on the efficiency and mechanism of reactive landing of peptides and proteins onto activated SAMs

  15. 1-Dodecanethiol based highly stable self-assembled monolayers for germanium passivation

    International Nuclear Information System (INIS)

    Cai, Qi; Xu, Baojian; Ye, Lin; Di, Zengfeng; Huang, Shanluo; Du, Xiaowei; Zhang, Jishen; Jin, Qinghui; Zhao, Jianlong

    2015-01-01

    Highlights: • A simple and effective approach for higly stable germanium passivation. • 1-Dodecanethiol self-assembled monolayers for germanium oxidation resistance. • The influence factors of germanium passivation were systematically studied. • The stability of the passivated Ge was more than 10 days even in water conditions. - Abstract: As a typical semiconductor material, germanium has the potential to replace silicon for future-generation microelectronics, due to its better electrical properties. However, the lack of stable surface state has limited its extensive use for several decades. In this work, we demonstrated highly stable self-assembled monolayers (SAMs) on Ge surface to prevent oxidization for further applications. After the pretreatment in hydrochloric acid, the oxide-free and Cl-terminated Ge could be further coated with 1-dodecanethiol (NDM) SAMs. The influence factors including reaction time, solvent component and reaction temperature were optimized to obtain stable passivated monolayer for oxidation resistance. Contact angle analysis, atomic force microscopy, ellipsometer and X-ray photoelectron spectroscopy were performed to characterize the functionalized Ge surface respectively. Meanwhile, the reaction mechanism and stability of thiols SAMs on Ge (1 1 1) surface were investigated. Finally, highly stable passivated NDM SAMs on Ge surface could be formed through immersing oxide-free Ge in mixture solvent (water/ethanol, v/v = 1:1) at appropriately elevated temperature (∼80 °C) for 24 h. And the corresponding optimized passivated Ge surface was stable for more than 10 days even in water condition, which was much longer than the data reported and paved the way for the future practical applications of Ge.

  16. 1-Dodecanethiol based highly stable self-assembled monolayers for germanium passivation

    Energy Technology Data Exchange (ETDEWEB)

    Cai, Qi [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); University of Chinese Academy of Sciences, No. 19A, Yuquan Road, Beijing 100049 (China); Xu, Baojian, E-mail: xbj@mail.sim.ac.cn [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); Shanghai Internet of Things Co., LTD, No. 1455, Pingcheng Road, Shanghai 201899 (China); Ye, Lin [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); University of Chinese Academy of Sciences, No. 19A, Yuquan Road, Beijing 100049 (China); Di, Zengfeng [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); Huang, Shanluo; Du, Xiaowei [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); University of Chinese Academy of Sciences, No. 19A, Yuquan Road, Beijing 100049 (China); Zhang, Jishen; Jin, Qinghui [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); Zhao, Jianlong, E-mail: jlzhao@mail.sim.ac.cn [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China)

    2015-10-30

    Highlights: • A simple and effective approach for higly stable germanium passivation. • 1-Dodecanethiol self-assembled monolayers for germanium oxidation resistance. • The influence factors of germanium passivation were systematically studied. • The stability of the passivated Ge was more than 10 days even in water conditions. - Abstract: As a typical semiconductor material, germanium has the potential to replace silicon for future-generation microelectronics, due to its better electrical properties. However, the lack of stable surface state has limited its extensive use for several decades. In this work, we demonstrated highly stable self-assembled monolayers (SAMs) on Ge surface to prevent oxidization for further applications. After the pretreatment in hydrochloric acid, the oxide-free and Cl-terminated Ge could be further coated with 1-dodecanethiol (NDM) SAMs. The influence factors including reaction time, solvent component and reaction temperature were optimized to obtain stable passivated monolayer for oxidation resistance. Contact angle analysis, atomic force microscopy, ellipsometer and X-ray photoelectron spectroscopy were performed to characterize the functionalized Ge surface respectively. Meanwhile, the reaction mechanism and stability of thiols SAMs on Ge (1 1 1) surface were investigated. Finally, highly stable passivated NDM SAMs on Ge surface could be formed through immersing oxide-free Ge in mixture solvent (water/ethanol, v/v = 1:1) at appropriately elevated temperature (∼80 °C) for 24 h. And the corresponding optimized passivated Ge surface was stable for more than 10 days even in water condition, which was much longer than the data reported and paved the way for the future practical applications of Ge.

  17. Evaluation of monolayers and mixed monolayers formed from mercaptobenzothiazole and decanethiol as sensing platforms

    Energy Technology Data Exchange (ETDEWEB)

    Mary Vergheese, T.; Berchmans, Sheela

    2004-02-15

    In this investigation, the characterisation of monolayer and mixed monolayers formed from mercaptobenzothiazole (MBT) and decanethiol (DT) has been carried out with cyclic voltammetry. The SAMs have been tested for their stability and electron transfer blocking properties. The redox probes used in the present study are [Fe(China){sub 6}]{sup 4-}, [Ru(NH{sub 3}){sub 6}]{sup 2+} and Cu underpotential deposition (upd). The electron transfer kinetics is investigated in acid and neutral pH range. Electron transfer kinetics is altered by the nature of charge on the redox probe and the charge on the monolayer. Electron transfer kinetics of negatively charged redox probes like ferrocyanide ions is blocked when the surface pK{sub a}pH{sub medium} reversible features is observed for negatively charged probes. An exactly reverse effect is observed in the case of positively charged redox species like [Ru(NH{sub 3}){sub 6}]{sup 2+/3+}. Cu under potential deposition studies reflects the structural integrity and compactness of the SAM layer. The utility of these monolayers and mixed monolayer for selective sensing of dopamine is discussed based on their ability to discriminate between positively and negatively charged redox species at different pH.

  18. Triptycene-terminated thiolate and selenolate monolayers on Au(111

    Directory of Open Access Journals (Sweden)

    Jinxuan Liu

    2017-04-01

    Full Text Available To study the implications of highly space-demanding organic moieties on the properties of self-assembled monolayers (SAMs, triptycyl thiolates and selenolates with and without methylene spacers on Au(111 surfaces were comprehensively studied using ultra-high vacuum infrared reflection absorption spectroscopy, X-ray photoelectron spectroscopy, near-edge X-ray absorption fine structure spectroscopy and thermal desorption spectroscopy. Due to packing effects, the molecules in all monolayers are substantially tilted. In the presence of a methylene spacer the tilt is slightly less pronounced. The selenolate monolayers exhibit smaller defect densities and therefore are more densely packed than their thiolate analogues. The Se–Au binding energy in the investigated SAMs was found to be higher than the S–Au binding energy.

  19. Effects of a self-assembled monolayer on the sliding friction and adhesion of an Au surface

    Energy Technology Data Exchange (ETDEWEB)

    Wu, C.D.; Lin, J.F. [Department of Mechanical Engineering, National Cheng Kung University and Center for Micro/Nano Science and Technology, National Cheng Kung University, Tainan (China); Fang, T.H. [National Formosa University, Institute of Mechanical and Electromechanical Engineering, Yunlin, Taiwan (China); Lin, H.Y.; Chang, S.H. [Industrial Technology Research Institute, Taiwan (China)

    2008-06-15

    The friction and adhesion mechanisms with and without a self-assembled monolayer (SAM) in nanotribology were studied using molecular dynamics (MD) simulation. The MD model consisted of two gold planes with and without n-hexadecanethiol SAM chemisorbed to the substrate, respectively. The molecular trajectories, tilt angles, normal forces, and frictional forces of the SAM and gold molecules were evaluated during the frictional and relaxation processes for various parameters, including the number of CH{sub 2} molecules, the interference magnitude, and whether or not the SAM lubricant was used. The various parameters are discussed with regard to frictional and adhesion forces, mechanisms, and molecular or atomic structural transitions. The stick-slip behavior of SAM chains can be completely attributed to the van der Waals forces of the chain/chain interaction. When the number of CH{sub 2} molecules was increased, the SAM chains appeared to have bigger tilt angles at deformation. The magnitude of the strain energy that was saved and relaxed is proportional to the elastic deformable extent of the SAM molecules. The frictional force was higher for long chain molecules. With shorter SAM molecules, the adhesion force behavior was more stable during the compression and relaxation processes. A surface coated with a SAM can increase nano-device lifetimes by avoiding interface effects like friction and adhesion. (orig.)

  20. Investigation of functionalized silicon nanowires by self-assembled monolayer

    Energy Technology Data Exchange (ETDEWEB)

    Hemed, Nofar Mintz [Dept. of Physical Electronics, Eng. Faculty, and the University Res. Inst. for Nano Science and Nano-Technologies, Tel-Aviv University, Ramat-Aviv 69978 (Israel); Convertino, Annalisa [Istituto per la Microelettronica e i Microsistemi C.N.R.-Area della Ricerca di Roma, via del Fosso del Cavaliere 100, I-00133 Roma (Italy); Shacham-Diamand, Yosi [Dept. of Physical Electronics, Eng. Faculty, and the University Res. Inst. for Nano Science and Nano-Technologies, Tel-Aviv University, Ramat-Aviv 69978 (Israel); The Department of Applied Chemistry, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan)

    2016-03-30

    Graphical abstract: - Highlights: • We characterize and verify the existence of self-assembled monolayer (SAM) on silicon nanowires and α-Si:H. • We define the term “electrical coverage” and find the formula for both cases. • The SAM's electrical coverage on silicon nanowires is found to be ∼63%. • The SAM's electrical coverage on α-Si:H is found to be ∼65 ± 3%. • The amount of SAM on the SiNWs is sufficient and it can serve as a linker to biological molecules. - Abstract: The functionalization using self assembled monolayer (SAM) of silicon nanowires (SiNW) fabricated by plasma enhanced chemical vapor deposition (PECVD) is reported here. The SAM is being utilized as the first building block in the functionalization process. The morphology of the SiNW comprises a polycrystalline core wrapped by an hydrogenated amorphous silicon (α-Si:H) shell. Since most of the available methods for SAM verification and characterization are suitable only for flat substrates; therefore, in addition to the SiNW α-Si:H on flat samples were produced in the same system as the SiNWs. First we confirmed the SAM's presence on the flat α-Si:H samples using the following methods: contact angle measurement to determine the change in surface energy; atomic force microscopy (AFM) to determine uniformity and molecular coverage. Spectroscopic ellipsometry and X-ray reflectivity (XRR) were performed to measure SAM layer thickness and density. X-ray photoelectron spectroscopy (XPS) was applied to study the chemical states of the surface. Next, SiNW/SAM were tested by electrochemical impedance spectroscopy (EIS), and the results were compared to α-Si:H/SAM. The SAM electrical coverage on SiNW and α-Si:H was found to be ∼37% and ∼65 ± 3%, respectively. A model, based on transmission line theory for the nanowires is presented to explain the disparity in results between the nanowires and flat surface of the same materials.

  1. Controlled modification of octadecyltrichlorosilane self-assembled monolayer by CO2 plasma

    International Nuclear Information System (INIS)

    Delorme, Nicolas; Bardeau, Jean-Francois; Bulou, Alain; Poncin-Epaillard, Fabienne

    2006-01-01

    CO 2 -plasma is used to introduce functional groups on the uppermost surface of an alkoxy silane self-assembled monolayer (Sam). The structural and chemical modifications of the material surface were monitored by X-ray reflectometry, atomic force microscopy, X-ray photoelectrons spectroscopy and water contact angle measurements. Optimization of the plasma parameters is performed in order to achieve a maximum functionalization and to prevent degradation of the SAM. Finally, the ability of grafting organic compounds onto the plasma modified SAMS was demonstrated by the formation of an alkoxysilane bilayer

  2. On the Hopping Efficiency of Nanoparticles in the Electron Transfer across Self‐Assembled Monolayers

    DEFF Research Database (Denmark)

    Liu, Feng; Khan, Kamran; Liang, Jing‐Hong

    2013-01-01

    Redox reactions of solvated molecular species at gold‐electrode surfaces modified by electrochemically inactive self‐assembled molecular monolayers (SAMs) are found to be activated by introducing Au nanoparticles (NPs) covalently bound to the SAM to form a reactive Au–alkanedithiol–NP–molecule hy...

  3. Fabrication of Silicon nanostructures by UHV-STM lithography in Self-Assembled Monolayers

    International Nuclear Information System (INIS)

    Sundermann, M.; Brechling, A.; Rott, K.; Meyners, D.; Kleineberg, U.; Heinzmann, U.; Knueller, A.; Eck, W.; Goelzhueuser, A.; Grunze, M.

    2002-01-01

    Our approach utilizes UHV-STM writing in Self-Assembled Monolayers (SAM). SAMs form highly-ordered ultrathin (∼2-3 nm) monomolecular layers on top of pre-activated Si(100) or Si(111) surfaces. After patterning by UHV-STM writing in constant-current mode at different write parameters (gap voltage, electron dose) the modified Self-Assembled Monolayer serves as an etch mask for an anisotropic wet etch transfer (two-step etch process in aqueous solutions of 5 % HF and 1 M KOH), of the write structure into the silicon substrate. The corresponding silicon nano-structures have been analyzed afterwards by AFM or SEM to characterize the pattern accuracy. We have studied the suitability of three different types of SAMs on silicon single-crystals. Alkyl-chain-type SAMs like Octadecylsilane (ODS) monolayer have been formed by immersion of hydroxylated Si(100) in Octadecyltrichlorosilane (CH 3 (CH 27 SiCl 3 ) while SAMs with aromatic spacer groups such as Hydroxybiphenyl (HBP, (C 6 H 6 ) 2 OH) and Ethoxybiphenyl silane (EBP, (C 6 H 6 ) 2 O(CH 2 ) 3 Si(OCH 3 ) 3 ) are formed on Si(111). (Authors)

  4. A comparative study of the growth of octadecyltrichlorosilane and 3-mercaptopropyltrimethoxysilane self-assembled monolayers on hydrophilic silicon surfaces

    International Nuclear Information System (INIS)

    Yang, S.-R.; Kolbesen, Bernd O.

    2008-01-01

    Self-assembled monolayers of two different organosilane precursors, methyl-terminated nonpolar n-octadecyltrichlorosilane (OTS, Cl 3 Si(CH 2 ) 17 CH 3 ) and thiol-terminated polar 3-mercaptopropyltrimethoxysilane (MPTMS, (CH 3 O) 3 SiCH 2 CH 2 CH 2 SH), were prepared separately on hydrophilic silicon surfaces by immersion in millimolar solutions of the respective precursors in toluene at room temperature. Ex situ atomic force microscopy (AFM), lateral force microscopy (LFM) and X-ray photoelectron spectroscopy (XPS) were used to study the growth and the properties of OTS and MPTMS SAMs. For OTS SAMs, generally speaking, small islands surrounded large dendrite-shaped islands. But for MPTMS SAMs, sporadic small round islands appeared, but no dendrites. The impact of the solution age was more significant on the growth of OTS SAMs than MPTMS SAMs. At the same precursor concentration and solution age, the growth of OTS SAMs was much faster than MPTMS SAMs due to the greater hydrolysis ability of Si-Cl bonds in OTS as compared with that of Si-OCH 3 bonds in MPTMS. The difference in hydrolysis ability was confirmed by the absence of a Cl signal in the XP spectrum of OTS SAMs and the existence of a C 1s peak corresponding to unhydrolyzed Si-OCH 3 bonds in the XP spectrum of MPTMS SAMs. This trend together with the difference in alkyl chain length had a strong influence on the surface morphology and coverage of these two SAMs. According to the individual adsorption behavior of the components, the predictable kinetic difficulty of preparing OTS/MPTMS mixed SAMs by co-adsorption is pointed out. Furthermore, a potential reaction condition for stepwise adsorption is suggested.

  5. Self-assembled monolayers of semi-fluorinated thiols and disulfides with a potentially antibacterial terminal fragment on gold surfaces

    International Nuclear Information System (INIS)

    Thebault, P.; Taffin de Givenchy, E.; Guittard, F.; Guimon, C.; Geribaldi, S.

    2008-01-01

    Attempts to elaborate the best organized cationic self-assembled monolayers (SAMs) with sulfur derivatives containing potentially bactericidal quaternary ammonium salt moieties have been performed on gold with the final aim to obtain contact-active antibacterial surfaces. Four molecules bearing two hydrocarbon spacers with different lengths between the sulfur atom and the quaternized nitrogen atom, and two different terminal semi-fluorinated alkyl chains have been synthesised and used in view to evaluate their capacity for leading to the highest densities and the highest organization of potentially active molecules on the metal surface. The formation and quality of SAMs characterized by X-ray photoelectron spectroscopy, Internal Reflexion Infra Red Imaging, contact angle and blocking factor measurements depend on the lengths of both the hydrocarbon spacer and terminal perfluorinated chain

  6. Chemically Transformable Configurations of Mercaptohexadecanoic Acid Self-Assembled Monolayers Adsorbed on Au(111)

    International Nuclear Information System (INIS)

    van Buuren, T; Bostedt, C; Nelson, A J; Terminello, L J; Vance, A L; Fadley, C S; Willey, T M

    2003-01-01

    Carboxyl terminated Self-Assembled Monolayers (SAMs) are commonly used in a variety of applications, with the assumption that the molecules form well ordered monolayers. In this work, NEXAFS verifies well ordered monolayers can be formed using acetic acid in the solvent. Disordered monolayers with unbound molecules present in the result using only ethanol. A stark reorientation occurs upon deprotonation of the endgroup by rinsing in a KOH solution. This reorientation of the endgroup is reversible with tilted over, hydrogen bound carboxyl groups while carboxylate-ion endgroups are upright. C1s photoemission shows that SAMs formed and rinsed with acetic acid in ethanol, the endgroups are protonated, while without, a large fraction of the molecules on the surface are carboxylate terminated

  7. Biological Activation of Inert Ceramics: Recent Advances Using Tailored Self-Assembled Monolayers on Implant Ceramic Surfaces

    Science.gov (United States)

    Böke, Frederik; Schickle, Karolina; Fischer, Horst

    2014-01-01

    High-strength ceramics as materials for medical implants have a long, research-intensive history. Yet, especially on applications where the ceramic components are in direct contact with the surrounding tissue, an unresolved issue is its inherent property of biological inertness. To combat this, several strategies have been investigated over the last couple of years. One promising approach investigates the technique of Self-Assembled Monolayers (SAM) and subsequent chemical functionalization to create a biologically active tissue-facing surface layer. Implementation of this would have a beneficial impact on several fields in modern implant medicine such as hip and knee arthroplasty, dental applications and related fields. This review aims to give a summarizing overview of the latest advances in this recently emerging field, along with thorough introductions of the underlying mechanism of SAMs and surface cell attachment mechanics on the cell side. PMID:28788687

  8. The Thioacetate-Functionalized Self-Assembled Monolayers on Au: Toward High-Performance Ion-Selective Electrode for Ag{sup +}

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Jian; Zhou, Weijie; Chen, Ying; Liu, Yilong; Sun, Xiaoqiang; Xi Haitao [Changzhou Univ., Changzhou (China)

    2014-02-15

    Two classes of morpholino-substituted thioacetate have been successfully synthesized and their electrochemical properties of self-assembled monolayers (SAMs) on Au electrode are measured by cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS). The barrier property of the SAMs-modified surfaces is evaluated by using potassium ferro/ferri cyanide. The results suggest that the arenethioacetate forms higher-quality close-packed blocking monolayers in comparison with alkanethioacetate. Furthermore, it has shown that the barrier properties of these monolayers can be significantly improved by mixed SAMs formation with decanethiol. From our experimental results we find that the electron transfer reaction of [Fe(CN){sub 6}]{sup 3-/4-} redox couple occurs predominantly through the pinholes and defects present in the SAM and both SAMs show a good and fast capacity in recognition for Ag{sup +}. The morphological and elementary composition have also been examined by scanning electron microscope (SEM) and energy dispersive spectrometer (EDS)

  9. Inhibition of copper corrosion in sodium chloride solution by the self-assembled monolayer of sodium diethyldithiocarbamate

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Q.Q., E-mail: liaoqq1971@yahoo.com.c [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy - Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Yue, Z.W.; Yang, D. [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy - Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Wang, Z.H. [Department of Chemistry, Tongji University, Shanghai 200092 (China); Li, Z.H. [Department of Chemistry, Fudan University, Shanghai 200433 (China); Ge, H.H. [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy - Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Li, Y.J. [Department of Chemistry, Tongji University, Shanghai 200092 (China)

    2011-05-15

    Research highlights: DDTC is of low toxicity. DDTC SAM had good corrosion inhibition effects on copper in 3% NaCl solution. DDTC SAM was chemisorbed on copper surface by its S atoms. - Abstract: Sodium diethyldithiocarbamate (DDTC) self-assembled monolayer (SAM) on copper surface has been investigated by SERS and EDS and the results show that DDTC SAM is chemisorbed on copper surface by its S atoms with tilted orientation. Corrosion inhibition ability of DDTC SAM was measured in 3% NaCl solution using electrochemical methods. The impedance results indicate that the maximum inhibition efficiency of DDTC SAM can reach 99%. Quantum chemical calculations show that DDTC has relatively small {Delta}E between HOMO and LUMO and large negative charge in its two sulfur atoms, which facilitates the formation of a DDTC SAM on copper surface.

  10. Reactive Landing of Dendrimer Ions onto Activated Self-assembled Monolayer Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Qichi; Laskin, Julia

    2014-02-06

    The reactivity of gaseous, amine-terminated polyamidoamine (PAMAM) dendrimer ions with activated self-assembled monolayer (SAM) surfaces terminated with N-hydroxysuccinimidyl ester groups (NHS-SAM) is examined using mass-selected ion deposition combined with in situ infrared reflection absorption spectroscopy (IRRAS). The reaction extent is determined from depletion of the infrared band at 1753 cm-1, corresponding to the stretching vibration of the NHS carbonyl groups following ion deposition. For reaction yields below 10%, NHS band depletion follows a linear dependence on the ion dose. By comparing the kinetics plots obtained for 1,12-dodecanediamine and different generations of dendrimer ions (G0–G3) containing 4, 8, 16, and 32 terminal amino group, we demonstrate that the relative reaction efficiency increases linearly with the number of NH2 groups in the molecule. This finding is rationalized assuming the formation of multiple amide bonds upon collision of higher-generation dendrimers with NHS-SAM. Furthermore, by comparing the NHS band depletion following deposition of [M+4H]4+ ions of the G2 dendrimer at 30, 80, and 120 eV, we demonstrate that the ion’s kinetic energy has no measurable effect on reaction efficiency. Similarly, the ion’s charge state only has a minor effect on the reactive landing efficiency of dendrimer ions. Our results indicate that reactive landing is an efficient approach for highly selective covalent immobilization of complex multifunctional molecules onto organic surfaces terminated with labile functional groups.

  11. UV/Vis and NIR Light-Responsive Spiropyran Self-Assembled Monolayers

    NARCIS (Netherlands)

    Ivashenko, Oleksii; Herpt, Jochem T. van; Feringa, Ben L.; Rudolf, Petra; Browne, Wesley R.

    2013-01-01

    Self-assembled monolayers of a 6-nitro BIPS spiropyran (SP) modified with a disulfide-terminated aliphatic chain were prepared on polycrystalline gold surfaces and characterized by UV/vis absorption, surface-enhanced Raman scattering (SEAS), and X-ray photoelectron spectroscopies (XPS). The SAMs

  12. Impact of self-assembled monolayer assisted surface dipole modulation of PET substrate on the quality of RF-sputtered AZO film

    Energy Technology Data Exchange (ETDEWEB)

    Vo, Thieu Thi Tien [Department of Chemical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China); Faculty of Chemical Engineering and Food Technology, Ba Ria-Vung Tau University, Vung Tau (Viet Nam); Mahesh, K.P.O. [Department of Chemical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China); Lin, Pao-Hung [Department of Electronic and Computer Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China); Tai, Yian, E-mail: ytai@mail.ntust.edu.tw [Department of Chemical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China)

    2017-05-01

    Highlights: • We use SAMs functionalizing the PET substrates to generate different surface dipoles. • We deposited AZO film on pristine and SAMs-modified PET substrate. • The positive dipole moment of PET surface promotes the crystallinity of AZO film. • The negative dipole moment of PET surface deteriorates the crystallinity of AZO film. • The electrical properties of AZO/PET changes upon the variation of the crystallinity. - Abstract: In this study, we fabricated the electron donating/withdrawing group functionalized organosilane self-assembled monolayers (SAMs) on transparent polyethylene terephthalate (PET) flexible substrate followed by the deposition of aluminum doped zinc oxide (AZO) using RF magnetron sputtering at room temperature. The effect of different SAMs on transparent PET substrates and AZO films were studied by contact angle (CA), X-ray photoelectron spectroscopy (XPS), Atomic force microscopy (AFM), X-ray diffraction (XRD), Field-Emission scanning electron microscope (FE-SEM), Hall measurement and UV–vis spectroscopy (UV–vis). The results presented that the surface dipole (i.e. electron-donating/withdrawing) of different SAMs functionalized PET substrates affected the quality of the AZO films which deposited on top of them. The crystallinity, the charge mobility, and the carrier concentration of the AZO improved when the film was deposited on the PET functionalized with electron donating group, which was possibly due to favored interaction between electron donating group and Al ions.

  13. Impact of self-assembled monolayer assisted surface dipole modulation of PET substrate on the quality of RF-sputtered AZO film

    International Nuclear Information System (INIS)

    Vo, Thieu Thi Tien; Mahesh, K.P.O.; Lin, Pao-Hung; Tai, Yian

    2017-01-01

    Highlights: • We use SAMs functionalizing the PET substrates to generate different surface dipoles. • We deposited AZO film on pristine and SAMs-modified PET substrate. • The positive dipole moment of PET surface promotes the crystallinity of AZO film. • The negative dipole moment of PET surface deteriorates the crystallinity of AZO film. • The electrical properties of AZO/PET changes upon the variation of the crystallinity. - Abstract: In this study, we fabricated the electron donating/withdrawing group functionalized organosilane self-assembled monolayers (SAMs) on transparent polyethylene terephthalate (PET) flexible substrate followed by the deposition of aluminum doped zinc oxide (AZO) using RF magnetron sputtering at room temperature. The effect of different SAMs on transparent PET substrates and AZO films were studied by contact angle (CA), X-ray photoelectron spectroscopy (XPS), Atomic force microscopy (AFM), X-ray diffraction (XRD), Field-Emission scanning electron microscope (FE-SEM), Hall measurement and UV–vis spectroscopy (UV–vis). The results presented that the surface dipole (i.e. electron-donating/withdrawing) of different SAMs functionalized PET substrates affected the quality of the AZO films which deposited on top of them. The crystallinity, the charge mobility, and the carrier concentration of the AZO improved when the film was deposited on the PET functionalized with electron donating group, which was possibly due to favored interaction between electron donating group and Al ions.

  14. Tribology of monolayer films: comparison between n-alkanethiols on gold and n-alkyl trichlorosilanes on silicon.

    Science.gov (United States)

    Booth, Brandon D; Vilt, Steven G; McCabe, Clare; Jennings, G Kane

    2009-09-01

    This Article presents a quantitative comparison of the frictional performance for monolayers derived from n-alkanethiolates on gold and n-alkyl trichlorosilanes on silicon. Monolayers were characterized by pin-on-disk tribometry, contact angle analysis, ellipsometry, and electrochemical impedance spectroscopy (EIS). Pin-on-disk microtribometry provided frictional analysis at applied normal loads from 10 to 1000 mN at a speed of 0.1 mm/s. At low loads (10 mN), methyl-terminated n-alkanethiolate self-assembled monolayers (SAMs) exhibited a 3-fold improvement in coefficient of friction over SAMs with hydroxyl- or carboxylic-acid-terminated surfaces. For monolayers prepared from both n-alkanethiols on gold and n-alkyl trichlorosilanes on silicon, a critical chain length of at least eight carbons is required for beneficial tribological performance at an applied load of 9.8 mN. Evidence for disruption of chemisorbed alkanethiolate SAMs with chain lengths n tribology wear tracks. The direct comparison between the tribological stability of alkanethiolate and silane monolayers shows that monolayers prepared from n-octadecyl dimethylchlorosilane and n-octadecyl trichlorosilane withstood normal loads at least 30 times larger than those that damaged octadecanethiolate SAMs. Collectively, our results show that the tribological properties of monolayer films are dependent on their internal stabilities, which are influenced by cohesive chain interactions (van der Waals) and the adsorbate-substrate bond.

  15. Nano-indentation at the surface contact level: applying a harmonic frequency for measuring contact stiffness of self-assembled monolayers adsorbed on Au

    International Nuclear Information System (INIS)

    Chang, C.-W.; Liao, J.-D.

    2008-01-01

    In this study, the well-ordered alkanethiolate self-assembled monolayers (SAMs) of varied chain lengths and tail groups were employed as examples for nano-characterization on their mechanical properties. A novel nano-indentation technique with a constant harmonic frequency was applied on SAMs chemically adsorbed on Au to explore their contact mechanics, and furthermore to interpret how SAM molecules respond to an infinitesimal oscillation force without pressing them. Experimental results demonstrated that the harmonic contact stiffness along with the measured displacement of SAMs/Au was distinguishable using a dynamic contact modulus with the distinct feature of phase angles. Phase angles resulted from the relaxing continuation of an applied harmonic frequency and mostly influenced by the outermost tail group of SAM molecules. The harmonic contact stiffness of SAM molecules obviously increased with the densely packed alkyl chains and relatively intense agglomeration of the head group at the anchoring site. As a consequence, the result of this work is relevant to contact mechanics at the surface contact level for the distinction of molecular substances attached on a solid surface. Furthermore it is particularly anticipated to identify biological molecules of variable qualities under a fluid-like micro-environment

  16. Nano-indentation at the surface contact level: applying a harmonic frequency for measuring contact stiffness of self-assembled monolayers adsorbed on Au

    Energy Technology Data Exchange (ETDEWEB)

    Chang, C.-W.; Liao, J.-D. [Department of Materials Science and Engineering, National Cheng Kung University, No. 1, University Road, Tainan 70101, Taiwan (China)], E-mail: jdliao@mail.ncku.edu.tw

    2008-08-06

    In this study, the well-ordered alkanethiolate self-assembled monolayers (SAMs) of varied chain lengths and tail groups were employed as examples for nano-characterization on their mechanical properties. A novel nano-indentation technique with a constant harmonic frequency was applied on SAMs chemically adsorbed on Au to explore their contact mechanics, and furthermore to interpret how SAM molecules respond to an infinitesimal oscillation force without pressing them. Experimental results demonstrated that the harmonic contact stiffness along with the measured displacement of SAMs/Au was distinguishable using a dynamic contact modulus with the distinct feature of phase angles. Phase angles resulted from the relaxing continuation of an applied harmonic frequency and mostly influenced by the outermost tail group of SAM molecules. The harmonic contact stiffness of SAM molecules obviously increased with the densely packed alkyl chains and relatively intense agglomeration of the head group at the anchoring site. As a consequence, the result of this work is relevant to contact mechanics at the surface contact level for the distinction of molecular substances attached on a solid surface. Furthermore it is particularly anticipated to identify biological molecules of variable qualities under a fluid-like micro-environment.

  17. Characterization of manganese tetraarylthiosubstituted phthalocyanines self assembled monolayers

    International Nuclear Information System (INIS)

    Matemadombo, Fungisai; Durmus, Mahmut; Togo, Chamunorwa; Limson, Janice; Nyokong, Tebello

    2009-01-01

    Manganese tetraarylthiosubstituted phthalocyanines (complexes 1-5) have been deposited on Au electrode surfaces through the self assembled monolayer (SAM) technique. SAM characteristics reported in this work are: ion barrier factor (∼1); interfacial capacitance (303-539 μF cm -2 ) and surface coverage (1.06 x 10 -10 -2.80 x 10 -10 mol cm -2 ). Atomic force microscopy was employed in characterizing a SAM. SAMs of complexes 1-5 were employed to detect L-cysteine (with limit of detection ranging from 2.83 x 10 -7 to 3.14 x 10 -7 M at potentials of 0.68-0.75 V vs. Ag|AgCl) and nitrite (limit of detection ranging from 1.78 x 10 -7 to 3.02 x 10 -7 M at potentials of 0.69-0.76 V vs. Ag|AgCl).

  18. Raman mapping and in situ SERS spectroelectrochemical studies of 6-mercaptopurine SAMs on the gold electrode.

    Science.gov (United States)

    Yang, Haifeng; Liu, Yanli; Liu, Zhimin; Yang, Yu; Jiang, Jianhui; Zhang, Zongrang; Shen, Guoli; Yu, Ruqin

    2005-02-24

    The self-assembled monolayers (SAMs) of 6-mercaptopurine (6MP) were formed at the roughened polycrystalline gold surfaces in acid and alkaline media. The time-dependent Raman mapping spectral analysis in conjunction with the quantum calculations for the vibrational modes using ab initio BLYP/6-31G method suggested that both of the resulted 6MP SAMs adopted the same adsorption mode through the S atom of pyrimidine moiety and the N7 atom of the imidazole moiety anchoring the gold surface in a vertical way. The in situ surface-enhanced Raman scattering spectroelectrochemical experiment was conducted to examine the stability of the SAMs at various bias potentials. It was found that the detaching process of the 6MP SAMs from the surface involved one electron reduction as the voltage was applied at ca. 0.7 V vs a standard calomel electrode.

  19. Triazolobithiophene Light Absorbing Self-Assembled Monolayers: Synthesis and Mass Spectrometry Applications

    Directory of Open Access Journals (Sweden)

    Denis Séraphin

    2011-10-01

    Full Text Available The synthesis of five light absorbing triazolobithiophenic thiols, which were utilized for producing self-assembled monolayers (SAMs on gold surfaces, is presented. The monolayer formation was monitored by cyclic voltammetry, indicating excellent surface coverage. The new triazolobithiophenic compounds exhibited an absorption maximum around 340 nm, which is close to the emission wavelength of a standard nitrogen laser. Consequently these compounds could be used to aid ionization in laser desorption mass spectrometry (MS.

  20. Disorder-derived, strong tunneling attenuation in bis-phosphonate monolayers

    Science.gov (United States)

    Pathak, Anshuma; Bora, Achyut; Liao, Kung-Ching; Schmolke, Hannah; Jung, Antje; Klages, Claus-Peter; Schwartz, Jeffrey; Tornow, Marc

    2016-03-01

    Monolayers of alkyl bisphosphonic acids (bisPAs) of various carbon chain lengths (C4, C8, C10, C12) were grown on aluminum oxide (AlO x ) surfaces from solution. The structural and electrical properties of these self-assembled monolayers (SAMs) were compared with those of alkyl monophosphonic acids (monoPAs). Through contact angle (CA) and Kelvin-probe (KP) measurements, ellipsometry, and infrared (IR) and x-ray photoelectron (XPS) spectroscopies, it was found that bisPAs form monolayers that are relatively disordered compared to their monoPA analogs. Current-voltage (J-V) measurements made with a hanging Hg drop top contact show tunneling to be the prevailing transport mechanism. However, while the monoPAs have an observed decay constant within the typical range for dense monolayers, β mono  =  0.85  ±  0.03 per carbon atom, a surprisingly high value, β bis  =  1.40  ±  0.05 per carbon atom, was measured for the bisPAs. We attribute this to a strong contribution of ‘through-space’ tunneling, which derives from conformational disorder in the monolayer due to strong interactions of the distal phosphonic acid groups; they likely form a hydrogen-bonding network that largely determines the molecular layer structure. Since bisPA SAMs attenuate tunnel currents more effectively than do the corresponding monoPA SAMs, they may find future application as gate dielectric modification in organic thin film devices.

  1. Novel determination of cadmium ions using an enzyme self-assembled monolayer with surface plasmon resonance

    International Nuclear Information System (INIS)

    May May, Lee; Russell, David A.

    2003-01-01

    The activity of the enzyme urease is known to be inhibited by the heavy metal cadmium. The binding of cadmium to urease and the consequent changes of the enzyme structure are the basis of the surface plasmon resonance (SPR) biosensing system reported herein. To facilitate the formation of a self-assembled monolayer (SAM) of the urease on gold-coated glass SPR sensor disks, the enzyme has been modified with N-succinimidyl 3-(2-pyridyldithiol) propionate (SPDP). The urease monolayer was exposed to trace levels of cadmium ions and monitored by SPR. From circular dichroism (CD) data, it is believed that the conformation of the active nickel site of the urease changes upon binding of the cadmium ions. It is this change of the enzyme monolayer, measured by SPR, which has been related to the cadmium ion concentration in the range of 0-10 mg l -1 . These data are the first report of a SPR biosensor capable of detecting metal ions

  2. Calculation of Quasi-Particle Energies of Aromatic Self-Assembled Monolayers on Au(111).

    Science.gov (United States)

    Li, Yan; Lu, Deyu; Galli, Giulia

    2009-04-14

    We present many-body perturbation theory calculations of the electronic properties of phenylene diisocyanide self-assembled monolayers (SAMs) on a gold surface. Using structural models obtained within density functional theory (DFT), we have investigated how the SAM molecular energies are modified by self-energy corrections and how they are affected by the presence of the surface. We have employed a combination of GW (G = Green's function; W = screened Coulomb interaction) calculations of the SAM quasi-particle energies and a semiclassical image potential model to account for surface polarization effects. We find that it is essential to include both quasi-particle corrections and surface screening in order to provide a reasonable estimate of the energy level alignment at a SAM-metal interface. In particular, our results show that within the GW approximation the energy distance between phenylene diisocyanide SAM energy levels and the gold surface Fermi level is much larger than that found within DFT, e.g., more than double in the case of low packing densities of the SAM.

  3. Trapping dynamics of diindenoperylene (DIP) in self-assembled monolayers using molecular simulation

    KAUST Repository

    Kaushik, Ananth P.

    2011-07-01

    All-atom Molecular Dynamics simulation methods employing a well-tested intermolecular potential model, MM3 (Molecular Mechanics 3), demonstrate the propensity for diindenoperylene (DIP) molecules to insert between molecules of a self-assembled monolayer (SAM) during a deposition process intended to grow a thin film of this organic semiconductor molecule onto the surface of self-assembled monolayers. The tendency to insert between SAM molecules is fairly prevalent at normal growth temperatures and conditions, but is most strongly dependent on the density and the nature of the SAM. We posit the existence of an optimal density to favor surface adsorption over insertion for this system. DIP is less likely to insert in fluorinated SAMs, like FOTS (fluorooctatrichlorosilane), than its unfluorinated analog, OTS (octatrichlorosilane). It is also less likely to insert between shorter SAMs (e.g., less insertion in OTS than ODTS (octadecyltrichlorosilane)). Very short length, surface-coating molecules, like HDMS (hexamethyldisilazane), are more likely to scatter energetic incoming DIP molecules with little insertion on first impact (depending on the incident energy of the DIP molecule). Grazing angles of incidence of the depositing molecules generally favor surface adsorption, at least in the limit of low coverage, but are shown to be dependent on the nature of the SAM. The validity of these predictions is confirmed by comparison of the predicted sticking coefficients of DIP at a variety of incident energies on OTS, ODTS, and FOTS SAMs with results obtained experimentally by Desai et al. (2010) [23]. The simulation predictions of the tendency of DIP to insert can be explained, in large part, in terms of binding energies between SAM and DIP molecules. However, we note that entropic and stochastic events play a role in the deposition outcomes. Preliminary studies of multiple deposition events, emulating growth, show an unexpected diffusion of DIP molecules inserted within the

  4. Effect of Time and Deposition Method on Quality of Phosphonic Acid Modifier Self-Assembled Monolayers on Indium Zinc Oxide

    Energy Technology Data Exchange (ETDEWEB)

    Sang, Lingzi; Knesting, Kristina M.; Bulusu, Anuradha; Sigdel, Ajaya K.; Giordano, Anthony J.; Marder, Seth R.; Berry, Joseph J.; Graham, Samuel; Ginger, David S.; Pemberton, Jeanne E.

    2016-12-15

    Phosphonic acid (PA) self-assembled monolayers (SAMs) are utilized at critical interfaces between transparent conductive oxides (TCO) and organic active layers in organic photovoltaic devices (OPVs). The effects of PA deposition method and time on the formation of close-packed, high-quality monolayers is investigated here for SAMs fabricated by solution deposition, micro-contact printing, and spray coating. The solution deposition isotherm for pentafluorinated benzylphosphonic acid (F5BnPA) on indium-doped zinc oxide (IZO) is studied using polarization modulation-infrared reflection-absorption spectroscopy (PM-IRRAS) at room temperature as a model PA/IZO system. Fast surface adsorption occurs within the first min; however, well-oriented high-quality SAMs are reached only after -48 h, presumably through a continual process of molecular adsorption/desorption and monolayer filling accompanied by molecular reorientation. Two other rapid, soak-free deposition techniques, micro-contact printing and spray coating, are also explored. SAM quality is compared for deposition of phenyl phosphonic acid (PPA), F13-octylphosphonic acid (F13OPA), and pentafluorinated benzyl phosphonic acid (F5BnPA) by solution deposition, micro-contact printing and spray coating using PM-IRRAS. In contrast to micro-contact printing and spray coating techniques, 48-168 h solution deposition at both room temperature and 70 degrees C result in contamination- and surface etch-free close-packed monolayers with good reproducibility. SAMs fabricated by micro-contact printing and spray coating are much less well ordered.

  5. Non-Photolithographic Manufacturing Processes for Micro-Channels Functioned by Micro-Contact-Printed SAMs

    Science.gov (United States)

    Saigusa, Hiroki; Suga, Yasuo; Miki, Norihisa

    In this paper we propose non-photolithographic fabrication processes of micro-fluid channels with patterned SAMs (Self-Assembled-Monolayers). SAMs with a thiol group are micro-contact printed on a patterned Au/Ti layer, which is vapor-deposited through a shadow mask. Ti is an adhesion layer. Subsequently, the micro-channels are formed by bonding surface-activated PDMS onto the silicon substrate via a silanol group, producing a SAMs-functioned bottom wall of the micro-channel. No photolithographic processes are necessary and thus, the proposed processes are very simple, quick and low cost. The micro-reactors can have various functions associated with the micro-contact-printed SAMs. We demonstrate successful manufacturing of micro-reactors with two types of SAMs. The micro-reactor with patterned AUT (11-amino-1-undecanethiol) successfully trapped nano-particles with a carboxylic acid group, indicating that micro-contact-printed SAMs remain active after the manufacturing processes of the micro-reactor. AUT -functioned micro-channels are applicable to bioassay and to immobilize proteins for DNA arrays. ODT (1-octadecanethiol) makes surfaces hydrophobic with the methyl terminal group. When water was introduced into the micro-reactor with ODT-patterned surfaces, water droplets remained only in the hydrophilic areas where ODT was not patterned. ODT -functioned micro-channels are applicable to fluid handling.

  6. Effect of time and deposition method on quality of phosphonic acid modifier self-assembled monolayers on indium zinc oxide

    Energy Technology Data Exchange (ETDEWEB)

    Sang, Lingzi [Department of Chemistry and Biochemistry, University of Arizona, Tucson, AZ 85721 (United States); Knesting, Kristina M. [Department of Chemistry, University of Washington, Seattle, WA 98195-1700 (United States); Bulusu, Anuradha [School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, GA 30332 (United States); Sigdel, Ajaya K. [National Renewable Energy Laboratory, Golden, CO 80401 (United States); Giordano, Anthony J.; Marder, Seth R. [School of Chemistry and Biochemistry and Center for Organic Photonics and Electronics, Georgia Institute of Technology, Atlanta, GA 30332-0400 (United States); Berry, Joseph J. [National Renewable Energy Laboratory, Golden, CO 80401 (United States); Graham, Samuel [School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, GA 30332 (United States); Ginger, David S. [Department of Chemistry, University of Washington, Seattle, WA 98195-1700 (United States); Pemberton, Jeanne E., E-mail: pembertn@email.arizona.edu [Department of Chemistry and Biochemistry, University of Arizona, Tucson, AZ 85721 (United States)

    2016-12-15

    Highlights: • Deposition of phosphonic acid monolayers on oxides from ethanol solutions occurs by rapid adsorption within 10 s with slower equilibration complete in 48 h. • The slower equilibration step involves molecular reorientation and vacancy filling on the oxide surface. • Soak-free deposition by spray coating and microcontact printing do not provide reproducible, fully-covered, uniform monolayers without substrate etching. • Adjustments to exposure time, substrate temperature, and solution/substrate contact efficiency are necessary to optimize soak-free methods. - Abstract: Phosphonic acid (PA) self-assembled monolayers (SAMs) are utilized at critical interfaces between transparent conductive oxides (TCO) and organic active layers in organic photovoltaic devices (OPVs). The effects of PA deposition method and time on the formation of close-packed, high-quality monolayers is investigated here for SAMs fabricated by solution deposition, micro-contact printing, and spray coating. The solution deposition isotherm for pentafluorinated benzylphosphonic acid (F{sub 5}BnPA) on indium-doped zinc oxide (IZO) is studied using polarization modulation-infrared reflection-absorption spectroscopy (PM-IRRAS) at room temperature as a model PA/IZO system. Fast surface adsorption occurs within the first min; however, well-oriented high-quality SAMs are reached only after ∼48 h, presumably through a continual process of molecular adsorption/desorption and monolayer filling accompanied by molecular reorientation. Two other rapid, soak-free deposition techniques, micro-contact printing and spray coating, are also explored. SAM quality is compared for deposition of phenyl phosphonic acid (PPA), F{sub 13}-octylphosphonic acid (F{sub 13}OPA), and pentafluorinated benzyl phosphonic acid (F{sub 5}BnPA) by solution deposition, micro-contact printing and spray coating using PM-IRRAS. In contrast to micro-contact printing and spray coating techniques, 48–168 h solution

  7. Electrical properties of SAM-modified ITO surface using aromatic small molecules with double bond carboxylic acid groups for OLED applications

    Energy Technology Data Exchange (ETDEWEB)

    Can, Mustafa [Izmir Katip Celebi University, Faculty of Engineering, Department of Engineering Sciences, Çiğli, Izmir (Turkey); Havare, Ali Kemal [Toros University, Faculty of Engineering, Electric and Electronic Department, Mersin (Turkey); Aydın, Hasan; Yagmurcukardes, Nesli [Izmir Institute of Technology, Material Science and Engineering, Izmir (Turkey); Demic, Serafettin [Izmir Katip Celebi University, Faculty of Engineering, Department of Material Science and Engineering, Çiğli, Izmir (Turkey); Icli, Sıddık [Ege University, Solar Energy Institute, Izmir (Turkey); Okur, Salih, E-mail: salih.okur@ikc.edu.tr [Izmir Katip Celebi University, Faculty of Engineering, Department of Material Science and Engineering, Çiğli, Izmir (Turkey)

    2014-09-30

    Graphical abstract: - Highlights: • We report that the performance of OLED consist of aromatic small molecules with double bond carboxylic acid groups on ITO surface. • The OLED devices were tested in terms of electrical and optical characteristics. • The I–V results show that OLEDs with SAM-modified ITO surface have lower turn on voltages than OLED configurations without SAMs. - Abstract: 5-[(3-Methylphenyl)(phenyl)amino]isophthalic acid (5-MePIFA) and 5-(diphenyl)amino]isophthalic acid (5-DPIFA) organic molecules were synthesized to form self-assembled monolayer on indium tin oxide (ITO) anode to enhance hole transport from ITO to organic hole transport layers such as TPD. The modified surface was characterized by scanning tunneling microscopy (STM). The change in the surface potential was measured by Kelvin probe force microscopy (KPFM). Our Kelvin probe force microscopy (KPFM) measurements showed that the surface potentials increased more than 100 mV with reference to bare indium tin-oxide. The results show that the threshold voltage on OLEDs with modified ITO is lowered significantly compared to OLEDs with unmodified ITO. The hole mobility of TPD has been estimated using space–charge-limited current measurements (SCLC)

  8. Electrical properties of SAM-modified ITO surface using aromatic small molecules with double bond carboxylic acid groups for OLED applications

    International Nuclear Information System (INIS)

    Can, Mustafa; Havare, Ali Kemal; Aydın, Hasan; Yagmurcukardes, Nesli; Demic, Serafettin; Icli, Sıddık; Okur, Salih

    2014-01-01

    Graphical abstract: - Highlights: • We report that the performance of OLED consist of aromatic small molecules with double bond carboxylic acid groups on ITO surface. • The OLED devices were tested in terms of electrical and optical characteristics. • The I–V results show that OLEDs with SAM-modified ITO surface have lower turn on voltages than OLED configurations without SAMs. - Abstract: 5-[(3-Methylphenyl)(phenyl)amino]isophthalic acid (5-MePIFA) and 5-(diphenyl)amino]isophthalic acid (5-DPIFA) organic molecules were synthesized to form self-assembled monolayer on indium tin oxide (ITO) anode to enhance hole transport from ITO to organic hole transport layers such as TPD. The modified surface was characterized by scanning tunneling microscopy (STM). The change in the surface potential was measured by Kelvin probe force microscopy (KPFM). Our Kelvin probe force microscopy (KPFM) measurements showed that the surface potentials increased more than 100 mV with reference to bare indium tin-oxide. The results show that the threshold voltage on OLEDs with modified ITO is lowered significantly compared to OLEDs with unmodified ITO. The hole mobility of TPD has been estimated using space–charge-limited current measurements (SCLC)

  9. Molecular dynamics of contact behavior of self-assembled monolayers on gold using nanoindentation

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Te-Hua [Institute of Mechanical and Electromechanical Engineering National Formosa University, Yunlin 632, Taiwan (China); Chang, Win-Jin, E-mail: changwj@mail.ksu.edu.tw [Department of Mechanical Engineering Kun Shan University, Tainan 710, Taiwan (China); Fan, Yu-Cheng [Institute of Mechanical and Electromechanical Engineering National Formosa University, Yunlin 632, Taiwan (China); Weng, Cheng-I [Department of Mechanical Engineering National Cheng Kung University, Tainan, 710, Taiwan (China)

    2009-08-15

    Molecular dynamics simulation is used to study nanoindentation of the self-assembled monolayers (SAMs) on an Au surface. The interaction of SAM atoms is described by a general universal force field (UFF), the tight-binding second-moment approximation (TB-SMA) is used for Au substrate, and the Lennard-Jones potential function is employed to describe interaction among the indenter, the SAMs, and the Au substrate atoms. The model consists of a planar Au substrate with n-hexadecanethiol SAM chemisorbed to the substrate. The simulation results show that the contact pressure increases as the SAMs temperature increases. In addition, the contact pressure also increases as the depth and velocity of indentation increase.

  10. Molecular dynamics of contact behavior of self-assembled monolayers on gold using nanoindentation

    International Nuclear Information System (INIS)

    Fang, Te-Hua; Chang, Win-Jin; Fan, Yu-Cheng; Weng, Cheng-I

    2009-01-01

    Molecular dynamics simulation is used to study nanoindentation of the self-assembled monolayers (SAMs) on an Au surface. The interaction of SAM atoms is described by a general universal force field (UFF), the tight-binding second-moment approximation (TB-SMA) is used for Au substrate, and the Lennard-Jones potential function is employed to describe interaction among the indenter, the SAMs, and the Au substrate atoms. The model consists of a planar Au substrate with n-hexadecanethiol SAM chemisorbed to the substrate. The simulation results show that the contact pressure increases as the SAMs temperature increases. In addition, the contact pressure also increases as the depth and velocity of indentation increase.

  11. The Porter-Whitesides Discrepancy: Revisiting Odd-Even Effects in Wetting Properties of n-Alkanethiolate SAMs

    Directory of Open Access Journals (Sweden)

    Zhengjia Wang

    2015-12-01

    Full Text Available This review discusses the Porter-Whitesides discrepancy in wetting properties of n-alkanethiolate self-assembled monolayers (SAMs. About 25 years ago, Whitesides and coworker failed to observe any odd-even effect in wetting, however, Porter and his coworker did, albeit in select cases. Most previous studies agreed with Whitesides’ results, suggesting the absence of the odd-even effect in hydrophobicity of n-alkanethiolate SAMs. Recent reports have, however, found the odd-even effect in hydrophobicity of n-alkanethiolate SAMs on smooth substrates, indicating that hydrophobicity, and analogous interfacial properties, of n-alkanethiolate SAMs significantly depends on the properties of substrate. Unfortunately, the Whitesides and Porter papers do not report on the quality of the surfaces used. Based on recent work, we inferred that the original discrepancy between Whitesides and Porter can be attributed to the quality of the surface. Odd-even effect of SAMs in charge transport, capacitance, friction, and SAM structure are also discussed in this review to inform the general discussion. The discrepancy between Porter's group and Whitesides’ group could be due to surface roughness, morphology, oxidation, and adventitious contaminants.

  12. Ozone Oxidation of Self-Assembled Monolayers on SiOx-Coated Zinc Selenide Surfaces

    Science.gov (United States)

    McIntire, T. M.; Ryder, O. S.; Finlayson-Pitts, B. J.

    2008-12-01

    Airborne particles are important for visibility, human health, climate, and atmospheric reactions. Atmospheric particles contain a significant fraction of organics and such compounds present on airborne particles are susceptible to oxidation by atmospheric oxidants, such as OH, ozone, halogen atoms, and nitrogen trioxide. Oxidized organics associated with airborne particles are thought to be polar, hygroscopic species with enhanced cloud-nucleating properties. Oxide layers on silicon, or SiO2-coated substrates, act as models of environmentally relevant surfaces such as dust particles upon which organics adsorb. We have shown previously that ozone oxidation of unsaturated self-assembled monolayers (SAMs) on silicon attenuated total reflectance (ATR) crystals leads to the formation of carbonyl groups and micron-sized, hydrophobic organic aggregates surrounded by carbon depleted substrate that do not have increased water uptake as previously assumed. Reported here are further ATR-FTIR studies of the oxidation of alkene SAMs on ZnSe and SiO2-coated ZnSe. These substrates have the advantage that they transmit below 1500 cm-1, allowing detection of additional product species. These experiments show that the loss of C=C and formation of carbonyl groups is also accompanied by formation of a peak at 1110 cm-1, attributed to the secondary ozonide. Details concerning the products and mechanism of ozonolysis of alkene SAMs on surfaces based on these new data are presented and the implications for the oxidation of alkenes on airborne dust particles are discussed.

  13. Disorder-derived, strong tunneling attenuation in bis-phosphonate monolayers

    International Nuclear Information System (INIS)

    Pathak, Anshuma; Bora, Achyut; Tornow, Marc; Liao, Kung-Ching; Schwartz, Jeffrey; Schmolke, Hannah; Jung, Antje; Klages, Claus-Peter

    2016-01-01

    Monolayers of alkyl bisphosphonic acids (bisPAs) of various carbon chain lengths (C4, C8, C10, C12) were grown on aluminum oxide (AlO x ) surfaces from solution. The structural and electrical properties of these self-assembled monolayers (SAMs) were compared with those of alkyl monophosphonic acids (monoPAs). Through contact angle (CA) and Kelvin-probe (KP) measurements, ellipsometry, and infrared (IR) and x-ray photoelectron (XPS) spectroscopies, it was found that bisPAs form monolayers that are relatively disordered compared to their monoPA analogs. Current–voltage (J–V) measurements made with a hanging Hg drop top contact show tunneling to be the prevailing transport mechanism. However, while the monoPAs have an observed decay constant within the typical range for dense monolayers, β mono   =  0.85  ±  0.03 per carbon atom, a surprisingly high value, β bis   =  1.40  ±  0.05 per carbon atom, was measured for the bisPAs. We attribute this to a strong contribution of ‘through-space’ tunneling, which derives from conformational disorder in the monolayer due to strong interactions of the distal phosphonic acid groups; they likely form a hydrogen-bonding network that largely determines the molecular layer structure. Since bisPA SAMs attenuate tunnel currents more effectively than do the corresponding monoPA SAMs, they may find future application as gate dielectric modification in organic thin film devices. (paper)

  14. Lipid dip-pen nanolithography on self-assembled monolayers

    International Nuclear Information System (INIS)

    Gavutis, Martynas; Navikas, Vytautas; Rakickas, Tomas; Vaitekonis, Šarūnas; Valiokas, Ramūnas

    2016-01-01

    Dip-pen nanolithography (DPN) with lipids as an ink enables functional micro/nanopatterning on different substrates at high process speeds. However, only a few studies have addressed the influence of the physicochemical properties of the surface on the structure and phase behavior of DPN-printed lipid assemblies. Therefore, by combining the scanning probe and optical imaging techniques in this work we have analyzed lipid microdomain formation on the self-assembled monolayers (SAMs) on gold as well-defined model surfaces that displayed hydrophilic (protein-repellent) or hydrophobic (protein-adhesive) characteristics. We have found that on the tri(ethylene glycol)-terminated SAM the lipid ink transfer was fast (∼10 –1 μm 3 s −1 ), quasi-linear and it yielded unstable, sparsely packed lipid microspots. Contrary to this, on the methyl-terminated SAM the lipid transfer was ∼20 times slower, nonlinear, and the obtained stable dots of ∼1 μm in diameter consisted of lipid multilayers. Our comparative analysis indicated that the measured lipid transfer was consistent with the previously reported so-called polymer transfer model (Felts et al 2012, Nanotechnology 23 215301). Further on, by employing the observed distinct contrast in the DPN ink behavior we constructed confined lipid microdomains on pre-patterned SAMs, in which the lipids assembled either into monolayer or multilamellar phases. Such microdomains can be further utilized for lipid membrane mimetics in microarray and lab-on-a-chip device formats. (paper)

  15. Molecular dynamics simulations of peptide adsorption on self-assembled monolayers

    International Nuclear Information System (INIS)

    Xie Yun; Liu Meifeng; Zhou Jian

    2012-01-01

    All-atom molecular dynamics simulations are performed to investigate the neuromedin-B peptide adsorption on the self-assembled monolayers (SAMs) of SH(CH 2 ) 10 N + (CH 3 ) 2 CH 2 CH(OH)CH 2 SO 3 - (SBT), SH(CH 2 ) 10 OH and SH(CH 2 ) 10 CH 3 . The force-distance profiles show that the surface resistance to peptide adsorption is mainly generated by the water molecules tightly bound to surfaces via hydrogen bonds (hydration water molecules); but surfaces themselves may also set an energy barrier for the approaching peptide. For the SBT-SAM, the surface first exerts a relatively high repulsive force and then a rather week attractive force on the approaching peptide; meanwhile the hydration water molecules exert a strong repulsive force on the peptide. Therefore, SBT-SAM has an excellent performance on resisting protein adsorption. For the OH-SAM and CH 3 -SAM, surfaces show low or little energy barrier but strong affinity to the peptide; and the hydration water molecules apply merely a repulsive force within a much narrower range and with lower intensity compared with the case for the SBT-SAM. The analysis of structural and dynamical properties of the peptide, surface and water indicates that possible factors contributing to surface resistance include the hydrogen-bond formation capability of surfaces, mobility of water molecules near surfaces, surface packing density and chain flexibility of SAMs. There are a large number of hydrogen bonds formed between the hydration water molecules and the functional groups of the SBT-SAM, which greatly lowers the mobility of water molecules near the surface. This tightly-bound water layer effectively reduces the direct contact between the surface and the peptide. Furthermore, the SBT-SAM also has a high flexibility and a low surface packing density, which allows water molecules to penetrate into the surface to form tightly-bound networks and therefore reduces the affinity between the peptide and the surface. The results show that

  16. Ternary Surface Monolayers for Ultrasensitive (Zeptomole) Amperometric Detection of Nucleic-Acid Hybridization without Signal Amplification

    Science.gov (United States)

    Wu, Jie; Campuzano, Susana; Halford, Colin; Haake, David A.; Wang, Joseph

    2010-01-01

    A ternary surface monolayer, consisting of co-assembled thiolated capture probe (SHCP) mercaptohexanol (MCH) and dithiothreitol (DTT), is shown to offer dramatic improvements in the signal-to-noise characteristics of electrochemical DNA hybridization biosensors based on common self-assembled monolayers (SAMs). Remarkably low detection limits down to 40 zmole (in 4 μL samples) as well as only 1 CFU E. coli per sensor are thus obtained without any additional amplification step in connection to the commonly used horseradish peroxidase/3,3′,5,5′-tetramethylbenzidine (HRP/TMB) system. Such dramatic improvements in the detection limits (compared to common binary alkanethiol interfaces and to most electrochemical DNA sensing strategies without target or signal amplification) are attributed primarily to the remarkably higher resistance to non-specific adsorption. This reflects the highly compact layer (with lower pinhole density) produced by the coupling of the cyclic- and linear-configuration ‘backfillers’ that leads to a remarkably low background noise even in the presence of complex sample matrices. A wide range of surface compositions have been investigated and the ternary mixed monolayer has been systematically optimized. Detailed impedance spectroscopy and cyclic voltammetric studies shed useful insights into the surface coverage. The impressive sensitivity and high specificity of the simple developed methodology indicate great promise for a wide range of nucleic acid testing, including clinical diagnostics, biothreat detection, food safety and forensic analysis. PMID:20883023

  17. Click functionalization of phenyl-capped bithiophene on azide-terminated self-assembled monolayers

    International Nuclear Information System (INIS)

    Zheng, Yijun; Cui, Jiaxi; Ikeda, Taichi

    2015-01-01

    Graphical abstract: - Highlights: • Electrochemically-active self-assembled monolayers with phenyl-capped bithiophene were prepared. • Post-functionalization method based on click chemistry solved the solubility issue of phenyl-capped thiophene alkanethiol. • The capture and release of the counter anions during the redox reaction were detectable by E-QCM. - Abstract: We immobilized tetra(ethylene glycol)-substituted phenyl-capped bithiophene with alkyne terminals (Ph2TPh-alkyne) on azide-terminated self-assembled monolayers (N 3 -SAMs) by Cu-catalyzed azide-alkyne cycloaddition reaction. Ph2TPh-functionalized SAMs on a gold substrate showed reversible electrochemical response. The surface densities of the azide groups in N 3 -SAMs and Ph2TPh units in Ph2TPh-functionalized SAMs were estimated to be 7.3 ± 0.3 × 10 −10 mol cm −2 and 4.6 ± 0.3 × 10 −10 mol cm −2 , respectively, by quartz crystal microbalance (QCM). Most of Ph2TPh-alkynes are considered to be anchored on N 3 -SAMs via both terminal groups. Ph2TPh-functionalized SAMs exhibited reversible redox peaks in cyclic voltammetry (CV). In redox reaction, reversible capture and release of the counter anion could be monitored by electrochemical QCM (E-QCM).

  18. Click functionalization of phenyl-capped bithiophene on azide-terminated self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Yijun; Cui, Jiaxi [Max Planck Institute for Polymer Research (MPIP), Ackermannweg 10, Mainz 55128 (Germany); Ikeda, Taichi, E-mail: IKEDA.Taichi@nims.go.jp [Max Planck Institute for Polymer Research (MPIP), Ackermannweg 10, Mainz 55128 (Germany); Polymer Materials Unit, National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba 305-0044 (Japan)

    2015-11-15

    Graphical abstract: - Highlights: • Electrochemically-active self-assembled monolayers with phenyl-capped bithiophene were prepared. • Post-functionalization method based on click chemistry solved the solubility issue of phenyl-capped thiophene alkanethiol. • The capture and release of the counter anions during the redox reaction were detectable by E-QCM. - Abstract: We immobilized tetra(ethylene glycol)-substituted phenyl-capped bithiophene with alkyne terminals (Ph2TPh-alkyne) on azide-terminated self-assembled monolayers (N{sub 3}-SAMs) by Cu-catalyzed azide-alkyne cycloaddition reaction. Ph2TPh-functionalized SAMs on a gold substrate showed reversible electrochemical response. The surface densities of the azide groups in N{sub 3}-SAMs and Ph2TPh units in Ph2TPh-functionalized SAMs were estimated to be 7.3 ± 0.3 × 10{sup −10} mol cm{sup −2} and 4.6 ± 0.3 × 10{sup −10} mol cm{sup −2}, respectively, by quartz crystal microbalance (QCM). Most of Ph2TPh-alkynes are considered to be anchored on N{sub 3}-SAMs via both terminal groups. Ph2TPh-functionalized SAMs exhibited reversible redox peaks in cyclic voltammetry (CV). In redox reaction, reversible capture and release of the counter anion could be monitored by electrochemical QCM (E-QCM).

  19. Vascular endothelial growth factor attachment to hydroxyapatite via self-assembled monolayers promotes angiogenic activity of endothelial cells

    International Nuclear Information System (INIS)

    Solomon, Kimberly D.; Ong, Joo L.

    2013-01-01

    Currently, tissue engineered constructs for critical sized bone defects are non-vascularized. There are many strategies used in order to promote vascularization, including delivery of growth factors such as vascular endothelial growth factor (VEGF). In this study, hydroxyapatite (HA) was coated with self-assembled monolayers (SAMs). The SAMs were in turn used to covalently bind VEGF to the surface of HA. The different SAM chain length ratios (phosphonoundecanoic acid (11-PUDA):16-phosphonohexadecanoic acid (16-PHDA) utilized in this study were 0:100, 25:75, 50:50, 75:25, and 100:0. Surfaces were characterized by contact angle (CA) and atomic force microscopy, and an in vitro VEGF release study was performed. It was observed that CA and root-mean-squared roughness were not significantly affected by the addition of SAMs, but that CA was significantly lowered with the addition of VEGF. VEGF release profiles of bound VEGF groups all demonstrated less initial burst release than adsorbed control, indicating that VEGF was retained on the HA surface when bound by SAMs. An in vitro study using human aortic endothelial cells (HAECs) demonstrated that bound VEGF increased metabolic activity and caused sustained production of angiopoietin-2, an angiogenic marker, over 28 days. In conclusion, SAMs provide a feasible option for growth factor delivery from HA surfaces, enhancing angiogenic activity of HAECs in vitro. - Highlights: • Vascular endothelial growth factor (VEGF) is attached to hydroxyapatite (HA). • Self-assembled monolayers (SAMs) delay the release of VEGF from hydroxyapatite. • SAM chain length ratio affects the total mass of VEGF released. • VEGF on HA up-regulates proliferation and angiogenic activity of endothelial cells

  20. Model non-equilibrium molecular dynamics simulations of heat transfer from a hot gold surface to an alkylthiolate self-assembled monolayer.

    Science.gov (United States)

    Zhang, Yue; Barnes, George L; Yan, Tianying; Hase, William L

    2010-05-07

    Model non-equilibrium molecular dynamics (MD) simulations are presented of heat transfer from a hot Au {111} substrate to an alkylthiolate self-assembled monolayer (H-SAM) to assist in obtaining an atomic-level understanding of experiments by Wang et al. (Z. Wang, J. A. Carter, A. Lagutchev, Y. K. Koh, N.-H. Seong, D. G. Cahill, and D. D. Dlott, Science, 2007, 317, 787). Different models are considered to determine how they affect the heat transfer dynamics. They include temperature equilibrated (TE) and temperature gradient (TG) thermostat models for the Au(s) surface, and soft and stiff S/Au(s) models for bonding of the S-atoms to the Au(s) surface. A detailed analysis of the non-equilibrium heat transfer at the heterogeneous interface is presented. There is a short time temperature gradient within the top layers of the Au(s) surface. The S-atoms heat rapidly, much faster than do the C-atoms in the alkylthiolate chains. A high thermal conductivity in the H-SAM, perpendicular to the interface, results in nearly identical temperatures for the CH(2) and CH(3) groups versus time. Thermal-induced disorder is analyzed for the Au(s) substrate, the S/Au(s) interface and the H-SAM. Before heat transfer occurs from the hot Au(s) substrate to the H-SAM, there is disorder at the S/Au(s) interface and within the alkylthiolate chains arising from heat-induced disorder near the surface of hot Au(s). The short-time rapid heating of the S-atoms enhances this disorder. The increasing disorder of H-SAM chains with time results from both disorder at the Au/S interface and heat transfer to the H-SAM chains.

  1. Formation of carboxy- and amide-terminated alkyl monolayers on silicon(111) investigated by ATR-FTIR, XPS, and X-ray scattering: Construction of photoswitchable surfaces

    DEFF Research Database (Denmark)

    Rück-Braun, Karola; Petersen, Michael Åxman; Michalik, Fabian

    2013-01-01

    -FTIR and XPS studies of the fulgimide samples revealed closely covered amide-terminated SAMs. Reversible photoswitching of the headgroup was read out by applying XPS, ATR-FTIR, and difference absorption spectra in the mid-IR. In XPS, we observed a reversible breathing of the amide/imide C1s and N1s signals......We have prepared high-quality, densely packed, self-assembled monolayers (SAMs) of carboxy-terminated alkyl chains on Si(111). The samples were made by thermal grafting of methyl undec-10-enoate under an inert atmosphere and subsequent cleavage of the ester functionality to disclose the carboxylic...... zigzag-like substitution pattern for the ester- and carboxy-terminated monolayer. Hydrolysis of the remaining H-Si(111) bonds at the surface furnished HO-Si(111) groups according to XPS and attenuated total reflection Fourier-transform infrared spectroscopy (ATR-FTIR) studies. The amide-terminated alkyl...

  2. Site-selective growth of surface-anchored metal-organic frameworks on self-assembled monolayer patterns prepared by AFM nanografting

    Directory of Open Access Journals (Sweden)

    Tatjana Ladnorg

    2013-10-01

    Full Text Available Surface anchored metal-organic frameworks, SURMOFs, are highly porous materials, which can be grown on modified substrates as highly oriented, crystalline coatings by a quasi-epitaxial layer-by-layer method (liquid-phase epitaxy, or LPE. The chemical termination of the supporting substrate is crucial, because the most convenient method for substrate modification is the formation of a suitable self-assembled monolayer. The choice of a particular SAM also allows for control over the orientation of the SURMOF. Here, we demonstrate for the first time the site-selective growth of the SURMOF HKUST-1 on thiol-based self-assembled monolayers patterned by the nanografting technique, with an atomic force microscope as a structuring tool. Two different approaches were applied: The first one is based on 3-mercaptopropionic acid molecules which are grafted in a 1-decanethiolate SAM, which serves as a matrix for this nanolithography. The second approach uses 16-mercaptohexadecanoic acid, which is grafted in a matrix of an 1-octadecanethiolate SAM. In both cases a site-selective growth of the SURMOF is observed. In the latter case the roughness of the HKUST-1 is found to be significantly higher than for the 1-mercaptopropionic acid. The successful grafting process was verified by time-of-flight secondary ion mass spectrometry and atomic force microscopy. The SURMOF structures grown via LPE were investigated and characterized by atomic force microscopy and Fourier-transform infrared microscopy.

  3. Site-selective growth of surface-anchored metal-organic frameworks on self-assembled monolayer patterns prepared by AFM nanografting

    Science.gov (United States)

    Ladnorg, Tatjana; Welle, Alexander; Heißler, Stefan; Wöll, Christof

    2013-01-01

    Summary Surface anchored metal-organic frameworks, SURMOFs, are highly porous materials, which can be grown on modified substrates as highly oriented, crystalline coatings by a quasi-epitaxial layer-by-layer method (liquid-phase epitaxy, or LPE). The chemical termination of the supporting substrate is crucial, because the most convenient method for substrate modification is the formation of a suitable self-assembled monolayer. The choice of a particular SAM also allows for control over the orientation of the SURMOF. Here, we demonstrate for the first time the site-selective growth of the SURMOF HKUST-1 on thiol-based self-assembled monolayers patterned by the nanografting technique, with an atomic force microscope as a structuring tool. Two different approaches were applied: The first one is based on 3-mercaptopropionic acid molecules which are grafted in a 1-decanethiolate SAM, which serves as a matrix for this nanolithography. The second approach uses 16-mercaptohexadecanoic acid, which is grafted in a matrix of an 1-octadecanethiolate SAM. In both cases a site-selective growth of the SURMOF is observed. In the latter case the roughness of the HKUST-1 is found to be significantly higher than for the 1-mercaptopropionic acid. The successful grafting process was verified by time-of-flight secondary ion mass spectrometry and atomic force microscopy. The SURMOF structures grown via LPE were investigated and characterized by atomic force microscopy and Fourier-transform infrared microscopy. PMID:24205458

  4. Chemical resistivity of self-assembled monolayer covalently attached to silicon substrate to hydrofluoric acid and ammonium fluoride

    Science.gov (United States)

    Saito, N.; Youda, S.; Hayashi, K.; Sugimura, H.; Takai, O.

    2003-06-01

    Self-assembled monolayers (SAMs) were prepared on hydrogen-terminated silicon substrates through chemical vapor deposition using 1-hexadecene (HD) as a precursor. The HD-SAMs prepared in an atmosphere under a reduced pressure (≈50 Pa) showed better chemical resistivities to hydrofluoric acid and ammonium fluoride (NH 4F) solutions than that of an organosilane SAM formed on oxide-covered silicon substrates. The surface covered with the HD-SAM was micro-patterned by vacuum ultraviolet photolithography and consequently divided into two areas terminated with HD-SAM or silicon dioxide. This micro-patterned sample was immersed in a 40 vol.% NH 4F aqueous solution. Surface images obtained by an optical microscopy clearly show that the micro-patterns of HD-SAM/silicon dioxide were successfully transferred into the silicon substrate.

  5. Immobilization of rhodium complexes at thiolate monolayers on gold surfaces : Catalytic and structural studies

    NARCIS (Netherlands)

    Belser, T; Stöhr, Meike; Pfaltz, A

    2005-01-01

    Chiral rhodium-diphosphine complexes have been incorporated into self-assembled thiolate monolayers (SAMS) on gold colloids. Catalysts of this type are of interest because they combine properties of homogeneous and heterogeneous systems. In addition, it should be possible to influence the catalytic

  6. Self-assembled monolayer of designed and synthesized triazinedithiolsilane molecule as interfacial adhesion enhancer for integrated circuit

    Directory of Open Access Journals (Sweden)

    Wang Fang

    2011-01-01

    Full Text Available Abstract Self-assembled monolayer (SAM with tunable surface chemistry and smooth surface provides an approach to adhesion improvement and suppressing deleterious chemical interactions. Here, we demonstrate the SAM comprising of designed and synthesized 6-(3-triethoxysilylpropylamino-1,3,5-triazine-2,4-dithiol molecule, which can enhance interfacial adhesion to inhibit copper diffusion used in device metallization. The formation of the triazinedithiolsilane SAM is confirmed by X-ray photoelectron spectroscopy. The adhesion strength between SAM-coated substrate and electroless deposition copper film was up to 13.8 MPa. The design strategy of triazinedithiolsilane molecule is expected to open up the possibilities for replacing traditional organosilane to be applied in microelectronic industry.

  7. Surface-segregated monolayers: a new type of ordered monolayer for surface modification of organic semiconductors.

    Science.gov (United States)

    Wei, Qingshuo; Tajima, Keisuke; Tong, Yujin; Ye, Shen; Hashimoto, Kazuhito

    2009-12-09

    We report a new type of ordered monolayer for the surface modification of organic semiconductors. Fullerene derivatives with fluorocarbon chains ([6,6]-phenyl-C(61)-buryric acid 1H,1H-perfluoro-1-alkyl ester or FC(n)) spontaneously segregated as a monolayer on the surface of a [6,6]-phenyl-C(61)-butyric acid methyl ester (PCBM) film during a spin-coating process from the mixture solutions, as confirmed by X-ray photoelectron spectroscopy (XPS). Ultraviolet photoelectron spectroscopy (UPS) showed the shift of ionization potentials (IPs) depending on the fluorocarbon chain length, indicating the formation of surface dipole moments. Surface-sensitive vibrational spectroscopy, sum frequency generation (SFG) revealed the ordered molecular orientations of the C(60) moiety in the surface FC(n) layers. The intensity of the SFG signals from FC(n) on the surface showed a clear odd-even effect when the length of the fluorocarbon chain was changed. This new concept of the surface-segregated monolayer provides a facile and versatile approach to modifying the surface of organic semiconductors and is applicable to various organic optoelectronic devices.

  8. Determination of low levels of cadmium ions by the under potential deposition on a self-assembled monolayer on gold electrode

    Energy Technology Data Exchange (ETDEWEB)

    Noyhouzer, Tomer [Institute of Chemistry, Hebrew University of Jerusalem, Jerusalem 91904 (Israel); Mandler, Daniel, E-mail: mandler@vms.huji.ac.il [Institute of Chemistry, Hebrew University of Jerusalem, Jerusalem 91904 (Israel)

    2011-01-17

    The electrochemical determination of low levels of Cd using a self-assembled monolayer (SAM) modified Au electrode is reported. Determination was based on the stripping of Cd, which was deposited by under potential deposition (UPD). A series of short alkanethiol SAMs bearing different end groups, i.e., sulfonate, carboxylate and ammonium, were examined. Lowest level of detection (ca. 50 ng L{sup -1}) was achieved with a 3-mercaptopropionic acid (MPA) monolayer using subtractive anodic square wave voltammetry (SASV). Additional surface methods, namely, reductive desorption and X-ray photoelectron spectroscopy, were applied to determine the interfacial structure of the electrodeposited Cd on the modified electrodes. We conclude that the deposited Cd forms a monoatomic layer, which bridges between the gold surface and the alkanethiol monolayer associating with both the gold and the sulfur atoms.

  9. Mechanism underlying bioinertness of self-assembled monolayers of oligo(ethyleneglycol)-terminated alkanethiols on gold: protein adsorption, platelet adhesion, and surface forces.

    Science.gov (United States)

    Hayashi, Tomohiro; Tanaka, Yusaku; Koide, Yuki; Tanaka, Masaru; Hara, Masahiko

    2012-08-07

    The mechanism underlying the bioinertness of the self-assembled monolayers of oligo(ethylene glycol)-terminated alkanethiol (OEG-SAM) was investigated with protein adsorption experiments, platelet adhesion tests, and surface force measurements with an atomic force microscope (AFM). In this work, we performed systematic analysis with SAMs having various terminal groups (-OEG, -OH, -COOH, -NH(2), and -CH(3)). The results of the protein adsorption experiment by the quartz crystal microbalance (QCM) method suggested that having one EG unit and the neutrality of total charges of the terminal groups are essential for protein-resistance. In particular, QCM with energy dissipation analyses indicated that proteins absorb onto the OEG-SAM via a very weak interaction compared with other SAMs. Contrary to the protein resistance, at least three EG units as well as the charge neutrality of the SAM are found to be required for anti-platelet adhesion. When the identical SAMs were formed on both AFM probe and substrate, our force measurements revealed that only the OEG-SAMs possessing more than two EG units showed strong repulsion in the range of 4 to 6 nm. In addition, we found that the SAMs with other terminal groups did not exhibit such repulsion. The repulsion between OEG-SAMs was always observed independent of solution conditions [NaCl concentration (between 0 and 1 M) and pH (between 3 and 11)] and was not observed in solution mixed with ethanol, which disrupts the three-dimensional network of the water molecules. We therefore concluded that the repulsion originated from structured interfacial water molecules. Considering the correlation between the above results, we propose that the layer of the structured interfacial water with a thickness of 2 to 3 nm (half of the range of the repulsion observed in the surface force measurements) plays an important role in deterring proteins and platelets from adsorption or adhesion.

  10. Effects of interface roughness on cohesive strength of self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Chen [Department of Aerospace Engineering, University of Illinois at Urbana-Champaign, IL 61801 (United States); Awasthi, Amnaya P. [Department of Mechanical and Aerospace Engineering, University of Florida, Gainesville, 32611 (United States); Geubelle, Philippe H., E-mail: geubelle@illinois.edu [Department of Aerospace Engineering, University of Illinois at Urbana-Champaign, IL 61801 (United States); Grady, Martha E.; Sottos, Nancy R. [Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, IL 61801 (United States)

    2017-03-01

    Highlights: • Self-assembled monolayer/transfer-printed gold interface modeled using continuum-level simulation. • Initial deformed film profile analyzed and instability assessed. • Effective cohesive response of SAM-enhanced interface extracted from spallation model. • Reduction of up to 70% cohesive strength of the interface from incorporation of roughness demonstrated. - Abstract: Self-assembled monolayers (SAMs) are aggregates of small molecular chains that have the property to form highly ordered assemblies. The choice of terminal groups on the chains makes them excellent contenders of molecular-level tailoring. Molecular dynamics (MD) simulations and experimental observations of spallation of two SAM-enhanced gold-film/silicon-substrate interfaces have shown that the cohesive strength of SAM-enriched transfer-printed interfaces is strongly dependent on the choice of terminal groups. Though the MD results of perfectly ordered atomistic surfaces show the same qualitative trend as the experiments, they over-predict the interfacial cohesive strengths by a factor of about 50. Results from AFM studies have revealed that the roughness of these interfaces is of the same order (∼1 nm) as the range of atomistic interactions. Hence, surface roughness is a key contributor in significantly reducing interfacial cohesive strength in these systems. In this manuscript, a continuum-level study is performed to investigate the influence of surface roughness on the cohesive strength of the interface between a Si/SAM substrate and a transfer-printed gold film. We approximate the film as a deformable continuum interacting with a rough substrate of SAMs represented by a harmonic function. Using a cohesive law derived from MD, spallation is simulated to evaluate the effective traction-separation characteristics for the rough SAM–gold interface. Our analysis shows that incorporating roughness may reduce the interfacial cohesive strength by an order of magnitude depending

  11. Effect of aromatic SAMs molecules on graphene/silicon schottky diode performance

    OpenAIRE

    Yağmurcukardeş, Nesli; Aydın, Hasan; Can, Mustafa; Yanılmaz, Alper; Mermer, Ömer; Okur, Salih; Selamet, Yusuf

    2016-01-01

    Au/n-Si/Graphene/Au Schottky diodes were fabricated by transferring atmospheric pressure chemical vapor deposited (APCVD) graphene on silicon substrates. Graphene/n-Si interface properties were improved by using 5-[(3-methylphenyl)(phenyl) amino]isophthalic acid (MePIFA) and 5-(diphenyl)amino]isophthalic acid (DPIFA) aromatic self-assembled monolayer (SAM) molecules. The surface morphologies of modified and non-modified films were investigated by atomic force microscopy and scanning electron ...

  12. Phosphonate self-assembled monolayers as organic linkers in solid-state quantum dot sensetized solar cells

    KAUST Repository

    Ardalan, Pendar

    2010-06-01

    We have employed X-ray photoelectron spectroscopy (XPS), ultraviolet-visible (UV-vis) spectroscopy, infrared (IR) spectroscopy, water contact angle (WCA) measurements, ellipsometry, and electrical measurements to study the effects of self-assembled monolayers (SAMs) with phosphonic acid headgroups on the bonding and performance of cadmium sulfide (CdS) solid-state quantum dot sensitized solar cells (QDSSCs). ∼2 to ∼6 nm size CdS quantum dots (QDs) were grown on the SAM-passivated TiO2 surfaces by successive ionic layer adsorption and reaction (SILAR). Our results show differences in the bonding of the CdS QDs at the TiO2 surfaces with a SAM linker. Moreover, our data indicate that presence of a SAM increases the CdS uptake on TiO2 as well as the performance of the resulting devices. Importantly, we observe ∼2 times higher power conversion efficiencies in the devices with a SAM compared to those that lack a SAM. © 2010 IEEE.

  13. Increasing the Fill Factor of Inverted P3HT:PCBM Solar Cells Through Surface Modification of Al-Doped ZnO via Phosphonic Acid-Anchored C60 SAMs

    DEFF Research Database (Denmark)

    Stubhan, Tobias; Salinas, Michael; Ebel, Alexander

    2012-01-01

    The influence of aluminum-doped zinc oxide (AZO) electron extraction layers modified with self-assembled monolayers (SAMs) on inverted polymer solar cells is investigated. It is found that AZO modification with phosphonic acid-anchored Fullerene–SAMs leads to a reduction of the series resistance,...

  14. Controlling Schottky energy barriers in organic electronic devices using self-assembled monolayers

    Science.gov (United States)

    Campbell, I. H.; Rubin, S.; Zawodzinski, T. A.; Kress, J. D.; Martin, R. L.; Smith, D. L.; Barashkov, N. N.; Ferraris, J. P.

    1996-11-01

    We demonstrate tuning of Schottky energy barriers in organic electronic devices by utilizing chemically tailored electrodes. The Schottky energy barrier of Ag on poly[2-methoxy, 5-(2'-ethyl-hexyloxy)- 1,4-phenylene was tuned over a range of more than 1 eV by using self-assembled monolayers (SAM's) to attach oriented dipole layers to the Ag prior to device fabrication. Kelvin probe measurements were used to determine the effect of the SAM's on the Ag surface potential. Ab initio Hartree-Fock calculations of the molecular dipole moments successfully describe the surface potential changes. The chemically tailored electrodes were then incorporated in organic diode structures and changes in the metal/organic Schottky energy barriers were measured using an electroabsorption technique. These results demonstrate the use of self-assembled monolayers to control metal/organic interfacial electronic properties. They establish a physical principle for manipulating the relative energy levels between two materials and demonstrate an approach to improve metal/organic contacts in organic electronic devices.

  15. On the influence of the aliphatic linker on fabrication of highly ordered and orientated self-assembled monolayers of aromatic selenols on AU(111)

    KAUST Repository

    Azzam, Waleed

    2014-03-06

    Self-assembled monolayers (SAMs) formed by adsorption of 1,2-dibenzyldiselenide (DPMSe) and 1,2-diphenyldiselenide (DBSe) on Au(111) substrates at room temperature have been characterized using scanning tunnelling microscopy, X-ray photoelectron spectroscopy, infrared reflection absorption spectroscopy, near-edge X-ray absorption fine structure spectroscopy, and low-energy electron diffraction. Upon adsorption, the Se-Se bonds in DPMSe and DBSe were cleaved on the gold surface to form phenylmethaneselenolate (PMSe) and benzeneselenolate (BSe) species, respectively. Although both PMSe and BSe molecular entities only differ in their structure (an additional methyl group in PMSe), the resulting monolayer films revealed noteworthy dissimilarities regarding their adlayer SAM structure and surface morphology. The molecular adlayer structure and orientation of PMSe and BSe species were found to vary significantly with the immersion time (IT). The resulting PMSe films were poorly organized, and the structure was described by a (4√3 × 2) rectangular unit cell for the SAMs prepared with 24 h of IT. Moreover, the PMSe-SAMs were found to be unstable upon exposure to air for a long time. Our results showed that exposure to air for 48 h results in the formation of small bright ad-islands, which have a height corresponding to that of a single atomic step on the Au(111). Contrary, BSe-SAMs exhibited densely packed and well-ordered monolayers, and two different structural phases were resolved at short and long ITs. The most densely packed structure was obtained for SAMs prepared with very short ITs (10 min). Upon increasing the IT, the SAMs exhibited structural changes to a lower density of molecular packing structure. The spectroscopic data also confirmed this structural transformation by suggesting an upright orientation for BSe-SAMs prepared after short ITs and strongly inclined adsorption geometry for SAMs prepared after long ITs. © 2014 American Chemical Society.

  16. Self assembled monolayer based liquid crystal biosensor for free cholesterol detection

    Energy Technology Data Exchange (ETDEWEB)

    Tyagi, Mukta; Agrawal, V. V. [Department of Science and Technology, Centre on Bimolecular Electronics, Biomedical Instrumentation Section, CSIR—National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi 110 012 (India); Chandran, Achu; Joshi, Tilak [Polymeric and Soft Materials Section, CSIR—National Physical Laboratory (CSIR), Dr. K. S. Krishnan Road, New Delhi 110 012 (India); Prakash, Jai [Centre for Physical and Mathematical Sciences, School of Basic and Applied Sciences, Central University of Punjab, City Campus, Mansa Road, Bathinda 151 001 (India); Biradar, A. M., E-mail: abiradar@mail.nplindia.ernet.in [Department of Science and Technology, Centre on Bimolecular Electronics, Biomedical Instrumentation Section, CSIR—National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi 110 012 (India); Polymeric and Soft Materials Section, CSIR—National Physical Laboratory (CSIR), Dr. K. S. Krishnan Road, New Delhi 110 012 (India)

    2014-04-14

    A unique cholesterol oxidase (ChOx) liquid crystal (LC) biosensor, based on the disruption of orientation in LCs, is developed for cholesterol detection. A self-assembled monolayer (SAM) of Dimethyloctadecyl[3-(trimethoxysilyl)propyl]ammonium chloride (DMOAP) and (3-Aminopropyl)trimethoxy-silane (APTMS) is prepared on a glass plate by adsorption. The enzyme (ChOx) is immobilized on SAM surface for 12 h before utilizing the film for biosensing purpose. LC based biosensing study is conducted on SAM/ChOx/LC (5CB) cells for cholesterol concentrations ranging from 10 mg/dl to 250 mg/dl. The sensing mechanism has been verified through polarizing optical microscopy, scanning electron microscopy, and spectrometric techniques.

  17. Self assembled monolayer based liquid crystal biosensor for free cholesterol detection

    International Nuclear Information System (INIS)

    Tyagi, Mukta; Agrawal, V. V.; Chandran, Achu; Joshi, Tilak; Prakash, Jai; Biradar, A. M.

    2014-01-01

    A unique cholesterol oxidase (ChOx) liquid crystal (LC) biosensor, based on the disruption of orientation in LCs, is developed for cholesterol detection. A self-assembled monolayer (SAM) of Dimethyloctadecyl[3-(trimethoxysilyl)propyl]ammonium chloride (DMOAP) and (3-Aminopropyl)trimethoxy-silane (APTMS) is prepared on a glass plate by adsorption. The enzyme (ChOx) is immobilized on SAM surface for 12 h before utilizing the film for biosensing purpose. LC based biosensing study is conducted on SAM/ChOx/LC (5CB) cells for cholesterol concentrations ranging from 10 mg/dl to 250 mg/dl. The sensing mechanism has been verified through polarizing optical microscopy, scanning electron microscopy, and spectrometric techniques

  18. Onset wear in self-assembled monolayers

    International Nuclear Information System (INIS)

    D'Acunto, Mario

    2006-01-01

    Self-assembled monolayers (SAMs) are very useful for the systematic modification of the physical, chemical and structural properties of a surface by varying the chain length, tail group and composition. Many of these properties can be studied making use of atomic force microscopy (AFM), and the interaction between the AFM probe tip and the SAMs can also be considered an excellent reference to study the fundamental properties of dissipation phenomena and onset wear for viscoelastic materials on the nanoscale. We have performed a numerical study showing that the fundamental mechanism for the onset wear is a process of nucleation of domains starting from initial defects. An SAM surface repeatedly sheared by an AFM probe tip with enough applied loads shows the formation of progressive damages nucleating in domains. The AFM induced surface damages involve primarily the formation of radicals from the carbon chain backbones, but the deformations of the chains resulting in changes of period lattice also have to be taken into consideration. The nucleation of the wear domains generally starts at the initial surface defects where the energy cohesion between chains is lower. Moreover, the presence of surface defects is consistent with the changes in lateral force increasing the probability of the activation for the removal of carbon debris from the chain backbone. The quantification of the progressive worn area is performed making use of the Kolmogorov-Johnson-Mehl-Avrami (KJMA) theory for phase transition kinetic processes. The advantage of knowing the general conditions for onset wear on the SAM surfaces can help in studying the fundamental mechanisms for the tribological properties of viscoelastic materials, in solid lubrication applications and biopolymer mechanics

  19. Self-assembled monolayers of alkyl-thiols on InAs: A Kelvin probe force microscopy study

    Science.gov (United States)

    Szwajca, A.; Wei, J.; Schukfeh, M. I.; Tornow, M.

    2015-03-01

    We report on the preparation and characterization of self-assembled monolayers from aliphatic thiols with different chain length and termination on InAs (100) planar surfaces. This included as first step the development and investigation of a thorough chemical InAs surface preparation step using a dedicated bromine/NH4OH-based etching process. Ellipsometry, contact angle measurements and atomic force microscopy (AFM) indicated the formation of smooth, surface conforming monolayers. The molecular tilt angles were obtained as 30 ± 10° with respect to the surface normal. Kelvin probe force microscopy (KPFM) measurements in hand with Parameterized Model number 5 (PM5) calculations of the involved molecular dipoles allowed for an estimation of the molecular packing densities on the surface. We obtained values of up to n = 1014 cm- 2 for the SAMs under study. These are close to what is predicted from a simple geometrical model that would calculate a maximum density of about n = 2.7 × 1014 cm- 2. We take this as additional conformation of the substrate smoothness and quality of our InAs-SAM hybrid layer systems.

  20. Microcontact printing of self-assembled monolayers to pattern the light-emission of polymeric light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Brondijk, J.J.; Li, X.; Akkerman, H.B.; Blom, P.W.M.; Boer, B. de [University of Groningen, Molecular Electronics, Zernike Institute for Advanced Materials, Groningen (Netherlands)

    2009-04-15

    By patterning a self-assembled monolayer (SAM) of thiolated molecules with opposing dipole moments on a gold anode of a polymer light-emitting diode (PLED), the charge injection and, therefore, the light-emission of the device can be controlled with a micrometer-scale resolution. Gold surfaces were modified with SAMs based on alkanethiols and perfluorinated alkanethiols, applied by microcontact printing, and their work functions have been measured. The molecules form a chemisorbed monolayer of only {proportional_to}1.5 nm on the gold surface, thereby locally changing the work function of the metal. Kelvin probe measurements show that the local work function can be tuned from 4.3 to 5.5 eV, which implies that this anode can be used as a hole blocking electrode or as a hole injecting electrode, respectively, in PLEDs based on poly(p-phenylene vinylene) (PPV) derivatives. By microcontact printing of SAMs with opposing dipole moments, the work function was locally modified and the charge injection in the PLED could be controlled down to the micrometer length scale. Consequently, the local light-emission exhibits a high contrast. Microcontact printing of SAMs is a simple and inexpensive method to pattern, with micrometer resolution, the light-emission for low-end applications like static displays. (orig.)

  1. Microcontact printing of self-assembled monolayers to pattern the light-emission of polymeric light-emitting diodes

    Science.gov (United States)

    Brondijk, J. J.; Li, X.; Akkerman, H. B.; Blom, P. W. M.; de Boer, B.

    2009-04-01

    By patterning a self-assembled monolayer (SAM) of thiolated molecules with opposing dipole moments on a gold anode of a polymer light-emitting diode (PLED), the charge injection and, therefore, the light-emission of the device can be controlled with a micrometer-scale resolution. Gold surfaces were modified with SAMs based on alkanethiols and perfluorinated alkanethiols, applied by microcontact printing, and their work functions have been measured. The molecules form a chemisorbed monolayer of only ˜1.5 nm on the gold surface, thereby locally changing the work function of the metal. Kelvin probe measurements show that the local work function can be tuned from 4.3 to 5.5 eV, which implies that this anode can be used as a hole blocking electrode or as a hole injecting electrode, respectively, in PLEDs based on poly( p-phenylene vinylene) (PPV) derivatives. By microcontact printing of SAMs with opposing dipole moments, the work function was locally modified and the charge injection in the PLED could be controlled down to the micrometer length scale. Consequently, the local light-emission exhibits a high contrast. Microcontact printing of SAMs is a simple and inexpensive method to pattern, with micrometer resolution, the light-emission for low-end applications like static displays.

  2. Experimental and computational investigation of graphene/SAMs/n-Si Schottky diodes

    Science.gov (United States)

    Aydin, H.; Bacaksiz, C.; Yagmurcukardes, N.; Karakaya, C.; Mermer, O.; Can, M.; Senger, R. T.; Sahin, H.; Selamet, Y.

    2018-01-01

    We have investigated the effect of two different self-assembled monolayers (SAMs) on electrical characteristics of bilayer graphene (BLG)/n-Si Schottky diodes. Novel 4″bis(diphenylamino)-1, 1‧:3″-terphenyl-5‧ carboxylic acids (TPA) and 4,4-di-9H-carbazol-9-yl-1,1‧:3‧1‧-terphenyl-5‧ carboxylic acid (CAR) aromatic SAMs have been used to modify n-Si surfaces. Cyclic voltammetry (CV) and Kelvin probe force microscopy (KPFM) results have been evaluated to verify the modification of n-Si surface. The current-voltage (I-V) characteristics of bare and SAMs modified devices show rectification behaviour verifying a Schottky junction at the interface. The ideality factors (n) from ln(I)-V dependences were determined as 2.13, 1.96 and 2.07 for BLG/n-Si, BLG/TPA/n-Si and BLG/CAR/n-Si Schottky diodes, respectively. In addition, Schottky barrier height (SBH) and series resistance (Rs) of SAMs modified diodes were decreased compared to bare diode due to the formation of a compatible interface between graphene and Si as well as π-π interaction between aromatic SAMs and graphene. The CAR-based device exhibits better diode characteristic compared to the TPA-based device. Computational simulations show that the BLG/CAR system exhibits smaller energy-level-differences than the BLG/TPA, which supports the experimental findings of a lower Schottky barrier and series resistance in BLG/CAR diode.

  3. Formation of self-assembled monolayer of curcuminoid molecules on gold surfaces

    International Nuclear Information System (INIS)

    Berlanga, Isadora; Etcheverry-Berríos, Álvaro; Mella, Andy; Jullian, Domingo; Gómez, Victoria Alejandra; Aliaga-Alcalde, Núria; Fuenzalida, Victor; Flores, Marcos

    2017-01-01

    Highlights: • Thiophene curcuminoid molecules deposited on a gold surface by immersion. • Molecular dynamic studies of the molecular arrangement approaching the surface. • XPS and STM studies showing different arrangement of the molecules on the surface. • Molecular Interaction with surface depends on the sulfur position in thiophene rings. • Temporal evolution of the molecular arrangement on the surface. - Abstract: We investigated the formation of self-assembled monolayers of two thiophene curcuminoid molecules, 2-thphCCM (1) and 3-thphCCM (2), on polycrystalline gold substrates prepared by immersion of the surfaces in a solution of the molecules during 24 h. The functionalized surfaces were studied by scanning tunneling microscopy (STM) and X-ray photoelectron spectroscopy (XPS). Despite the fact that both molecules have the same composition and almost the same structure, these molecules exhibit different behavior on the gold surface, which can be explained by the different positions of the sulfur atoms in the terminal aromatic rings. In the case of molecule 1, the complete formation of a SAM can be observed after 24 h of immersion. In the case of molecule 2, the transition from flat-lying to upright configuration on the surface is still in process after 24 h of immersion. This is attributed to the fact that molecule 2 have the sulfur atoms more exposed than molecule 1.

  4. Formation of self-assembled monolayer of curcuminoid molecules on gold surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Berlanga, Isadora [Departamento de Física, Facultad de Ciencias Físicas y Matemáticas, Universidad de Chile, Av. Blanco Encalada 2008, Santiago (Chile); Etcheverry-Berríos, Álvaro; Mella, Andy; Jullian, Domingo [Departamento de Ciencia de los Materiales, Facultad de Ciencias Físicas y Matemáticas, Universidad de Chile, Beaucheff 851, Santiago (Chile); Gómez, Victoria Alejandra [Departamento de Física, Facultad de Ciencias Físicas y Matemáticas, Universidad de Chile, Av. Blanco Encalada 2008, Santiago (Chile); Aliaga-Alcalde, Núria [ICREA (Institució Catalana de Recerca i Estudis Avançats), Passeig Lluís Companys, 23, 08018, Barcelona (Spain); CSIC-ICMAB (Institut de Ciència dels Materials de Barcelona), Campus de la Universitat Autònoma de Barcelona, 08193 Bellaterra (Spain); Fuenzalida, Victor [Departamento de Física, Facultad de Ciencias Físicas y Matemáticas, Universidad de Chile, Av. Blanco Encalada 2008, Santiago (Chile); Flores, Marcos, E-mail: mflorescarra@ing.uchile.cl [Departamento de Física, Facultad de Ciencias Físicas y Matemáticas, Universidad de Chile, Av. Blanco Encalada 2008, Santiago (Chile); and others

    2017-01-15

    Highlights: • Thiophene curcuminoid molecules deposited on a gold surface by immersion. • Molecular dynamic studies of the molecular arrangement approaching the surface. • XPS and STM studies showing different arrangement of the molecules on the surface. • Molecular Interaction with surface depends on the sulfur position in thiophene rings. • Temporal evolution of the molecular arrangement on the surface. - Abstract: We investigated the formation of self-assembled monolayers of two thiophene curcuminoid molecules, 2-thphCCM (1) and 3-thphCCM (2), on polycrystalline gold substrates prepared by immersion of the surfaces in a solution of the molecules during 24 h. The functionalized surfaces were studied by scanning tunneling microscopy (STM) and X-ray photoelectron spectroscopy (XPS). Despite the fact that both molecules have the same composition and almost the same structure, these molecules exhibit different behavior on the gold surface, which can be explained by the different positions of the sulfur atoms in the terminal aromatic rings. In the case of molecule 1, the complete formation of a SAM can be observed after 24 h of immersion. In the case of molecule 2, the transition from flat-lying to upright configuration on the surface is still in process after 24 h of immersion. This is attributed to the fact that molecule 2 have the sulfur atoms more exposed than molecule 1.

  5. Tracing the 4000 year history of organic thin films: From monolayers on liquids to multilayers on solids

    Energy Technology Data Exchange (ETDEWEB)

    Greene, J. E. [University of Illinois, Urbana, Illinois 61801 (United States); Linköping University, 581 83 Linköping (Sweden); National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China)

    2015-03-15

    The recorded history of organic monolayer and multilayer thin films spans approximately 4000 years. Fatty-acid-based monolayers were deposited on water by the ancients for applications ranging from fortune telling in King Hammurabi's time (∼1800 BC, Mesopotamia) to stilling choppy waters for sailors and divers as reported by the Roman philosopher Pliny the Elder in ∼78 AD, and then much later (1774) by the peripatetic American statesman and natural philosopher Benjamin Franklin, to Japanese “floating-ink” art (suminagashi) developed ∼1000 years ago. The modern science of organic monolayers began in the late-1800s/early-1900s with experiments by Lord Rayleigh and the important development by Agnes Pockels, followed two decades later by Irving Langmuir, of the tools and technology to measure the surface tension of liquids, the surface pressure of organic monolayers deposited on water, interfacial properties, molecular conformation of the organic layers, and phase transitions which occur upon compressing the monolayers. In 1935, Katherine Blodgett published a landmark paper showing that multilayers can be synthesized on solid substrates, with controlled thickness and composition, using an apparatus now known as the Langmuir-Blodgett (L-B) trough. A disadvantage of LB films for some applications is that they form weak physisorbed bonds to the substrate. In 1946, Bigelow, Pickett, and Zisman demonstrated, in another seminal paper, the growth of organic self-assembled monolayers (SAMs) via spontaneous adsorption from solution, rather than from the water/air interface, onto SiO{sub 2} and metal substrates. SAMs are close-packed two-dimensional organic crystals which exhibit strong covalent bonding to the substrate. The first multicomponent adsorbed monolayers and multilayer SAMs were produced in the early 1980s. Langmuir monolayers, L-B multilayers, and self-assembled mono- and multilayers have found an extraordinarily broad range of applications including

  6. Tracing the 4000 year history of organic thin films: From monolayers on liquids to multilayers on solids

    International Nuclear Information System (INIS)

    Greene, J. E.

    2015-01-01

    The recorded history of organic monolayer and multilayer thin films spans approximately 4000 years. Fatty-acid-based monolayers were deposited on water by the ancients for applications ranging from fortune telling in King Hammurabi's time (∼1800 BC, Mesopotamia) to stilling choppy waters for sailors and divers as reported by the Roman philosopher Pliny the Elder in ∼78 AD, and then much later (1774) by the peripatetic American statesman and natural philosopher Benjamin Franklin, to Japanese “floating-ink” art (suminagashi) developed ∼1000 years ago. The modern science of organic monolayers began in the late-1800s/early-1900s with experiments by Lord Rayleigh and the important development by Agnes Pockels, followed two decades later by Irving Langmuir, of the tools and technology to measure the surface tension of liquids, the surface pressure of organic monolayers deposited on water, interfacial properties, molecular conformation of the organic layers, and phase transitions which occur upon compressing the monolayers. In 1935, Katherine Blodgett published a landmark paper showing that multilayers can be synthesized on solid substrates, with controlled thickness and composition, using an apparatus now known as the Langmuir-Blodgett (L-B) trough. A disadvantage of LB films for some applications is that they form weak physisorbed bonds to the substrate. In 1946, Bigelow, Pickett, and Zisman demonstrated, in another seminal paper, the growth of organic self-assembled monolayers (SAMs) via spontaneous adsorption from solution, rather than from the water/air interface, onto SiO 2 and metal substrates. SAMs are close-packed two-dimensional organic crystals which exhibit strong covalent bonding to the substrate. The first multicomponent adsorbed monolayers and multilayer SAMs were produced in the early 1980s. Langmuir monolayers, L-B multilayers, and self-assembled mono- and multilayers have found an extraordinarily broad range of applications including

  7. Patterned self-assembled monolayers of alkanethiols on copper nanomembranes by submerged laser ablation

    Science.gov (United States)

    Rhinow, Daniel; Hampp, Norbert A.

    2012-06-01

    Self-assembled monolayers (SAMs) of alkanethiols are major building blocks for nanotechnology. SAMs provide a functional interface between electrodes and biomolecules, which makes them attractive for biochip fabrication. Although gold has emerged as a standard, copper has several advantages, such as compatibility with semiconductors. However, as copper is easily oxidized in air, patterning SAMs on copper is a challenging task. In this work we demonstrate that submerged laser ablation (SLAB) is well-suited for this purpose, as thiols are exchanged in-situ, avoiding air exposition. Using different types of ω-substituted alkanethiols we show that alkanethiol SAMs on copper surfaces can be patterned using SLAB. The resulting patterns were analyzed by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Both methods indicate that the intense laser beam promotes the exchange of thiols at the copper surface. Furthermore, we present a procedure for the production of free-standing copper nanomembranes, oxidation-protected by alkanethiol SAMs. Incubation of copper-coated mica in alkanethiol solutions leads to SAM formation on both surfaces of the copper film due to intercalation of the organic molecules. Corrosion-protected copper nanomembranes were floated onto water, transferred to electron microscopy grids, and subsequently analyzed by electron energy loss spectroscopy (EELS).

  8. Toward tunable doping in graphene FETs by molecular self-assembled monolayers

    Science.gov (United States)

    Li, Bing; Klekachev, Alexander V.; Cantoro, Mirco; Huyghebaert, Cedric; Stesmans, André; Asselberghs, Inge; de Gendt, Stefan; de Feyter, Steven

    2013-09-01

    In this paper, we report the formation of self-assembled monolayers (SAMs) of oleylamine (OA) on highly oriented pyrolytic graphite (HOPG) and graphene surfaces and demonstrate the potential of using such organic SAMs to tailor the electronic properties of graphene. Molecular resolution Atomic Force Microscopy (AFM) and Scanning Tunneling Microscopy (STM) images reveal the detailed molecular ordering. The electrical measurements show that OA strongly interacts with graphene leading to n-doping effects in graphene devices. The doping levels are tunable by varying the OA deposition conditions. Importantly, neither hole nor electron mobilities are decreased by the OA modification. As a benefit from this noncovalent modification strategy, the pristine characteristics of the device are recoverable upon OA removal. From this study, one can envision the possibility to correlate the graphene-based device performance with the molecular structure and supramolecular ordering of the organic dopant.In this paper, we report the formation of self-assembled monolayers (SAMs) of oleylamine (OA) on highly oriented pyrolytic graphite (HOPG) and graphene surfaces and demonstrate the potential of using such organic SAMs to tailor the electronic properties of graphene. Molecular resolution Atomic Force Microscopy (AFM) and Scanning Tunneling Microscopy (STM) images reveal the detailed molecular ordering. The electrical measurements show that OA strongly interacts with graphene leading to n-doping effects in graphene devices. The doping levels are tunable by varying the OA deposition conditions. Importantly, neither hole nor electron mobilities are decreased by the OA modification. As a benefit from this noncovalent modification strategy, the pristine characteristics of the device are recoverable upon OA removal. From this study, one can envision the possibility to correlate the graphene-based device performance with the molecular structure and supramolecular ordering of the organic

  9. Tribological properties of self-assembled monolayers of catecholic imidazolium and the spin-coated films of ionic liquids.

    Science.gov (United States)

    Liu, Jianxi; Li, Jinlong; Yu, Bo; Ma, Baodong; Zhu, Yangwen; Song, Xinwang; Cao, Xulong; Yang, Wu; Zhou, Feng

    2011-09-20

    A novel compound of an imidazolium type of ionic liquid (IL) containing a biomimetic catecholic functional group normally seen in mussel adhesive proteins was synthesized. The IL can be immobilized on a silicon surface and a variety of other engineering material surfaces via the catecholic anchor, allowing the tribological protection of these substrates for engineering applications. The surface wetting and adhesive properties and the tribological property of the synthesized self-assembled monolayers (SAMs) are successfully modulated by altering the counteranions. The chemical composition and wettability of the IL SAMs were characterized by means of X-ray photoelectron spectroscopy (XPS) and contact angle (CA) measurements. The adhesive and friction forces were measured with an atomic force microscope (AFM) on the nanometer scale. IL composite films were prepared by spin coating thin IL films on top of the SAMs. The macrotribological properties of these IL composite films were investigated with a pin-on-disk tribometer. The results indicate that the presence of IL SAMs on a surface can improve the wettability of spin-coated ionic liquids and thus the film quality and the tribological properties. These films registered a reduced friction coefficient and a significantly enhanced durability and load-carrying capacity. The tribological properties of the composite films are better than those of pure IL films because the presence of the monolayers improves the adhesion and compatibility of spin-coated IL films with substrates. © 2011 American Chemical Society

  10. Vibrational Stark Effect of the Electric-Field Reporter 4-Mercaptobenzonitrile as a Tool for Investigating Electrostatics at Electrode/SAM/Solution Interfaces

    Directory of Open Access Journals (Sweden)

    Peter Hildebrandt

    2012-06-01

    Full Text Available 4-mercaptobenzonitrile (MBN in self-assembled monolayers (SAMs on Au and Ag electrodes was studied by surface enhanced infrared absorption and Raman spectroscopy, to correlate the nitrile stretching frequency with the local electric field exploiting the vibrational Stark effect (VSE. Using MBN SAMs in different metal/SAM interfaces, we sorted out the main factors controlling the nitrile stretching frequency, which comprise, in addition to external electric fields, the metal-MBN bond, the surface potential, and hydrogen bond interactions. On the basis of the linear relationships between the nitrile stretching and the electrode potential, an electrostatic description of the interfacial potential distribution is presented that allows for determining the electric field strengths on the SAM surface, as well as the effective potential of zero-charge of the SAM-coated metal. Comparing this latter quantity with calculated values derived from literature data, we note a very good agreement for Au/MBN but distinct deviations for Ag/MBN which may reflect either the approximations and simplifications of the model or the uncertainty in reported structural parameters for Ag/MBN. The present electrostatic model consistently explains the electric field strengths for MBN SAMs on Ag and Au as well as for thiophenol and mercaptohexanoic acid SAMs with MBN incorporated as a VSE reporter.

  11. Functional Molecular Junctions Derived from Double Self-Assembled Monolayers.

    Science.gov (United States)

    Seo, Sohyeon; Hwang, Eunhee; Cho, Yunhee; Lee, Junghyun; Lee, Hyoyoung

    2017-09-25

    Information processing using molecular junctions is becoming more important as devices are miniaturized to the nanoscale. Herein, we report functional molecular junctions derived from double self-assembled monolayers (SAMs) intercalated between soft graphene electrodes. Newly assembled molecular junctions are fabricated by placing a molecular SAM/(top) electrode on another molecular SAM/(bottom) electrode by using a contact-assembly technique. Double SAMs can provide tunneling conjugation across the van der Waals gap between the terminals of each monolayer and exhibit new electrical functions. Robust contact-assembled molecular junctions can act as platforms for the development of equivalent contact molecular junctions between top and bottom electrodes, which can be applied independently to different kinds of molecules to enhance either the structural complexity or the assembly properties of molecules. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Non-invasive vibrational SFG spectroscopy reveals that bacterial adhesion can alter the conformation of grafted "brush" chains on SAM.

    Science.gov (United States)

    Bulard, Emilie; Guo, Ziang; Zheng, Wanquan; Dubost, Henri; Fontaine-Aupart, Marie-Pierre; Bellon-Fontaine, Marie-Noëlle; Herry, Jean-Marie; Briandet, Romain; Bourguignon, Bernard

    2011-04-19

    Understanding bacterial adhesion on a surface is a crucial step to design new materials with improved properties or to control biofilm formation and eradication. Sum Frequency Generation (SFG) vibrational spectroscopy has been employed to study in situ the conformational response of a self-assembled monolayer (SAM) of octadecanethiol (ODT) on a gold film to the adhesion of hydrophilic and hydrophobic ovococcoid model bacteria. The present work highlights vibrational SFG spectroscopy as a powerful and unique non-invasive biophysical technique to probe and control bacteria interaction with ordered surfaces. Indeed, the SFG vibrational spectral changes reveal different ODT SAM conformations in air and upon exposure to aqueous solution or bacterial adhesion. Furthermore, this effect depends on the bacterial cell surface properties. The SFG spectral modeling demonstrates that hydrophobic bacteria flatten the ODT SAM alkyl chain terminal part, whereas the hydrophilic ones raise this ODT SAM terminal part. Microorganism-induced alteration of grafted chains can thus affect the desired interfacial functionality, a result that should be considered for the design of new reactive materials. © 2011 American Chemical Society

  13. Surface plasmon resonance spectroscopic study of UV-addressable phenylalanine sensing based on a self-assembled spirooxazine derivative monolayer

    International Nuclear Information System (INIS)

    Suk, Shinae; Suh, Hee-Jung; Gun An, Won; Kim, Jae-Ho; Jin, Sung-Ho; Kim, Sung-Hoon; Gal, Yeong-Soon; Koh, Kwangnak

    2004-01-01

    Light-addressable compounds are very interesting due to the possibilities of their practical use such as optical switches and memories or variable transmission materials. For example, transportation of phenylalanine across liposomal bilayers mediated by a photoresponsive carrier like spirooxazine through electrostatic interaction between phenylalanine and spirooxazine derivative. Thus, the spirooxazine is expected to form a UV-addressable phenylalanine sensing interface. In this study, we prepared phenylalanine sensing interface of a spirooxazine derivative by self-assembly technique and evaluated interaction between a spirooxazine moiety and phenylalanine with a surface plasmon resonance (SPR). The refractive index change of monolayer caused by interaction between a spirooxazine derivative and phenylalanine led to the SPR angle shifts upon UV irradiation. The SPR angle shift increased with increasing the concentration of phenylalanine solution. These results indicated that the spirooxazine derivative self-assembled monolayer (SAM) has an application potential for UV-addressable phenylalanine sensing

  14. Transition voltages respond to synthetic reorientation of embedded dipoles in self-assembled monolayers

    NARCIS (Netherlands)

    Kovalchuk, Andrii; Abu-Husein, Tarek; Fracasso, Davide; Egger, David A.; Zojer, Egbert; Zharnikov, Michael; Terfort, Andreas; Chiechi, Ryan C.

    2016-01-01

    We studied the influence of embedded dipole moments in self-assembled monolayers (SAMs) formed on template stripped Au surfaces with liquid eutectic Ga-In alloy as a top electrode. We designed three molecules based on a p-terphenyl structure in which the central aromatic ring is either phenyl or a

  15. Controlling Schottky energy barriers in organic electronic devices using self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, I.H.; Rubin, S.; Zawodzinski, T.A.; Kress, J.D.; Martin, R.L.; Smith, D.L. [Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States); Barashkov, N.N.; Ferraris, J.P. [The University of Texas at Dallas, Richardson, Texas 75083 (United States)

    1996-11-01

    We demonstrate tuning of Schottky energy barriers in organic electronic devices by utilizing chemically tailored electrodes. The Schottky energy barrier of Ag on poly[2-methoxy], 5-(2{prime}-ethyl-hexyloxy)- 1,4-phenylene was tuned over a range of more than 1 eV by using self-assembled monolayers (SAM{close_quote}s) to attach oriented dipole layers to the Ag prior to device fabrication. Kelvin probe measurements were used to determine the effect of the SAM{close_quote}s on the Ag surface potential. {ital Ab} {ital initio} Hartree-Fock calculations of the molecular dipole moments successfully describe the surface potential changes. The chemically tailored electrodes were then incorporated in organic diode structures and changes in the metal/organic Schottky energy barriers were measured using an electroabsorption technique. These results demonstrate the use of self-assembled monolayers to control metal/organic interfacial electronic properties. They establish a physical principle for manipulating the relative energy levels between two materials and demonstrate an approach to improve metal/organic contacts in organic electronic devices. {copyright} {ital 1996 The American Physical Society.}

  16. Controlling Schottky energy barriers in organic electronic devices using self-assembled monolayers

    International Nuclear Information System (INIS)

    Campbell, I.H.; Rubin, S.; Zawodzinski, T.A.; Kress, J.D.; Martin, R.L.; Smith, D.L.; Barashkov, N.N.; Ferraris, J.P.

    1996-01-01

    We demonstrate tuning of Schottky energy barriers in organic electronic devices by utilizing chemically tailored electrodes. The Schottky energy barrier of Ag on poly[2-methoxy], 5-(2'-ethyl-hexyloxy)- 1,4-phenylene was tuned over a range of more than 1 eV by using self-assembled monolayers (SAM close-quote s) to attach oriented dipole layers to the Ag prior to device fabrication. Kelvin probe measurements were used to determine the effect of the SAM close-quote s on the Ag surface potential. Ab initio Hartree-Fock calculations of the molecular dipole moments successfully describe the surface potential changes. The chemically tailored electrodes were then incorporated in organic diode structures and changes in the metal/organic Schottky energy barriers were measured using an electroabsorption technique. These results demonstrate the use of self-assembled monolayers to control metal/organic interfacial electronic properties. They establish a physical principle for manipulating the relative energy levels between two materials and demonstrate an approach to improve metal/organic contacts in organic electronic devices. copyright 1996 The American Physical Society

  17. Scanning Tunneling Microscopic Observation of Adatom-Mediated Motifs on Gold-Thiol Self-assembled Monolayers at High Coverage

    DEFF Research Database (Denmark)

    Wang, Yun; Chi, Qijin; Hush, Noel S.

    2009-01-01

    the structural motifs observed on surfaces at low coverage and on gold nanoparticles to the observed spectroscopic properties of high-coverage SAMs formed by methanethiol. However, the significant role attributed to intermolecular steric packing effects suggests a lack of generality for the adatom-mediated motif......Self-assembled monolayers (SAMs) formed by chemisorption of a branched-chain alkanethiol, 2-methyl-1-propanethiol, on Au(111) surfaces were studied by in situ scanning tunneling microscopy (STM) under electrochemical potential control and analyzed using extensive density functional theory (DFT...... two R−S−Au−S−R adatom-mediated motifs per surface cell, with steric-induced variations in the adsorbate alignment inducing the observed STM image contrasts. Observed pits covering 5.6 ± 0.5% of the SAM surface are consistent with this structure. These results provide the missing link from...

  18. Electronic transport through organophosphonate monolayers on silicon/silicon dioxide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bora, Achyut; Pathak, Anshuma; Tornow, Marc [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Liao, Kung-Ching; Schwartz, Jeffrey [Department of Chemistry, Princeton University, NJ (United States); Cattani-Scholz, Anna; Abstreiter, Gerhard [Walter Schottky Institut, TU Muenchen (Germany)

    2011-07-01

    Understanding the electronic transport through layered systems of organic functional layers on semiconductor surfaces is of major importance for future applications in nanoelectronics, photovoltaics and sensors. We have prepared self-assembled monolayers (SAMs) of 9,10-diphenyl-2,6-diphosphono-anthracene and 11-hydroxyundecyl phosphonic acid precursors on highly p-doped silicon surfaces coated with a 1 nm SiO{sub 2} layer. Contact angle, AFM and ellipsometry evidenced the homogeneity of the formed SAMs, and their thickness was determined to be 0.82{+-}0.07 nm and 1.13{+-}0.09 nm, respectively. We provided large area electrical contacts on top of the SAMs by a hanging Hg drop electrode. The measured I-V characteristics revealed an enhanced conductance of the aromatic vs. the aliphatic compounds, with current densities of the order of 10 A/m{sup 2} and 0.01 A/m{sup 2}, at 0.5 V, respectively. We analyzed the data in terms of non-resonant tunneling through the combined oxide-SAM barrier and found good qualitative agreement up to 0.2 V bias. Preliminary measurements on organized bilayers of anthracene bisphosphonates that were grown using techniques of coordination chemistry are discussed, too.

  19. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics.

    Science.gov (United States)

    Hutchins, Daniel O; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E; Castner, David G; Ma, Hong; Jen, Alex K-Y

    2012-11-15

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO x (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10 -8 A cm -2 and capacitance density of 0.62 µF cm -2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm 2 V -1 s -1 .

  20. Electron transfer kinetics of cytochrome c immobilized on a phenolic terminated thiol self assembled monolayer determined by scanning electrochemical microscopy

    International Nuclear Information System (INIS)

    Alizadeh, Vali; Mousavi, Mir Fazlollah; Mehrgardi, Masoud Ayatollahi; Kazemi, Sayed Habib; Sharghi, Hashem

    2011-01-01

    Highlights: → Preparing a thiolated phenolic self-assembled monolayer surface (SAM). → Application of this SAM to immobilize cytochrome C. → Scanning electrochemical microscopy used for these studies. → Determination of both tunneling electron transfer and bimolecular rate constants between the immobilized protein-substrate and probe. - Abstract: In the present manuscript, the electrochemical behavior of cytochrome c (cyt-c) immobilized onto a phenolic terminated self assembled monolayer (SAM) on a gold electrode is investigated using cyclic voltammetry (CV) and scanning electrochemical microscopy (SECM). The tunneling electron transfer (ET) rate constant between the immobilized protein and the underlying electrode surface, and also the bimolecular ET rate constant between the immobilized protein and a probe has been obtained using approach curves that were obtained by SECM. The approach curves were recorded at different substrate overpotentials in the presence of various concentrations of ferrocyanide as a probe and various surface concentrations of cyt-c; then the standard tunneling ET and bimolecular rate constants are obtained as 3.4 ± 0.3 s -1 and (2.0 ± 0.5) x 10 7 cm 3 mol -1 s -1 , respectively.

  1. Electron transfer kinetics of cytochrome c immobilized on a phenolic terminated thiol self assembled monolayer determined by scanning electrochemical microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, Vali [Department of Chemistry, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of); Mousavi, Mir Fazlollah, E-mail: mousavim@modares.ac.ir [Department of Chemistry, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of); Mehrgardi, Masoud Ayatollahi [Department of Chemistry, University of Isfahan, Isfahan (Iran, Islamic Republic of); Kazemi, Sayed Habib [Department of Chemistry, Institute for Advanced Studies in Basic Sciences (IASBS), Zanjan (Iran, Islamic Republic of); Sharghi, Hashem [Department of Chemistry, Shiraz University, Shiraz (Iran, Islamic Republic of)

    2011-07-01

    Highlights: > Preparing a thiolated phenolic self-assembled monolayer surface (SAM). > Application of this SAM to immobilize cytochrome C. > Scanning electrochemical microscopy used for these studies. > Determination of both tunneling electron transfer and bimolecular rate constants between the immobilized protein-substrate and probe. - Abstract: In the present manuscript, the electrochemical behavior of cytochrome c (cyt-c) immobilized onto a phenolic terminated self assembled monolayer (SAM) on a gold electrode is investigated using cyclic voltammetry (CV) and scanning electrochemical microscopy (SECM). The tunneling electron transfer (ET) rate constant between the immobilized protein and the underlying electrode surface, and also the bimolecular ET rate constant between the immobilized protein and a probe has been obtained using approach curves that were obtained by SECM. The approach curves were recorded at different substrate overpotentials in the presence of various concentrations of ferrocyanide as a probe and various surface concentrations of cyt-c; then the standard tunneling ET and bimolecular rate constants are obtained as 3.4 {+-} 0.3 s{sup -1} and (2.0 {+-} 0.5) x 10{sup 7} cm{sup 3} mol{sup -1} s{sup -1}, respectively.

  2. Reducing Staphylococcus aureus biofilm formation on stainless steel 316L using functionalized self-assembled monolayers.

    Science.gov (United States)

    Kruszewski, Kristen M; Nistico, Laura; Longwell, Mark J; Hynes, Matthew J; Maurer, Joshua A; Hall-Stoodley, Luanne; Gawalt, Ellen S

    2013-05-01

    Stainless steel 316L (SS316L) is a common material used in orthopedic implants. Bacterial colonization of the surface and subsequent biofilm development can lead to refractory infection of the implant. Since the greatest risk of infection occurs perioperatively, strategies that reduce bacterial adhesion during this time are important. As a strategy to limit bacterial adhesion and biofilm formation on SS316L, self-assembled monolayers (SAMs) were used to modify the SS316L surface. SAMs with long alkyl chains terminated with hydrophobic (-CH3) or hydrophilic (oligoethylene glycol) tail groups were used to form coatings and in an orthogonal approach, SAMs were used to immobilize gentamicin or vancomycin on SS316L for the first time to form an "active" antimicrobial coating to inhibit early biofilm development. Modified SS316L surfaces were characterized using surface infrared spectroscopy, contact angles, MALDI-TOF mass spectrometry and atomic force microscopy. The ability of SAM-modified SS316L to retard biofilm development by Staphylococcus aureus was functionally tested using confocal scanning laser microscopy with COMSTAT image analysis, scanning electron microscopy and colony forming unit analysis. Neither hydrophobic nor hydrophilic SAMs reduced biofilm development. However, gentamicin-linked and vancomycin-linked SAMs significantly reduced S. aureus biofilm formation for up to 24 and 48 h, respectively. Copyright © 2013 Elsevier B.V. All rights reserved.

  3. Microcontact printing of self-assembled monolayers to pattern the light-emission of polymeric light-emitting diodes

    NARCIS (Netherlands)

    Brondijk, J. J.; Li, X.; Akkerman, H. B.; Blom, P. W. M.; de Boer, B.

    By patterning a self-assembled monolayer (SAM) of thiolated molecules with opposing dipole moments on a gold anode of a polymer light-emitting diode (PLED), the charge injection and, therefore, the light-emission of the device can be controlled with a micrometer-scale resolution. Gold surfaces were

  4. Hydration of Sulphobetaine (SB) and Tetra(ethylene glycol) (EG4)-Terminated Self-Assembled Monolayers Studied by Sum Frequency Generation (SFG) Vibrational Spectroscopy

    Science.gov (United States)

    Stein, M. Jeanette; Weidner, Tobias; McCrea, Keith; Castner, David G.; Ratner, Buddy D.

    2010-01-01

    Sum frequency generation (SFG) vibrational spectroscopy is used to study the surface and the underlying substrate of both homogeneous and mixed self-assembled monolayers (SAMs) of 11-mercaptoundecyl-1-sulphobetainethiol (HS(CH2)11N+(CH3)2(CH2)3SO3−, SB) and 1-mercapto-11-undecyl tetra(ethylene glycol) (HS(CH2)11O(CH2CH2O)4OH, EG4) with an 11-mercapto-1-undecanol (HS(CH2)11OH, MCU) diluent. SFG results on the C–H region of the dry and hydrated SAMs gave an in situ look into the molecular orientation and suggested an approach to maximize signal-to-noise ratio on these difficult to analyze hydrophilic SAMs. Vibrational fingerprint studies in the 3000–3600 cm−1 spectral range for the SAMs exposed serially to air, water, and deuterated water revealed that a layer of tightly-bound structured water was associated with the surface of a non-fouling monolayer but was not present on a hydrophobic N-undecylmercaptan (HS(CH2)10CH3, UnD) control. The percentage of water retained upon submersion in D2O correlated well with the relative amount of protein that was previously shown to absorb onto the monolayers. These results provide evidence supporting the current theory regarding the role of a tightly-bound vicinal water layer in the protein resistance of a non-fouling group. PMID:19639981

  5. Molecular printboards: monolayers of beta-cyclodextrins on silicon oxide surfaces.

    Science.gov (United States)

    Onclin, Steffen; Mulder, Alart; Huskens, Jurriaan; Ravoo, Bart Jan; Reinhoudt, David N

    2004-06-22

    Monolayers of beta-cyclodextrin host molecules have been prepared on SiO2 surfaces. An ordered and stable cyano-terminated monolayer was modified in three consecutive surface reactions. First, the cyanide groups were reduced to their corresponding free amines using Red Al as a reducing agent. Second, 1,4-phenylene diisothiocyanate was used to react with the amine monolayer where it acts as a linking molecule, exposing isothiocyanates that can be derivatized further. Finally, per-6-amino beta-cyclodextrin was reacted with these isothiocyanate functions to yield a monolayer exposing beta-cyclodextrin. All monolayers were characterized by contact angle measurements, ellipsometric thickness measurements, Brewster angle Fourier transform infrared spectroscopy, X-ray photoelectron spectroscopy, and time-of-flight secondary ion mass spectrometry, which indicate the formation of a densely packed cyclodextrin surface. It was demonstrated that the beta-cyclodextrin monolayer could bind suitable guest molecules in a reversible manner. A fluorescent molecule (1), equipped with two adamantyl groups for complexation, was adsorbed onto the host monolayer from solution to form a monolayer of guest molecules. Subsequently, the guest molecules were desorbed from the surface by competition with increasing beta-cyclodextrin concentration in solution. The data were fitted using a model. An intrinsic binding constant of 3.3 +/- 1 x 10(5) M(-1) was obtained, which corresponds well to previously obtained results with a divalent guest molecule on beta-cyclodextrin monolayers on gold. In addition, the number of guest molecules bound to the host surface was determined, and a surface coverage of ca. 30% was found.

  6. Reducing Staphylococcus aureus biofilm formation on stainless steel 316L using functionalized self-assembled monolayers

    International Nuclear Information System (INIS)

    Kruszewski, Kristen M.; Nistico, Laura; Longwell, Mark J.; Hynes, Matthew J.; Maurer, Joshua A.; Hall-Stoodley, Luanne; Gawalt, Ellen S.

    2013-01-01

    Stainless steel 316L (SS316L) is a common material used in orthopedic implants. Bacterial colonization of the surface and subsequent biofilm development can lead to refractory infection of the implant. Since the greatest risk of infection occurs perioperatively, strategies that reduce bacterial adhesion during this time are important. As a strategy to limit bacterial adhesion and biofilm formation on SS316L, self-assembled monolayers (SAMs) were used to modify the SS316L surface. SAMs with long alkyl chains terminated with hydrophobic (− CH 3 ) or hydrophilic (oligoethylene glycol) tail groups were used to form coatings and in an orthogonal approach, SAMs were used to immobilize gentamicin or vancomycin on SS316L for the first time to form an “active” antimicrobial coating to inhibit early biofilm development. Modified SS316L surfaces were characterized using surface infrared spectroscopy, contact angles, MALDI-TOF mass spectrometry and atomic force microscopy. The ability of SAM-modified SS316L to retard biofilm development by Staphylococcus aureus was functionally tested using confocal scanning laser microscopy with COMSTAT image analysis, scanning electron microscopy and colony forming unit analysis. Neither hydrophobic nor hydrophilic SAMs reduced biofilm development. However, gentamicin-linked and vancomycin-linked SAMs significantly reduced S. aureus biofilm formation for up to 24 and 48 h, respectively. - Highlights: ► SS316L was modified with glycol terminated SAMs in order to reduce biofilm growth. ► Antibiotics gentamicin and vancomycin were immobilized on SS316L via SAMs. ► Only the antibiotic modifications reduced biofilm development on SS316L

  7. Reducing Staphylococcus aureus biofilm formation on stainless steel 316L using functionalized self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Kruszewski, Kristen M., E-mail: kruszewskik@duq.edu [Duquesne University, Department of Chemistry and Biochemistry, 600 Forbes Avenue, Pittsburgh, PA 15282 (United States); Nistico, Laura, E-mail: lnistico@wpahs.org [Allegheny General Hospital, Center for Genomic Sciences, Allegheny-Singer Research Institute, 320 East North Avenue, 11th floor, South Tower, Pittsburgh, PA 15212 (United States); Longwell, Mark J., E-mail: mlongwel@wpahs.org [Allegheny General Hospital, Center for Genomic Sciences, Allegheny-Singer Research Institute, 320 East North Avenue, 11th floor, South Tower, Pittsburgh, PA 15212 (United States); Hynes, Matthew J., E-mail: mjhynes@go.wustl.edu [Washington University in St. Louis, Department of Chemistry, One Brookings Drive, St. Louis, MO 63130 (United States); Maurer, Joshua A., E-mail: maurer@wustl.edu [Washington University in St. Louis, Department of Chemistry, One Brookings Drive, St. Louis, MO 63130 (United States); Hall-Stoodley, Luanne, E-mail: L.Hall-Stoodley@soton.ac.uk [Southampton Wellcome Trust Clinical Research Facility/NIHR Respiratory BRU, University of Southampton Faculty of Medicine, Southampton General Hospital, Tremona Road, Southampton, Hampshire SO16 6YD (United Kingdom); Gawalt, Ellen S., E-mail: gawalte@duq.edu [Duquesne University, Department of Chemistry and Biochemistry, McGowan Institute for Regenerative Medicine, 600 Forbes Avenue, Pittsburgh, PA 15282 (United States)

    2013-05-01

    Stainless steel 316L (SS316L) is a common material used in orthopedic implants. Bacterial colonization of the surface and subsequent biofilm development can lead to refractory infection of the implant. Since the greatest risk of infection occurs perioperatively, strategies that reduce bacterial adhesion during this time are important. As a strategy to limit bacterial adhesion and biofilm formation on SS316L, self-assembled monolayers (SAMs) were used to modify the SS316L surface. SAMs with long alkyl chains terminated with hydrophobic (− CH{sub 3}) or hydrophilic (oligoethylene glycol) tail groups were used to form coatings and in an orthogonal approach, SAMs were used to immobilize gentamicin or vancomycin on SS316L for the first time to form an “active” antimicrobial coating to inhibit early biofilm development. Modified SS316L surfaces were characterized using surface infrared spectroscopy, contact angles, MALDI-TOF mass spectrometry and atomic force microscopy. The ability of SAM-modified SS316L to retard biofilm development by Staphylococcus aureus was functionally tested using confocal scanning laser microscopy with COMSTAT image analysis, scanning electron microscopy and colony forming unit analysis. Neither hydrophobic nor hydrophilic SAMs reduced biofilm development. However, gentamicin-linked and vancomycin-linked SAMs significantly reduced S. aureus biofilm formation for up to 24 and 48 h, respectively. - Highlights: ► SS316L was modified with glycol terminated SAMs in order to reduce biofilm growth. ► Antibiotics gentamicin and vancomycin were immobilized on SS316L via SAMs. ► Only the antibiotic modifications reduced biofilm development on SS316L.

  8. Conformational order of n-dodecanethiol and n-dodecaneselenol monolayers on polycrystalline copper investigated by PM-IRRAS and SFG spectroscopy

    Science.gov (United States)

    Fonder, G.; Cecchet, F.; Peremans, A.; Thiry, P. A.; Delhalle, J.; Mekhalif, Z.

    2009-08-01

    Self-assembled monolayers (SAMs) of n-dodecanethiol (C 12H 25SH) and n-dodecaneselenol (C 12H 25SeH) on polycrystalline copper have been elaborated with the purpose of achieving densely packed and crystalline-like assemblies. By combining the surface sensitivity of polarization modulation infrared reflection absorption spectroscopy (PM-IRRAS) and sum-frequency generation spectroscopy (SFG), the effect of the self-assembly time (15 min, 30 min, 1 h, 2 h and 24 h) on the formation of n-dodecanethiol and n-dodecaneselenol monolayers on untreated and electrochemically reduced polycrystalline copper has been investigated. On electrochemically reduced copper, PM-IRRAS spectroscopy shows that both molecules are able to form well organized layers. SFG spectroscopy indicates that the C 12H 25SeH SAMs are slightly better ordered than those achieved with C 12H 25SH. On untreated copper, the two molecules lead to different film organizations. Both PM-IRRAS and SFG indicate that C 12H 25SH SAMs are of the same film quality as those obtained on electrochemically reduced copper. On the contrary, C 12H 25SeH monolayers are invariably poorly organized at the molecular level.

  9. Formation and Characterization of Self-Assembled Phenylboronic Acid Derivative Monolayers toward Developing Monosaccaride Sensing-Interface

    Directory of Open Access Journals (Sweden)

    Kwangnak Koh

    2007-08-01

    Full Text Available We designed and synthesized phenylboronic acid as a molecular recognitionmodel system for saccharide detection. The phenylboronic acid derivatives that haveboronic acid moiety are well known to interact with saccharides in aqueous solution; thus,they can be applied to a functional interface of saccharide sensing through the formation ofself-assembled monolayer (SAM. In this study, self-assembled phenylboronic acidderivative monolayers were formed on Au surface and carefully characterized by atomicforce microscopy (AFM, Fourier transform infrared reflection absorption spectroscopy(FTIR-RAS, surface enhanced Raman spectroscopy (SERS, and surface electrochemicalmeasurements. The saccharide sensing application was investigated using surface plasmonresonance (SPR spectroscopy. The phenylboronic acid monolayers showed goodsensitivity of monosaccharide sensing even at the low concentration range (1.0 × 10-12 M.The SPR angle shift derived from interaction between phenylboronic acid andmonosaccharide was increased with increasing the alkyl spacer length of synthesizedphenylboronic acid derivatives.

  10. Detection of submonolayer oxygen-18 on a gold surface by nuclear reaction analysis

    Energy Technology Data Exchange (ETDEWEB)

    Wielunski, L.S.; Kenny, M.J.; Wieczorek, L. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics

    1993-12-31

    A gold substrate is the preferred solid surface for formation of an organic self-assembled monolayer ( SAM ). Device fabrication process may require the gold film to be exposed to photolithographic processing and plasma treatment prior to molecular assembly. It has been observed that oxygen plasma treatment prevents the formation of SAMs; however, subsequent treatment with an argon plasma allows assembly of the organic monolayers. To understand the mechanisms involved, a plasma containing 98% {sup 18}O was used and the film surface was analysed using the {sup 18}O (p,{alpha}){sup 15}N nuclear reaction. 5 refs., 1 tab., 3 figs.

  11. Detection of submonolayer oxygen-18 on a gold surface by nuclear reaction analysis

    Energy Technology Data Exchange (ETDEWEB)

    Wielunski, L S; Kenny, M J; Wieczorek, L [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics

    1994-12-31

    A gold substrate is the preferred solid surface for formation of an organic self-assembled monolayer ( SAM ). Device fabrication process may require the gold film to be exposed to photolithographic processing and plasma treatment prior to molecular assembly. It has been observed that oxygen plasma treatment prevents the formation of SAMs; however, subsequent treatment with an argon plasma allows assembly of the organic monolayers. To understand the mechanisms involved, a plasma containing 98% {sup 18}O was used and the film surface was analysed using the {sup 18}O (p,{alpha}){sup 15}N nuclear reaction. 5 refs., 1 tab., 3 figs.

  12. Near-Edge X-ray Absorption Fine Structure Spectroscopy of Diamondoid Thiol Monolayers on Gold

    Energy Technology Data Exchange (ETDEWEB)

    Willey, T M; Fabbri, J; Lee, J I; Schreiner, P; Fokin, A A; Tkachenko, B A; Fokina, N A; Dahl, J; Carlson, B; Vance, A L; Yang, W; Terminello, L J; van Buuren, T; Melosh, N

    2007-11-27

    Diamondoids, hydrocarbon molecules with cubic-diamond-cage structures, have unique properties with potential value for nanotechnology. The availability and ability to selectively functionalize this special class of nanodiamond materials opens new possibilities for surface-modification, for high-efficiency field emitters in molecular electronics, as seed crystals for diamond growth, or as robust mechanical coatings. The properties of self-assembled monolayers (SAMs) of diamondoids are thus of fundamental interest for a variety of emerging applications. This paper presents the effects of thiol substitution position and polymantane order on diamondoid SAMs on gold using near-edge X-ray absorption fine structure spectroscopy (NEXAFS) and X-ray photoelectron spectroscopy (XPS). A framework to determine both molecular tilt and twist through NEXAFS is presented and reveals highly ordered diamondoid SAMs, with the molecular orientation controlled by the thiol location. C 1s and S 2p binding energies are lower in adamantane thiol than alkane thiols on gold by 0.67 {+-} 0.05 eV and 0.16 {+-} 0.04 eV respectively. These binding energies vary with diamondoid monolayer structure and thiol substitution position, consistent with different amounts of steric strain and electronic interaction with the substrate. This work demonstrates control over the assembly, in particular the orientational and electronic structure, providing a flexible design of surface properties with this exciting new class of diamond clusters.

  13. Electroactive oligoaniline-containing self-assembled monolayers for tissue engineering applications.

    Science.gov (United States)

    Guo, Yi; Li, Mengyan; Mylonakis, Andreas; Han, Jingjia; MacDiarmid, Alan G; Chen, Xuesi; Lelkes, Peter I; Wei, Yen

    2007-10-01

    A novel electroactive silsesquioxane precursor, N-(4-aminophenyl)-N'-(4'-(3-triethoxysilyl-propyl-ureido) phenyl-1,4-quinonenediimine) (ATQD), was successfully synthesized from the emeraldine form of amino-capped aniline trimers via a one-step coupling reaction and subsequent purification by column chromatography. The physicochemical properties of ATQD were characterized using mass spectrometry as well as by nuclear magnetic resonance and UV-vis spectroscopy. Analysis by cyclic voltammetry confirmed that the intrinsic electroactivity of ATQD was maintained upon protonic acid doping, exhibiting two distinct reversible oxidative states, similar to polyaniline. The aromatic amine terminals of self-assembled monolayers (SAMs) of ATQD on glass substrates were covalently modified with an adhesive oligopeptide, cyclic Arg-Gly-Asp (RGD) (ATQD-RGD). The mean height of the monolayer coating on the surfaces was approximately 3 nm, as measured by atomic force microscopy. The biocompatibility of the novel electroactive substrates was evaluated using PC12 pheochromocytoma cells, an established cell line of neural origin. The bioactive, derivatized electroactive scaffold material, ATQD-RGD, supported PC12 cell adhesion and proliferation, similar to control tissue-culture-treated polystyrene surfaces. Importantly, electroactive surfaces stimulated spontaneous neuritogenesis in PC12 cells, in the absence of neurotrophic growth factors, such as nerve growth factor (NGF). As expected, NGF significantly enhanced neurite extension on both control and electroactive surfaces. Taken together, our results suggest that the newly electroactive SAMs grafted with bioactive peptides, such as RGD, could be promising biomaterials for tissue engineering.

  14. Cyclic voltammetry on n-alkylphosphonic acid self-assembled monolayer modified large area indium tin oxide electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Habich, Dana Berlinde [Siemens AG, CT T DE HW 3 Organic Electronics, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Halik, Marcus [Lehrstuhl fuer Polymerwerkstoffe, Department Werkstoffwissenschaften, Friedrich-Alexander-Universitaet Erlangen-Nuernberg, Martensstrasse 7, 91058 Erlangen (Germany); Schmid, Guenter, E-mail: guenter.schmid@siemens.com [Siemens AG, CT T DE HW 3 Organic Electronics, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany)

    2011-09-01

    We show stable bonding of n-alkylphosphonic acid self-assembled monolayers (SAMs) to indium tin oxide electrodes and their direct electrical characterization by cyclic voltammetry (CV). The functional coatings were investigated with regards to the addressability and stability of the electrodes, which are related to small changes in molecular layer thickness. The response of a redox active compound in solution to the faradic current is indirectly proportional to the molecular chain length of the SAMs. We observed a decrease of the electrode sensitivity with enhanced surface protection and slow long term degradation of the SAM under electrochemical stress by CV, and therefore conclude a trade-off optimum for molecules with the C10 chain.

  15. High-Efficiency Colloidal Quantum Dot Photovoltaics via Robust Self-Assembled Monolayers

    KAUST Repository

    Kim, Gi-Hwan; Garcí a de Arquer, F. Pelayo; Yoon, Yung Jin; Lan, Xinzheng; Liu, Mengxia; Voznyy, Oleksandr; Yang, Zhenyu; Fan, Fengjia; Ip, Alexander H.; Kanjanaboos, Pongsakorn; Hoogland, Sjoerd; Kim, Jin Young; Sargent, Edward H.

    2015-01-01

    to voltage. With this goal in mind, self-assembled monolayers (SAMs) can be used to modify interface energy levels locally. However, to be effective SAMs must be made robust to treatment using the various solvents and ligands required for to fabricate high

  16. Random Walk Model for the Growth of Monolayer in Dip Pen Nanolithography

    International Nuclear Information System (INIS)

    Kim, H; Ha, S; Jang, J

    2013-01-01

    By using a simple random-walk model, we simulate the growth of a self-assembled monolayer (SAM) pattern generated in dip pen nanolithography (DPN). In this model, the SAM pattern grows mainly via the serial pushing of molecules deposited from the tip. We examine various SAM patterns, such as lines, crosses, and letters by changing the tip scan speed.

  17. Chemical stability of nonwetting, low adhesion self-assembled monolayer films formed by perfluoroalkylsilanization of copper

    International Nuclear Information System (INIS)

    Hoque, E.; DeRose, J. A.; Hoffmann, P.; Bhushan, B.; Mathieu, H. J.

    2007-01-01

    A self-assembled monolayer (SAM) has been produced by reaction of 1H,1H,2H,2H-perfluorodecyldimethylchlorosilane (PFMS) with an oxidized copper (Cu) substrate and investigated by x-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), friction force microscopy (FFM), a derivative of AFM, and contact angle measurement. FFM showed a significant reduction in the adhesive force and friction coefficient of PFMS modified Cu (PFMS/Cu) compared to unmodified Cu. The perfluoroalkyl SAM on Cu is found to be extremely hydrophobic, yielding sessile drop static contact angles of more than 130 degree sign for pure water and a 'surface energy' (which is proportional to the Zisman critical surface tension for a Cu surface with 0 rms roughness) of 14.5 mJ/m 2 (nM/m). Treatment by exposure to harsh conditions showed that PFMS/Cu SAM can withstand boiling nitric acid (pH=1.8), boiling water, and warm sodium hydroxide (pH=12, 60 degree sign C) solutions for at least 30 min. Furthermore, no SAM degradation was observed when PFMS/Cu was exposed to warm nitric acid solution for up to 70 min at 60 degree sign C or 50 min at 80 degree sign C. Extremely hydrophobic (low surface energy) and stable PFMS/Cu SAMs could be useful as corrosion inhibitors in micro/nanoelectronic devices and/or as promoters for antiwetting, low adhesion surfaces or dropwise condensation on heat exchange surfaces

  18. Chemical stability of nonwetting, low adhesion self-assembled monolayer films formed by perfluoroalkylsilanization of copper.

    Science.gov (United States)

    Hoque, E; DeRose, J A; Hoffmann, P; Bhushan, B; Mathieu, H J

    2007-03-21

    A self-assembled monolayer (SAM) has been produced by reaction of 1H,1H,2H,2H-perfluorodecyldimethylchlorosilane (PFMS) with an oxidized copper (Cu) substrate and investigated by x-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), friction force microscopy (FFM), a derivative of AFM, and contact angle measurement. FFM showed a significant reduction in the adhesive force and friction coefficient of PFMS modified Cu (PFMS/Cu) compared to unmodified Cu. The perfluoroalkyl SAM on Cu is found to be extremely hydrophobic, yielding sessile drop static contact angles of more than 130 degrees for pure water and a "surface energy" (which is proportional to the Zisman critical surface tension for a Cu surface with 0 rms roughness) of 14.5 mJm2(nMm). Treatment by exposure to harsh conditions showed that PFMS/Cu SAM can withstand boiling nitric acid (pH=1.8), boiling water, and warm sodium hydroxide (pH=12, 60 degrees C) solutions for at least 30 min. Furthermore, no SAM degradation was observed when PFMS/Cu was exposed to warm nitric acid solution for up to 70 min at 60 degrees C or 50 min at 80 degrees C. Extremely hydrophobic (low surface energy) and stable PFMS/Cu SAMs could be useful as corrosion inhibitors in micro/nanoelectronic devices and/or as promoters for antiwetting, low adhesion surfaces or dropwise condensation on heat exchange surfaces.

  19. High-Efficiency Colloidal Quantum Dot Photovoltaics via Robust Self-Assembled Monolayers

    KAUST Repository

    Kim, Gi-Hwan

    2015-11-11

    © 2015 American Chemical Society. The optoelectronic tunability offered by colloidal quantum dots (CQDs) is attractive for photovoltaic applications but demands proper band alignment at electrodes for efficient charge extraction at minimal cost to voltage. With this goal in mind, self-assembled monolayers (SAMs) can be used to modify interface energy levels locally. However, to be effective SAMs must be made robust to treatment using the various solvents and ligands required for to fabricate high quality CQD solids. We report robust self-assembled monolayers (R-SAMs) that enable us to increase the efficiency of CQD photovoltaics. Only by developing a process for secure anchoring of aromatic SAMs, aided by deposition of the SAMs in a water-free deposition environment, were we able to provide an interface modification that was robust against the ensuing chemical treatments needed in the fabrication of CQD solids. The energy alignment at the rectifying interface was tailored by tuning the R-SAM for optimal alignment relative to the CQD quantum-confined electron energy levels. This resulted in a CQD PV record power conversion efficiency (PCE) of 10.7% with enhanced reproducibility relative to controls.

  20. Low-temperature poly(oxymethylene) direct bonding via self-assembled monolayer

    Science.gov (United States)

    Fu, Weixin; Ma, Bo; Kuwae, Hiroyuki; Shoji, Shuichi; Mizuno, Jun

    2018-02-01

    A direct bonding of poly(oxymethylene) (POM) was feasible at 100 °C by using self-assembled monolayer (SAM) as a surface modification method. (3-aminopropyl)triethoxysilane (APTES) and (3-glycidyloxypropyl)trimethoxysilane (GOPTS) were used in our work. X-ray photoelectron spectroscopy showed that both APTES and GOPTS modified the POM surface successfully. Bonding strength evaluation revealed that surface modification was affected by pretreatment (VUV/O3) process time. In addition, the bonding condition with highest strength had an average strength of 372 kPa. This technology is expected to be used in packaging for micro-/nano-electromechanical systems, such as biomedical devices.

  1. Orientation of 6-mercaptopurine SAMs at the silver electrode as studied by Raman mapping and in situ SERS.

    Science.gov (United States)

    Chu, Hui; Yang, Haifeng; Huan, Shuangyan; Shen, Guoli; Yu, Ruqin

    2006-03-23

    Self-assembled monolayers (SAMs) of 6-mercaptopurine (6MP) on a silver electrode in acid and alkaline media were investigated by a combination protocol of the SERS technique with Raman mapping, and it was found that the adsorption mode of 6MP SAMs changed with the pH value of the environment. Quantum calculations for the vibrational mode were performed by the BLYP/6-31G method. 6MP was adsorbed on the silver electrode with a tilted orientation via S, N1, and N7 atoms in acid medium, while the SAMs adopted head-on adsorption modes with the S atom and the N1 atom anchoring the silver surface in alkaline medium. However, 6MP SAMs turned to the same upright orientation on the electrode through the S and N7 atoms when either acid or basic solution was removed. Stability of 6MP SAMs was observed by in situ SERS spectroelectrochemical measurements. The results reveal that the desorption potentials of 6MP SAMs formed under acid and alkaline conditions from the Ag electrode were at ca. -1.3 V and -1.6 V vs SCE, respectively.

  2. Increasing the fill factor of inverted P3HT:PCBM solar cells through surface modification of Al-doped ZnO via phosphonic acid-anchored C60 SAMs

    Energy Technology Data Exchange (ETDEWEB)

    Stubhan, Tobias [Institute of Materials for Electronics and Energy Technology (I-MEET), Friedrich-Alexander-University, Erlangen-Nuremberg, Erlangen (Germany); Salinas, Michael; Halik, Marcus [Organic Materials and Devices (OMD)-Institute of Polymer Materials, University Erlangen-Nuremberg, Erlangen (Germany); Ebel, Alexander; Hirsch, Andreas [Institute for Organic Chemistry II, Friedrich-Alexander-University, Erlangen-Nuremberg, Erlangen (Germany); Krebs, Frederick C. [Risoe National Laboratory for Sustainable Energy, Technical University of Denmark, Roskilde (Denmark); Brabec, Christoph J. [Institute of Materials for Electronics and Energy Technology (I-MEET), Friedrich-Alexander-University, Erlangen-Nuremberg, Erlangen (Germany); Bavarian Center for Applied Energy Research (ZAE Bayern), Erlangen (Germany)

    2012-05-15

    The influence of aluminum-doped zinc oxide (AZO) electron extraction layers modified with self-assembled monolayers (SAMs) on inverted polymer solar cells is investigated. It is found that AZO modification with phosphonic acid-anchored Fullerene-SAMs leads to a reduction of the series resistance, while increasing the parallel resistance. This results in an increased efficiency from 2.9 to 3.3%. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Amine terminated SAMs: Investigating why oxygen is present in these films

    International Nuclear Information System (INIS)

    Baio, J.E.; Weidner, T.; Brison, J.; Graham, D.J.; Gamble, Lara J.; Castner, David G.

    2009-01-01

    Self-assembled monolayers (SAMs) on gold prepared from amine-terminated alkanethiols have long been employed as model positively charged surfaces. Yet in previous studies significant amounts of unexpected oxygen containing species are always detected in amine terminated SAMs. Thus, the goal of this investigation was to determine the source of these oxygen species and minimize their presence in the SAM. The surface composition, structure, and order of amine-terminated SAMs on Au were characterized by X-ray photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectroscopy (ToF-SIMS), sum frequency generation (SFG) and near edge X-ray absorption fine structure (NEXAFS) spectroscopy. XPS determined compositions of amine-terminated SAMs in the current study exhibited oxygen concentrations of 2.4 ± 0.4 atomic %, a substantially lower amount of oxygen than reported in previously published studies. High-resolution XPS results from the S 2p , C 1s and N 1s regions did not detect any oxidized species. Angle-resolved XPS indicated that the small amount of oxygen detected was located at or near the amine head group. Small amounts of oxidized nitrogen, carbon and sulfur secondary ions, as well as ions attributed to water, were detected in the ToF-SIMS data due to the higher sensitivity of ToF-SIMS. The lack of N-O, S-O, and C-O stretches in the SFG spectra are consistent with the XPS and ToF-SIMS results and together show that oxidation of the amine-terminated thiols alone can only account for, at most, a small fraction of the oxygen detected by XPS. Both the SFG and angle-dependent NEXAFS indicated the presence of gauche defects in the amine SAMs. However, the SFG spectral features near 2865 cm -1 , assigned to the stretch of the methylene group next to the terminal amine unit, demonstrate the SAM is reasonably ordered. The SFG results also show another broad feature near 3200 cm -1 related to hydrogen-bonded water. From this multi-technique investigation it is

  4. Solid-state densification of spun-cast self-assembled monolayers for use in ultra-thin hybrid dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Hutchins, Daniel O.; Acton, Orb [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Weidner, Tobias [Department of Bioengineering, University of Washington, Seattle, WA 98195 (United States); Cernetic, Nathan [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Baio, Joe E. [Department of Chemical Engineering, University of Washington, Seattle, WA 98195 (United States); Castner, David G. [Department of Bioengineering, University of Washington, Seattle, WA 98195 (United States); Department of Chemical Engineering, University of Washington, Seattle, WA 98195 (United States); Ma, Hong, E-mail: hma@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Jen, Alex K.-Y., E-mail: ajen@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Department of Chemistry, University of Washington, Seattle, WA 98195 (United States)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer Rapid processing of SAM in ambient conditions is achieved by spin coating. Black-Right-Pointing-Pointer Thermal annealing of a bulk spun-cast molecular film is explored as a mechanism for SAM densification. Black-Right-Pointing-Pointer High-performance SAM-oxide hybrid dielectric is obtained utilizing a single wet processing step. - Abstract: Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO{sub x} (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7 Multiplication-Sign 10{sup -8} A cm{sup -2} and capacitance density of 0.62 {mu}F cm{sup -2} at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to

  5. Highly active engineered-enzyme oriented monolayers: formation, characterization and sensing applications

    Directory of Open Access Journals (Sweden)

    Patolsky Fernando

    2011-06-01

    Full Text Available Abstract Background The interest in introducing ecologically-clean, and efficient enzymes into modern industry has been growing steadily. However, difficulties associated with controlling their orientation, and maintaining their selectivity and reactivity is still a significant obstacle. We have developed precise immobilization of biomolecules, while retaining their native functionality, and report a new, fast, easy, and reliable procedure of protein immobilization, with the use of Adenylate kinase as a model system. Methods Self-assembled monolayers of hexane-1,6-dithiol were formed on gold surfaces. The monolayers were characterized by contact-angle measurements, Elman-reagent reaction, QCM, and XPS. A specifically designed, mutated Adenylate kinase, where cysteine was inserted at the 75 residue, and the cysteine at residue 77 was replaced by serine, was used for attachment to the SAM surface via spontaneously formed disulfide (S-S bonds. QCM, and XPS were used for characterization of the immobilized protein layer. Curve fitting in XPS measurements used a Gaussian-Lorentzian function. Results and Discussion Water contact angle (65-70°, as well as all characterization techniques used, confirmed the formation of self-assembled monolayer with surface SH groups. X-ray photoelectron spectroscopy showed clearly the two types of sulfur atom, one attached to the gold (triolate and the other (SH/S-S at the ω-position for the hexane-1,6-dithiol SAMs. The formation of a protein monolayer was confirmed using XPS, and QCM, where the QCM-determined amount of protein on the surface was in agreement with a model that considered the surface area of a single protein molecule. Enzymatic activity tests of the immobilized protein confirmed that there is no change in enzymatic functionality, and reveal activity ~100 times that expected for the same amount of protein in solution. Conclusions To the best of our knowledge, immobilization of a protein by the method

  6. Sulfonic acid-functionalized golf nanoparticles: A colloid-bound catalyst for soft lithographic application on self-assembled monolayers

    NARCIS (Netherlands)

    Li, X.; Paraschiv, V.; Huskens, Jurriaan; Reinhoudt, David

    2003-01-01

    In this report, we present a new lithographic approach to prepare patterned surfaces. Self-assembled monolayers (SAMs) of the acid-labile trimethylsilyl ether (TMS-OC11H22S)2 (TMS adsorbate) was formed on gold. 5-Mercapto-2-benzimidazole sulfonic acid sodium salt (MBS-Na+) was used as a ligand for

  7. Partial ion yield and NEXAFS of 2-(perfluorooctyl)ethanethiol self-assembled monolayer: Comparison with PTFE results

    CERN Document Server

    Setoyama, H; Murase, T; Imamura, M; Mase, K; Okudaira, K K; Hara, M; Ueno, N

    2003-01-01

    Partial-ion-yield (PIY) spectra using ion time-of-flight (TOF) method and near-edge absorption fine structure (NEXAFS) spectra were measured for 2-(perfluorooctyl)ethanethiol [CF sub 3 (CF sub 2) sub 7 (CH sub 2) sub 2 SH] self-assembled monolayer (F8-SAM) on Au(1 1 1) near carbon K-edge. The PIY spectra of the F8-SAM at the magic angle, where -CF sub 3 groups exist at the surface were compared with those of the rubbed polytetrafluoroethylene (PTFE) thin film. The F sup + intensity from the F8-SAM at the photon energy of the sharp peak of the NEXAFS, which originates from the excitation of C1s electron to sigma sup * (C-F) states at -CF sub 2 - chain, was extremely smaller than that from the rubbed PTFE film. This result clearly indicates that the ions observed by PIY do not originate from the film inside but from the surface. This was confirmed by changes in ion-TOF mass spectra during soft X-ray induced etching of the F8-SAM. The NEXAFS peaks of the F8-SAM were also assigned by considering PIY results.

  8. Influencia del Estado de Oxidación del Ión Cobalto en la Estabilidad de Electrodos Modificados con Monocapas SAM-TOA-ANTA-Con+-HRP-NHis.

    Directory of Open Access Journals (Sweden)

    Pedro R. Matheus*

    Full Text Available Influence of state oxidation of cobalt ion in the stability electrodes modified with monolayers SAM-TOA-ANTA-Con+-HRP-NHis. Quartz Crystal Microbalance (QCM was used to investigate the adsorption of the HRP-NHis enzyme (horseradish peroxidase, which was modified by the addition of a tail of six histidine on its extreme N-terminal. The QCM operating at flow of 0.025 mL min-1 on a crystal whose gold electrode was modified with monolayers of SAM-TOA-ANTA-Co2+ and SAM-TOA-ANTA -Co3+. The oxidize form was obtained from the electrochemical oxidation of a monolayer of SAM-TOA-ANTA-Co2+. The results suggest that the HRP-NHis is attached to both monolayers in a similar way; on the contrary, the desortion of the attached protein is dramatically different. Thus, whereas the ligand-Co2+ bonds are reversible, which allows that the anchored protein is easily replaced by imidazol molecules. The 3+ oxidation state of the metal does not allow the interchange of protein by the imidazol molecules.

  9. Collapse of Langmuir monolayer at lower surface pressure: Effect of hydrophobic chain length

    Energy Technology Data Exchange (ETDEWEB)

    Das, Kaushik, E-mail: kaushikdas2089@gmail.com; Kundu, Sarathi [Physical Sciences Division, Institute of Advanced Study in Science and Technology, Vigyan Path, Paschim Boragaon, Garchuk, Guwahati, Assam 781035 (India)

    2016-05-23

    Long chain fatty acid molecules (e.g., stearic and behenic acids) form a monolayer on water surface in the presence of Ba{sup 2+} ions at low subphase pH (≈ 5.5) and remain as a monolayer before collapse generally occurs at higher surface pressure (π{sub c} > 50 mN/m). Monolayer formation is verified from the surface pressure vs. area per molecule (π-A) isotherms and also from the atomic force microscopy (AFM) analysis of the films deposited by single upstroke of hydrophilic Si (001) substrate through the monolayer covered water surface. At high subphase pH (≈ 9.5), barium stearate molecules form multilayer structure at lower surface pressure which is verified from the π-A isotherms and AFM analysis of the film deposited at 25 mN/m. Such monolayer to multilayer structure formation or monolayer collapse at lower surface pressure is unusual as at this surface pressure generally fatty acid salt molecules form a monolayer on the water surface. Formation of bidentate chelate coordination in the metal containing headgroups is the reason for such monolayer to multilayer transition. However, for longer chain barium behenate molecules only monolayer structure is maintained at that high subphase pH (≈ 9.5) due to the presence of relatively more tail-tail hydrophobic interaction.

  10. Near-field photochemical and radiation-induced chemical fabrication of nanopatterns of a self-assembled silane monolayer

    Directory of Open Access Journals (Sweden)

    Ulrich C. Fischer

    2014-09-01

    Full Text Available A general concept for parallel near-field photochemical and radiation-induced chemical processes for the fabrication of nanopatterns of a self-assembled monolayer (SAM of (3-aminopropyltriethoxysilane (APTES is explored with three different processes: 1 a near-field photochemical process by photochemical bleaching of a monomolecular layer of dye molecules chemically bound to an APTES SAM, 2 a chemical process induced by oxygen plasma etching as well as 3 a combined near-field UV-photochemical and ozone-induced chemical process, which is applied directly to an APTES SAM. All approaches employ a sandwich configuration of the surface-supported SAM, and a lithographic mask in form of gold nanostructures fabricated through colloidal sphere lithography (CL, which is either exposed to visible light, oxygen plasma or an UV–ozone atmosphere. The gold mask has the function to inhibit the photochemical reactions by highly localized near-field interactions between metal mask and SAM and to inhibit the radiation-induced chemical reactions by casting a highly localized shadow. The removal of the gold mask reveals the SAM nanopattern.

  11. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  12. Immobilisation of a thrombopoietin peptidic mimic by self-assembled monolayers for culture of CD34+ cells.

    Science.gov (United States)

    Lee, Eun-Ju; Be, Cheang Ly; Vinson, Andrew R; Riches, Andrew G; Fehr, Friederike; Gardiner, James; Gengenbach, Thomas R; Winkler, David A; Haylock, David

    2015-01-01

    Compared to soluble cytokines, surface-tethered ligands can deliver biological signalling with precise control of spatial positioning and concentration. A strategy that immobilises ligand molecules on a surface in a uniform orientation using non-cleavable linkages under physiological conditions would enhance the specific and systemic delivery of signalling in the local environment. We used mixed self-assembled monolayers (SAMs) of oxyamine- and oligo(ethylene glycol)-terminated thiols on gold to covalently install aldehyde- or ketone-functionalised ligands via oxime conjugation. Characterisation by electrochemistry and X-ray photoelectron spectroscopy showed quantitative immobilisation of the ligands on SAM surfaces. The thrombopoietin mimetic peptide, RILL, was immobilised on SAMs and the bioactivity of the substrate was demonstrated by culturing factor-dependent cells. We also optimised the immobilisation and wash conditions so that the peptide was not released into the culture medium and the immobilised RILL could be re-used for consecutive cell cultures. The surface also supported the growth of haematopoietic CD34+ cells comparable to the standard thrombopoietin-supplemented culture. Furthermore, the RILL-immobilised SAM surface was as effective in expanding uncommitted CD34+ cells as standard culture. The stimulatory effect of surface-tethered ligands in haematopoietic stem cell expansion supports the use of ligand immobilisation strategies to replicate the haematopoietic stem cell niche. Crown Copyright © 2014. Published by Elsevier Ltd. All rights reserved.

  13. Support-Free Transfer of Ultrasmooth Graphene Films Facilitated by Self-Assembled Monolayers for Electronic Devices and Patterns.

    Science.gov (United States)

    Wang, Bin; Huang, Ming; Tao, Li; Lee, Sun Hwa; Jang, A-Rang; Li, Bao-Wen; Shin, Hyeon Suk; Akinwande, Deji; Ruoff, Rodney S

    2016-01-26

    We explored a support-free method for transferring large area graphene films grown by chemical vapor deposition to various fluoric self-assembled monolayer (F-SAM) modified substrates including SiO2/Si wafers, polyethylene terephthalate films, and glass. This method yields clean, ultrasmooth, and high-quality graphene films for promising applications such as transparent, conductive, and flexible films due to the absence of residues and limited structural defects such as cracks. The F-SAM introduced in the transfer process can also lead to graphene transistors with enhanced field-effect mobility (up to 10,663 cm(2)/Vs) and resistance modulation (up to 12×) on a standard silicon dioxide dielectric. Clean graphene patterns can be realized by transfer of graphene onto only the F-SAM modified surfaces.

  14. Patterning of self-assembled monolayers by phase-shifting mask and its applications in large-scale assembly of nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Fan; Zhang, Dakuan; Wang, Jianyu; Sheng, Yun; Wang, Xinran; Chen, Kunji; Zhou, Minmin [Key Laboratory of Advanced Photonic and Electronic Materials and School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Yan, Shancheng [Key Laboratory of Advanced Photonic and Electronic Materials and School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); School of Geography and Biological Information, Nanjing University of Posts and Telecommunications, Nanjing 210046 (China); Shen, Jiancang; Pan, Lijia; Shi, Yi, E-mail: yshi@nju.edu.cn [Key Laboratory of Advanced Photonic and Electronic Materials and School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Collaborative Innovation Center of Advanced Micro-structures, Nanjing University, Nanjing 210093 (China)

    2015-01-26

    A nonselective micropatterning method of self-assembled monolayers (SAMs) based on laser and phase-shifting mask (PSM) is demonstrated. Laser beam is spatially modulated by a PSM, and periodic SAM patterns are generated sequentially through thermal desorption. Patterned wettability is achieved with alternating hydrophilic/hydrophobic stripes on octadecyltrichlorosilane monolayers. The substrate is then used to assemble CdS semiconductor nanowires (NWs) from a solution, obtaining well-aligned NWs in one step. Our results show valuably the application potential of this technique in engineering SAMs for integration of functional devices.

  15. Molecular printboards: monolayers of beta-cyclodextrins on silicon oxide surfaces

    NARCIS (Netherlands)

    Onclin, S.; Mulder, A.; Huskens, Jurriaan; Ravoo, B.J.; Reinhoudt, David

    2004-01-01

    Monolayers of β-cyclodextrin host molecules have been prepared on SiO2 surfaces. An ordered and stable cyano-terminated monolayer was modified in three consecutive surface reactions. First, the cyanide groups were reduced to their corresponding free amines using Red Al as a reducing agent. Second,

  16. A self-assembled monolayer-assisted surface microfabrication and release technique

    NARCIS (Netherlands)

    Kim, B.J.; Liebau, M.; Huskens, Jurriaan; Reinhoudt, David; Brugger, J.P.

    2001-01-01

    This paper describes a method of thin film and MEMS processing which uses self-assembled monolayers as ultra-thin organic surface coating to enable a simple removal of microfabricated devices off the surface without wet chemical etching. A 1.5-nm thick self-assembled monolayer of

  17. Fluorine-doped tin oxide surfaces modified by self-assembled alkanethiols for thin-film devices

    Energy Technology Data Exchange (ETDEWEB)

    Alves, A.C.T.; Gomes, D.J.C.; Silva, J.R.; Silva, G.B., E-mail: george@cpd.ufmt.br

    2013-08-15

    In this work, we have investigated self-assembled monolayers (SAMs) from alkanethiols on fluorine-doped tin oxide (FTO) surfaces, which were used as an anode for thin-film devices prepared from the conductive copolymer so-called sulfonated poly(thiophene-3-[2-(2-methoxyethoxy) ethoxy]-2,5-diyl) (S-P3MEET). The assembled monolayers were characterized by using wetting contact angle, atomic force microscopy, and electrical measurements. The results indicated that dodecanethiol molecules, CH{sub 3}(CH{sub 2}){sub 11}SH, were well assembled on the FTO surfaces. In addition, it was found similar values of wetting contact angle for dodecanethiol assembled on both FTO and Au surfaces. Concerning the thin-film device, current–voltage analysis revealed a hysteresis. This behavior was associated to a charge-trapping effect and also to structural changes of the SAMs. Finally, charge injection capability of tin oxide electrodes can be improved by using SAMs and then this approach can plays an important role in molecular-scale electronic devices.

  18. Formation of high-quality self-assembled monolayers of conjugated dithiols on gold: base matters.

    Science.gov (United States)

    Valkenier, Hennie; Huisman, Everardus H; van Hal, Paul A; de Leeuw, Dago M; Chiechi, Ryan C; Hummelen, Jan C

    2011-04-06

    This Article reports a systematic study on the formation of self-assembled monolayers (SAMs) of conjugated molecules for molecular electronic (ME) devices. We monitored the deprotection reaction of acetyl protected dithiols of oligophenylene ethynylenes (OPEs) in solution using two different bases and studied the quality of the resulting SAMs on gold. We found that the optimal conditions to reproducibly form dense, high-quality monolayers are 9-15% triethylamine (Et(3)N) in THF. The deprotection base tetrabutylammonium hydroxide (Bu(4)NOH) leads to less dense SAMs and the incorporation of Bu(4)N into the monolayer. Furthermore, our results show the importance of the equilibrium concentrations of (di)thiolate in solution on the quality of the SAM. To demonstrate the relevance of these results for molecular electronics applications, large-area molecular junctions were fabricated using no base, Et(3)N, and Bu(4)NOH. The magnitude of the current-densities in these devices is highly dependent on the base. A value of β=0.15 Å(-1) for the exponential decay of the current-density of OPEs of varying length formed using Et(3)N was obtained. © 2011 American Chemical Society

  19. Surface sealing using self-assembled monolayers and its effect on metal diffusion in porous low-k dielectrics studied using monoenergetic positron beams

    International Nuclear Information System (INIS)

    Uedono, Akira; Armini, Silvia; Zhang, Yu; Kakizaki, Takeaki; Krause-Rehberg, Reinhard; Anwand, Wolfgang; Wagner, Andreas

    2016-01-01

    Graphical abstract: - Highlights: • Pores with cubic pore side lengths of 1.1 and 3.1 nm coexisted in the low-k film. • For the sample without the SAM sealing process, metal atoms diffused from the top Cu/MnN layer into the OSG film and were trapped by the pores. Almost all pore interiors were covered by those metals. • For the sample damaged by a plasma etch treatment before the SAM sealing process, self-assembled molecules diffused into the OSG film, and they were preferentially trapped by larger pores. - Abstract: Surface sealing effects on the diffusion of metal atoms in porous organosilicate glass (OSG) films were studied by monoenergetic positron beams. For a Cu(5 nm)/MnN(3 nm)/OSG(130 nm) sample fabricated with pore stuffing, C_4F_8 plasma etch, unstuffing, and a self-assembled monolayer (SAM) sealing process, it was found that pores with cubic pore side lengths of 1.1 and 3.1 nm coexisted in the OSG film. For the sample without the SAM sealing process, metal (Cu and Mn) atoms diffused from the top Cu/MnN layer into the OSG film and were trapped by the pores. As a result, almost all pore interiors were covered with those metals. For the sample damaged by an Ar/C_4F_8 plasma etch treatment before the SAM sealing process, SAMs diffused into the OSG film, and they were preferentially trapped by larger pores. The cubic pore side length in these pores containing self-assembled molecules was estimated to be 0.7 nm. Through this work, we have demonstrated that monoenergetic positron beams are a powerful tool for characterizing capped porous films and the trapping of atoms and molecules by pores.

  20. Improving Charge Injection in Organic Electronic Devices Using Self-Assembled Monolayers

    Science.gov (United States)

    Campbell, I. H.; Kress, J. D.; Martin, R. L.; Smith, D. L.; Barashkov, N. N.; Ferraris, J. P.

    1997-03-01

    Organic electronic devices consist of one or more insulating organic layers contacted by metallic conductors. The Schottky energy barrier between the metal and the organic material is determined by the work function of the metal contact as described in the ideal Schottky model. The magnitude of the metal/organic Schottky energy barrier controls charge injection from the metal into the organic layer. Previously, polar alkane-thiol based self-assembled monolayers (SAMs) were used to change the Schottky energy barrier between the metal and an organic film by more than 1 eV. In these SAMs, the large energy gap of the alkane molecules blocks charge injection into the organic layer despite the decrease of the Schottky energy barrier. Here, we demonstrate improved charge injection into the organic material by using conjugated self-assembled monolayers. The conjugated SAMs have modest energy gaps which allow improved charge injection into the organic layer. We present measurements of current-voltage characteristics and metal/organic Schottky energy barriers for device structures both with and without conjugated SAMs.

  1. Neutralization of methyl cation via chemical reactions in low-energy ion-surface collisions with fluorocarbon and hydrocarbon self-assembled monolayer films.

    Science.gov (United States)

    Somogyi, Arpád; Smith, Darrin L; Wysocki, Vicki H; Colorado, Ramon; Lee, T Randall

    2002-10-01

    Low-energy ion-surface collisions of methyl cation at hydrocarbon and fluorocarbon self-assembled monolayer (SAM) surfaces produce extensive neutralization of CH3+. These experimental observations are reported together with the results obtained for ion-surface collisions with the molecular ions of benzene, styrene, 3-fluorobenzonitrile, 1,3,5-triazine, and ammonia on the same surfaces. For comparison, low-energy gas-phase collisions of CD3+ and 3-fluorobenzonitrile molecular ions with neutral n-butane reagent gas were conducted in a triple quadrupole (QQQ) instrument. Relevant MP2 6-31G*//MP2 6-31G* ab initio and thermochemical calculations provide further insight in the neutralization mechanisms of methyl cation. The data suggest that neutralization of methyl cation with hydrocarbon and fluorocarbon SAMs occurs by concerted chemical reactions, i.e., that neutralization of the projectile occurs not only by a direct electron transfer from the surface but also by formation of a neutral molecule. The calculations indicate that the following products can be formed by exothermic processes and without appreciable activation energy: CH4 (formal hydride ion addition) and C2H6 (formal methyl anion addition) from a hydrocarbon surface and CH3F (formal fluoride addition) from a fluorocarbon surface. The results also demonstrate that, in some cases, simple thermochemical calculations cannot be used to predict the energy profiles because relatively large activation energies can be associated with exothermic reactions, as was found for the formation of CH3CF3 (formal addition of trifluoromethyl anion).

  2. Optimisation and Characterisation of Anti-Fouling Ternary SAM Layers for Impedance-Based Aptasensors

    Directory of Open Access Journals (Sweden)

    Anna Miodek

    2015-09-01

    Full Text Available An aptasensor with enhanced anti-fouling properties has been developed. As a case study, the aptasensor was designed with specificity for human thrombin. The sensing platform was developed on screen printed electrodes and is composed of a self-assembled monolayer made from a ternary mixture of 15-base thiolated DNA aptamers specific for human thrombin co-immobilised with 1,6-hexanedithiol (HDT and further passivated with 1-mercapto-6-hexanol (MCH. HDT binds to the surface by two of its thiol groups forming alkyl chain bridges and this architecture protects from non-specific attachment of molecules to the electrode surface. Using Electrochemical Impedance Spectroscopy (EIS, the aptasensor is able to detect human thrombin as variations in charge transfer resistance (Rct upon protein binding. After exposure to a high concentration of non-specific Bovine Serum Albumin (BSA solution, no changes in the Rct value were observed, highlighting the bio-fouling resistance of the surface generated. In this paper, we present the optimisation and characterisation of the aptasensor based on the ternary self-assembled monolayer (SAM layer. We show that anti-fouling properties depend on the type of gold surface used for biosensor construction, which was also confirmed by contact angle measurements. We further studied the ratio between aptamers and HDT, which can determine the specificity and selectivity of the sensing layer. We also report the influence of buffer pH and temperature used for incubation of electrodes with proteins on detection and anti-fouling properties. Finally, the stability of the aptasensor was studied by storage of modified electrodes for up to 28 days in different buffers and atmospheric conditions. Aptasensors based on ternary SAM layers are highly promising for clinical applications for detection of a range of proteins in real biological samples.

  3. Functionalisation of Ti6Al4V components fabricated using selective laser melting with a bioactive compound

    Energy Technology Data Exchange (ETDEWEB)

    Vaithilingam, Jayasheelan [Additive Manufacturing and 3D Printing Research Group, School of Engineering, The University of Nottingham, Nottingham NG7 2RD (United Kingdom); Kilsby, Samuel [Department of Chemistry, Loughborough University, Loughborough LE11 3TU (United Kingdom); Goodridge, Ruth D., E-mail: Ruth.Goodridge@nottingham.ac.uk [Additive Manufacturing and 3D Printing Research Group, School of Engineering, The University of Nottingham, Nottingham NG7 2RD (United Kingdom); Christie, Steven D.R. [Department of Chemistry, Loughborough University, Loughborough LE11 3TU (United Kingdom); Edmondson, Steve [School of Materials, The University of Manchester, Manchester M13 9PL (United Kingdom); Hague, Richard J.M. [Additive Manufacturing and 3D Printing Research Group, School of Engineering, The University of Nottingham, Nottingham NG7 2RD (United Kingdom)

    2015-01-01

    Surface modification of an implant with a biomolecule is used to improve its biocompatibility and to reduce post-implant complications. In this study, a novel approach has been used to functionalise phosphonic acid monolayers with a drug. Ti6Al4V components fabricated using selective laser melting (SLM) were functionalised with Paracetamol (a pharmaceutically relevant biomolecule) using phosphonic acid based self-assembled monolayers (SAMs). The attachment, stability of the monolayers on the SLM fabricated surface and functionalisation of SAMs with Paracetamol were studied using X-ray photoelectron spectroscopy (XPS) and surface wettability measurements. The obtained results confirmed that SAMs were stable on the Ti6Al4V surface for over four weeks and then began to desorb from the surface. The reaction used to functionalise the phosphonic acid monolayers with Paracetamol was noted to be successful. Thus, the proposed method has the potential to immobilise drugs/proteins to SAM coated surfaces and improve their biocompatibility and reduce post-implant complications. - Graphical abstract: A significant change in the contact angle confirming the immobilisation of Paracetamol. (a) Before self-assembled monolayer (SAM) attachment, (b) after SAM attachment and (c) after the immobilisation of Paracetamol to the SAMs. - Highlights: • Ti6Al4V parts were fabricated using selective laser melting (SLM). • Monolayers used to modify the SLM surface were stable for over 28 days (in-vitro). • Surface roughness did not have a significant impact on the monolayer stability. • Paracetamol was successfully immobilised to the adsorbed monolayers. • Caution required before selecting Paracetamol as a model drug.

  4. Functionalisation of Ti6Al4V components fabricated using selective laser melting with a bioactive compound

    International Nuclear Information System (INIS)

    Vaithilingam, Jayasheelan; Kilsby, Samuel; Goodridge, Ruth D.; Christie, Steven D.R.; Edmondson, Steve; Hague, Richard J.M.

    2015-01-01

    Surface modification of an implant with a biomolecule is used to improve its biocompatibility and to reduce post-implant complications. In this study, a novel approach has been used to functionalise phosphonic acid monolayers with a drug. Ti6Al4V components fabricated using selective laser melting (SLM) were functionalised with Paracetamol (a pharmaceutically relevant biomolecule) using phosphonic acid based self-assembled monolayers (SAMs). The attachment, stability of the monolayers on the SLM fabricated surface and functionalisation of SAMs with Paracetamol were studied using X-ray photoelectron spectroscopy (XPS) and surface wettability measurements. The obtained results confirmed that SAMs were stable on the Ti6Al4V surface for over four weeks and then began to desorb from the surface. The reaction used to functionalise the phosphonic acid monolayers with Paracetamol was noted to be successful. Thus, the proposed method has the potential to immobilise drugs/proteins to SAM coated surfaces and improve their biocompatibility and reduce post-implant complications. - Graphical abstract: A significant change in the contact angle confirming the immobilisation of Paracetamol. (a) Before self-assembled monolayer (SAM) attachment, (b) after SAM attachment and (c) after the immobilisation of Paracetamol to the SAMs. - Highlights: • Ti6Al4V parts were fabricated using selective laser melting (SLM). • Monolayers used to modify the SLM surface were stable for over 28 days (in-vitro). • Surface roughness did not have a significant impact on the monolayer stability. • Paracetamol was successfully immobilised to the adsorbed monolayers. • Caution required before selecting Paracetamol as a model drug

  5. Surface Charge Transfer Doping of Monolayer Phosphorene via Molecular Adsorption.

    Science.gov (United States)

    He, Yuanyuan; Xia, Feifei; Shao, Zhibin; Zhao, Jianwei; Jie, Jiansheng

    2015-12-03

    Monolayer phosphorene has attracted much attention owing to its extraordinary electronic, optical, and structural properties. Rationally tuning the electrical transport characteristics of monolayer phosphorene is essential to its applications in electronic and optoelectronic devices. Herein, we study the electronic transport behaviors of monolayer phosphorene with surface charge transfer doping of electrophilic molecules, including 2,3,5,6-tetrafluoro-7,7,8,8-tetracyanoquinodimethane (F4TCNQ), NO2, and MoO3, using density functional theory combined with the nonequilibrium Green's function formalism. F4TCNQ shows optimal performance in enhancing the p-type conductance of monolayer phosphorene. Static electronic properties indicate that the enhancement is originated from the charge transfer between adsorbed molecule and phosphorene layer. Dynamic transport behaviors demonstrate that additional channels for hole transport in host monolayer phosphorene were generated upon the adsorption of molecule. Our work unveils the great potential of surface charge transfer doping in tuning the electronic properties of monolayer phosphorene and is of significance to its application in high-performance devices.

  6. Electro-optic investigation of the n-alkanethiol GaAs(001) interface: Surface phenomena and applications to photoluminescence-based biosensing

    Science.gov (United States)

    Marshall, Gregory M.

    Semiconductor surfaces coupled to molecular structures derived from organic chemistry form the basis of an emerging class of field-effect devices. In addition to molecular electronics research, these interfaces are developed for a variety of sensor applications in the electronic and optical domains. Of practical interest are self-assembled monolayers (SAMs) comprised of n-alkanethiols [HS(CH2)n], which couple to the GaAs(001) surface through S-GaAs covalent bond formation. These SAMs offer potential functionality in terms of the requisite sensor chemistry and the passivation effect such coupling is known to afford. In this thesis, the SAM-GaAs interface is investigated in the context of a photonic biosensor based on photoluminescence (PL) variation. The scope of the work is categorized into three parts: i) the structural and compositional analysis of the surface using X-ray photoelectron spectroscopy (XPS), ii) the investigation of electronic properties at the interface under equilibrium conditions using infrared (IR) spectroscopy, the Kelvin probe method, and XPS, and iii) the analysis of the electro-optic response under steady-state photonic excitation, specifically, the surface photovoltage (SPV) and PL intensity. Using a partial overlayer model of angle-resolved XPS spectra in which the component assignments are shown to be quantitatively valid, the coverage fraction of methyl-terminated SAMs is shown to exceed 90%. Notable among the findings are a low-oxide, Ga-rich surface with elemental As present in sub-monolayer quantities consistent with theoretical surface morphologies. Modal analysis of transmission IR spectra show that the SAM molecular order is sufficient to support a Beer-Lambert determination of the IR optical constants, which yields the observation of a SAM-specific absorbance enhancement. By correlation of the IR absorbance with the SAM dipole layer potential, the enhancement mechanism is attributed to the vibrational moments added by the

  7. Membrane protein resistance of oligo(ethylene oxide) self-assembled monolayers.

    Science.gov (United States)

    Vaish, Amit; Vanderah, David J; Vierling, Ryan; Crawshaw, Fay; Gallagher, D Travis; Walker, Marlon L

    2014-10-01

    As part of an effort to develop biointerfaces for structure-function studies of integral membrane proteins (IMPs) a series of oligo(ethylene oxide) self-assembled monolayers (OEO-SAMs) were evaluated for their resistance to protein adsorption (RPA) of IMPs on Au and Pt. Spectroscopic ellipsometry (SE) was used to determine SAM thicknesses and compare the RPA of HS(CH2)3O(CH2CH2O)6CH3 (1), HS(CH2)3O(CH2CH2O)6H (2), [HS(CH2)3]2CHO(CH2CH2O)6CH3 (3) and [HS(CH2)3]2CHO(CH2CH2O)6H (4), assembled from water. For both substrates, SAM thicknesses for 1 to 4 were found to be comparable indicating SAMs with similar surface coverages and OEO chain order and packing densities. Fibrinogen (Fb), a soluble plasma protein, and rhodopsin (Rd), an integral membrane G-protein coupled receptor, adsorbed to the SAMs of 1, as expected from previous reports, but not to the hydroxy-terminated SAMs of 2 and 4. The methoxy-terminated SAMs of 3 were resistant to Fb but, surprisingly, not to Rd. The stark difference between the adsorption of Rd to the SAMs of 3 and 4 clearly indicate that a hydroxy-terminus of the OEO chain is essential for high RPA of IMPs. The similar thicknesses and high RPA of the SAMs of 2 and 4 show the conditions of protein resistance (screening the underlying substrate, packing densities, SAM order, and conformational mobility of the OEO chains) defined from previous studies on Au are applicable to Pt. In addition, the SAMs of 4, exhibiting the highest resistance to Fb and Rd, were placed in contact with undiluted fetal bovine serum for 2h. Low protein adsorption (≈12.4ng/cm(2)), obtained under these more challenging conditions, denote a high potential of the SAMs of 4 for various applications requiring the suppression of non-specific protein adsorption. Published by Elsevier B.V.

  8. LETTER TO THE EDITOR: Surface passivation of (100) InP by organic thiols and polyimide as characterized by steady-state photoluminescence

    Science.gov (United States)

    Schvartzman, M.; Sidorov, V.; Ritter, D.; Paz, Y.

    2001-10-01

    A method for the passivation of indium phosphide, based on thiolated organic self-assembled monolayers (SAMs) that form highly ordered, close-packed structures on the semiconductor surface, is presented. It is shown that the intensity of steady-state photoluminescence (PL) of n-type InP wafers covered with the thiolated SAMs increases significantly (as much as 14-fold) upon their covering with the monolayers. The ease with which one can tailor the outer functional groups of the SAMs provides a way to connect this new class of passivators with standard encapsulators, such as polyimide. Indeed, the PL intensity of SAM-coated InP wafers was not altered upon their overcoating with polyimide, despite the high curing temperature of the polymer (200 °C).

  9. Deposition of metal Islands, metal clusters and metal containing single molecules on self-assembled monolayers

    NARCIS (Netherlands)

    Speets, Emiel Adrianus

    2005-01-01

    The central topic of this thesis is the deposition of metals on Self-Assembled Monolayers (SAMs). Metals are deposited in the form of submicron scale islands, nanometer scale clusters, and as supramolecular, organometallic coordination cages. Several SAMs on various substrates were prepared and

  10. Properties of the gold-sulphur interface: from self-assembled monolayers to clusters.

    Science.gov (United States)

    Bürgi, Thomas

    2015-10-14

    The gold-sulphur interface of self-assembled monolayers (SAMs) was extensively studied some time ago. More recently tremendous progress has been made in the preparation and characterization of thiolate-protected gold clusters. In this feature article we address different properties of the two systems such as their structure, the mobility of the thiolates on the surface and other dynamical aspects, the chirality of the structures and characteristics related to it and their vibrational properties. SAMs and clusters are in the focus of different communities that typically use different experimental approaches to study the respective systems. However, it seems that the nature of the Au-S interfaces in the two cases is quite similar. Recent single crystal X-ray structures of thiolate-protected gold clusters reveal staple motifs characterized by gold ad-atoms sandwiched between two sulphur atoms. This finding contradicts older work on SAMs. However, newer studies on SAMs also reveal ad-atoms. Whether this finding can be generalized remains to be shown. In any case, more and more studies highlight the dynamic nature of the Au-S interface, both on flat surfaces and in clusters. At temperatures slightly above ambient thiolates migrate on the gold surface and on clusters. Evidence for desorption of thiolates at room temperature, at least under certain conditions, has been demonstrated for both systems. The adsorbed thiolate can lead to chirality at different lengths scales, which has been shown both on surfaces and for clusters. Chirality emerges from the organization of the thiolates as well as locally at the molecular level. Chirality can also be transferred from a chiral surface to an adsorbate, as evidenced by vibrational spectroscopy.

  11. Properties of the gold-sulphur interface: from self-assembled monolayers to clusters

    Science.gov (United States)

    Bürgi, Thomas

    2015-09-01

    The gold-sulphur interface of self-assembled monolayers (SAMs) was extensively studied some time ago. More recently tremendous progress has been made in the preparation and characterization of thiolate-protected gold clusters. In this feature article we address different properties of the two systems such as their structure, the mobility of the thiolates on the surface and other dynamical aspects, the chirality of the structures and characteristics related to it and their vibrational properties. SAMs and clusters are in the focus of different communities that typically use different experimental approaches to study the respective systems. However, it seems that the nature of the Au-S interfaces in the two cases is quite similar. Recent single crystal X-ray structures of thiolate-protected gold clusters reveal staple motifs characterized by gold ad-atoms sandwiched between two sulphur atoms. This finding contradicts older work on SAMs. However, newer studies on SAMs also reveal ad-atoms. Whether this finding can be generalized remains to be shown. In any case, more and more studies highlight the dynamic nature of the Au-S interface, both on flat surfaces and in clusters. At temperatures slightly above ambient thiolates migrate on the gold surface and on clusters. Evidence for desorption of thiolates at room temperature, at least under certain conditions, has been demonstrated for both systems. The adsorbed thiolate can lead to chirality at different lengths scales, which has been shown both on surfaces and for clusters. Chirality emerges from the organization of the thiolates as well as locally at the molecular level. Chirality can also be transferred from a chiral surface to an adsorbate, as evidenced by vibrational spectroscopy.

  12. Self-Assembled Monolayers of CdSe Nanocrystals on Doped GaAs Substrates

    DEFF Research Database (Denmark)

    Marx, E.; Ginger, D.S.; Walzer, Karsten

    2002-01-01

    This letter reports the self-assembly and analysis of CdSe nanocrystal monolayers on both p- and a-doped GaAs substrates. The self-assembly was performed using a 1,6-hexanedithiol self-assembled monolayer (SAM) to link CdSe nanocrystals to GaAs substrates. Attenuated total reflection Fourier tran...

  13. Electrodeposition of gold templated by patterned thiol monolayers

    Energy Technology Data Exchange (ETDEWEB)

    She, Zhe [EaStCHEM School of Chemistry, University of St. Andrews, KY16 9ST (United Kingdom); Di Falco, Andrea [SUPA, School of Physics and Astronomy, University of St. Andrews, KY16 9SS (United Kingdom); Hähner, Georg [EaStCHEM School of Chemistry, University of St. Andrews, KY16 9ST (United Kingdom); Buck, Manfred, E-mail: mb45@st-andrews.ac.uk [EaStCHEM School of Chemistry, University of St. Andrews, KY16 9ST (United Kingdom)

    2016-06-15

    Graphical abstract: - Highlights: • First demonstration of electrodeposition/lift-off of gold using thiol monolayers. • Microelectrode structures with large length to width ratio were generated. • Performance of two different patterning techniques was investigated. • Conditions for achieving good contrast in the electrodeposition were established. - Abstract: The electrochemical deposition of Au onto Au substrates modified by self-assembled monolayers (SAMs) was studied by linear sweep voltammetry (LSV), atomic force microscopy (AFM) and scanning electron microscopy (SEM). Patterned SAMs exhibiting electrochemical contrast were prepared by two different methods. One used microcontact printing (μCP) to generate a binary SAM of ω-(4′-methyl-biphenyl-4-yl)-propane thiol (CH{sub 3}-C{sub 6}H{sub 4}-C{sub 6}H{sub 4}-(CH{sub 2}){sub 3}-SH, MBP3) and octadecane thiol (CH{sub 3}(CH{sub 2}){sub 17}SH, ODT). Templated by the SAM, a gold microelectrode structure was electrodeposited featuring a line 15 μm wide and 3 mm long. After transfer to an epoxy substrate the structure proved to be electrically conductive across the full length. The other patterning method applied electron beam lithography (EBL) where electrochemical contrast was achieved by crosslinking molecules in a single component SAM of MBP3. An electron dose above 250 mC/cm{sup 2} results in a high deposition contrast. The choice of parameters for the deposition/lift-off process is found to be more critical for Au compared to Cu studied previously. The origin of the differences and implications for nanoscale patterning are discussed.

  14. Temperature-dependent surface density of alkylthiol monolayers on gold nanocrystals

    Science.gov (United States)

    Liu, Xuepeng; Lu, Pin; Zhai, Hua; Wu, Yucheng

    2018-03-01

    Atomistic molecular dynamics (MD) simulations are performed to study the surface density of passivating monolayers of alkylthiol chains on gold nanocrystals at temperatures ranging from 1 to 800 K. The results show that the surface density of alkylthiol monolayer reaches a maximum value at near room temperature (200-300 K), while significantly decreases with increasing temperature in the higher temperature region (> 300 {{K}}), and slightly decreases with decreasing temperature at low temperature (< 200 {{K}}). We find that the temperature dependence of surface ligand density in the higher temperature region is attributed to the substantial ligand desorption induced by the thermal fluctuation, while that at low temperature results from the reduction in entropy caused by the change in the ordering of passivating monolayer. These results are expected helpful to understand the temperature-dependent surface coverage of gold nanocrystals.

  15. Chemical imaging of structured SAMs with a novel SFG microscope

    Science.gov (United States)

    Hoffmann, Dominik M. P.; Kuhnke, Klaus; Kern, Klaus

    2002-11-01

    We present a newly developed microscope for sum frequency generation (SFG) imaging of opaque and reflecting interfaces. The sample is viewed at an angle of 60° with respect to the surface normal in order to increase the collected SFG intensity. Our setup is designed to keep the whole field of view (FOV) in focus and to compensate for the distortion usually related to oblique imaging by means of a blazed grating. The separation of the SFG intensity and the reflected visible beam is accomplished by a suitable combination of spectral filters. The sum frequency microscope (SFM) is capable of in-situ chemically selective imaging by tuning the IR-beam to vibrational transitions of the respective molecules. The SFM is applied to imaging of structured self-assembled monolayers (SAM) of thiol molecules on a gold surface.

  16. Shadow mask evaporation through monolayer modified nanostencils

    NARCIS (Netherlands)

    Kolbel, M.; Tjerkstra, R.W.; Brugger, J.P.; van Rijn, C.J.M.; Nijdam, W.; Huskens, Jurriaan; Reinhoudt, David

    2002-01-01

    Gradual clogging of the apertures of nanostencils used as miniature shadow masks in metal evaporations can be reduced by coating the stencil with self-assembled monolayers (SAM). This is quantified by the dimensions (height and volume) of gold features obtained by nanostencil evaporation as measured

  17. Nanoscale fabrication and characterization of chemically modified silicon surfaces using conductive atomic force microscopy in liquids

    Science.gov (United States)

    Kinser, Christopher Reagan

    This dissertation examines the modification and characterization of hydrogen-terminated silicon surfaces in organic liquids. Conductive atomic force microscope (cAFM) lithography is used to fabricate structures with sub-100 nm line width on H:Si(111) in n-alkanes, 1-alkenes, and 1-alkanes. Nanopatterning is accomplished by applying a positive (n-alkanes and 1-alkenes) or a negative (1-alkanes) voltage pulse to the silicon substrate with the cAFM tip connected to ground. The chemical and kinetic behavior of the patterned features is characterized using AFM, lateral force microscopy, time-of-flight secondary ion mass spectroscopy (TOF SIMS), and chemical etching. Features patterned in hexadecane, 1-octadecene, and undecylenic acid methyl ester exhibited chemical and kinetic behavior consistent with AFM field induced oxidation. The oxide features are formed due to capillary condensation of a water meniscus at the AFM tip-sample junction. A space-charge limited growth model is proposed to explain the observed growth kinetics. Surface modifications produced in the presence of neat 1-dodecyne and 1-octadecyne exhibited a reduced lateral force compared to the background H:Si(111) substrate and were resistant to a hydrofluoric acid etch, characteristics which indicate that the patterned features are not due to field induced oxidation and which are consistent with the presence of the methyl-terminated 1-alkyne bound directly to the silicon surface through silicon-carbon bonds. In addition to the cAFM patterned surfaces, full monolayers of undecylenic acid methyl ester (SAM-1) and undec-10-enoic acid 2-bromoethyl ester (SAM-2) were grown on H:Si(111) substrates using ultraviolet light. The structure and chemistry of the monolayers were characterized using AFM, TOF SIMS, X-ray photoelectron spectroscopy (XPS), X-ray reflectivity (XRR), X-ray standing waves (XSW), and X-ray fluorescence (XRF). These combined analyses provide evidence that SAM-1 and SAM-2 form dense monolayers

  18. Molecular junctions based on SAMs of cruciform oligo(phenylene ethynylene)s

    DEFF Research Database (Denmark)

    Wei, Zhongming; Li, Tao; Jennum, Karsten Stein

    2012-01-01

    Cruciform oligo(phenylene ethynylene)s (OPEs) with an extended tetrathiafulvalene (TTF) donor moiety (OPE5-TTF and OPE3-TTF) and their simple analogues (OPE5-S and OPE3) without conjugated substituents were used to form high quality self-assembled monolayers (SAMs) on ultra-flat gold substrates...

  19. Effects of Self-Assembled Monolayers on Solid-State CdS Quantum Dot Sensitized Solar Cells

    KAUST Repository

    Ardalan, Pendar; Brennan, Thomas P.; Lee, Han-Bo-Ram; Bakke, Jonathan R.; Ding, I-Kang; McGehee, Michael D.; Bent, Stacey F.

    2011-01-01

    Quantum dot sensitized solar cells (QDSSCs) are of interest for solar energy conversion because of their tunable band gap and promise of stable, low-cost performance. We have investigated the effects of self-assembled monolayers (SAMs) with phosphonic acid headgroups on the bonding and performance of cadmium sulfide (CdS) solid-state QDSSCs. CdS quantum dots ∼2 to ∼6 nm in diameter were grown on SAM-passivated planar or nanostructured TiO 2 surfaces by successive ionic layer adsorption and reaction (SILAR), and photovoltaic devices were fabricated with spiro-OMeTAD as the solid-state hole conductor. X-ray photoelectron spectroscopy, Auger electron spectroscopy, ultraviolet-visible spectroscopy, scanning electron microscopy, transmission electron microscopy, water contact angle measurements, ellipsometry, and electrical measurements were employed to characterize the materials and the resulting device performance. The data indicate that the nature of the SAM tailgroup does not significantly affect the uptake of CdS quantum dots on TiO2 nor their optical properties, but the presence of the SAM does have a significant effect on the photovoltaic device performance. Interestingly, we observe up to ∼3 times higher power conversion efficiencies in devices with a SAM compared to those without the SAM. © 2011 American Chemical Society.

  20. Effects of Self-Assembled Monolayers on Solid-State CdS Quantum Dot Sensitized Solar Cells

    KAUST Repository

    Ardalan, Pendar

    2011-02-22

    Quantum dot sensitized solar cells (QDSSCs) are of interest for solar energy conversion because of their tunable band gap and promise of stable, low-cost performance. We have investigated the effects of self-assembled monolayers (SAMs) with phosphonic acid headgroups on the bonding and performance of cadmium sulfide (CdS) solid-state QDSSCs. CdS quantum dots ∼2 to ∼6 nm in diameter were grown on SAM-passivated planar or nanostructured TiO 2 surfaces by successive ionic layer adsorption and reaction (SILAR), and photovoltaic devices were fabricated with spiro-OMeTAD as the solid-state hole conductor. X-ray photoelectron spectroscopy, Auger electron spectroscopy, ultraviolet-visible spectroscopy, scanning electron microscopy, transmission electron microscopy, water contact angle measurements, ellipsometry, and electrical measurements were employed to characterize the materials and the resulting device performance. The data indicate that the nature of the SAM tailgroup does not significantly affect the uptake of CdS quantum dots on TiO2 nor their optical properties, but the presence of the SAM does have a significant effect on the photovoltaic device performance. Interestingly, we observe up to ∼3 times higher power conversion efficiencies in devices with a SAM compared to those without the SAM. © 2011 American Chemical Society.

  1. Orientational analysis of dodecanethiol and p-nitrothiophenol SAMs on metals with polarisation-dependent SFG spectroscopy.

    Science.gov (United States)

    Cecchet, Francesca; Lis, Dan; Guthmuller, Julien; Champagne, Benoît; Caudano, Yves; Silien, Christophe; Mani, Alaa Addin; Thiry, Paul A; Peremans, André

    2010-02-22

    Polarisation-dependent sum frequency generation (SFG) spectroscopy is used to investigate the orientation of molecules on metallic surfaces. In particular, self-assembled monolayers (SAMs) of dodecanethiol (DDT) and of p-nitrothiophenol (p-NTP), grown on Pt and on Au, have been chosen as models to highlight the ability of combining ppp and ssp polarisations sets (representing the polarisation of the involved beams in the conventional order of SFG, Vis and IR beam) to infer orientational information at metallic interfaces. Indeed, using only the ppp set of data, as it is usually done for metallic surfaces, is not sufficient to determine the full molecular orientation. We show here that simply combining ppp and ssp polarisations enables both the tilt and rotation angles of methyl groups in DDT SAMs to be determined. Moreover, for p-NTP, while the SFG active vibrations detected with the ppp polarisation alone provide no orientational information, however, the combination with ssp spectra enables to retrieve the tilt angle of the p-NTP 1,4 axis. Though orientational information obtained by polarisation-dependent measurements has been extensively used at insulating interfaces, we report here their first application to metallic surfaces.

  2. Orientational Analysis of Dodecanethiol and P-Nitrothiophenol SAMs on Metals with Polarisation - dependent SFG spectroscopy

    International Nuclear Information System (INIS)

    Manea, A.

    2011-01-01

    Polarisation-dependent sum frequency generation (SFG) spectroscopy is used to investigate the orientation of molecules on metallic surfaces. In particular, self-assembled monolayers (SAMs) of dodecanethiol (DDT) and of p-nitro thiophenol (p-NTP), grown on Pt and on Au, have been chosen as models to highlight the ability of combining ppp and ssp polarizations sets (representing the polarisation of the involved beams in the conventional order of SFG, Vis and IR beam) to infer orientational information at metallic interfaces. Indeed, using only the ppp set of data, as it is usually done for metallic surfaces, is not sufficient to determine the full molecular orientation. We show here that simply combining ppp and ssp polarizations enables both the tilt and rotation angles of methyl groups in DDT SAMs to be determined. Moreover, for p-NTP, while the SFG active vibrations detected with the ppp polarisation alone provide no orientational information, however, the combination with ssp spectra enables to retrieve the tilt angle of the p-NTP 1,4 axis. Though orientational information obtained by polarisation-dependent measurements has been extensively used at insulating interfaces, we report here their first application to metallic surfaces. (author)

  3. DPPC Monolayers Exhibit an Additional Phase Transition at High Surface Pressure

    DEFF Research Database (Denmark)

    Shen, Chen; de la Serna, Jorge B.; Struth, Bernd

    2015-01-01

    Pulmonary surfactant forms a monolayer at the air/aqueous interface within the lung. During the breath process, the surface pressure (Π) periodically varies from ~40mN/m up to ~70mN/m. The film is mechanically stable during this rapid and reversible expansion. Pulmonary surfactant consists of ~90......% of lipid with 10% integrated proteins. Among its lipid compounds, di-palmitoyl-phosphatidylcholine (DPPC) dominates (~45wt%). DPPC is the only known lipid that can be compressed to very high surface pressure (~70mN/m) before its monolayer collapses. Most probably, this feature contributes to the mechanical...... stability of the alveoli monolayer. Still, to the best of our knowledge, some details of the compression isotherm presented here and the related structures of the DPPC monolayer were not studied so far. The liquid-expanded/liquid-condensed phase transition of the DPPC monolayer at ~10mN/m is well known...

  4. Effect of substrate composition on atomic layer deposition using self-assembled monolayers as blocking layers

    International Nuclear Information System (INIS)

    Zhang, Wenyu; Engstrom, James R.

    2016-01-01

    The authors have examined the effect of two molecules that form self-assembled monolayers (SAMs) on the subsequent growth of TaN x by atomic layer deposition (ALD) on two substrate surfaces, SiO 2 and Cu. The SAMs that the authors have investigated include two vapor phase deposited, fluorinated alkyl silanes: Cl 3 Si(CH 2 ) 2 (CF 2 ) 5 CF 3 (FOTS) and (C 2 H 5 O) 3 Si(CH 2 ) 2 (CF 2 ) 7 CF 3 (HDFTEOS). Both the SAMs themselves and the TaN x thin films, grown using Ta[N(CH 3 ) 2 ] 5 and NH 3 , were analyzed ex situ using contact angle, spectroscopic ellipsometry, x-ray photoelectron spectroscopy (XPS), and low energy ion-scattering spectroscopy (LEISS). First, the authors find that both SAMs on SiO 2 are nominally stable at T s  ∼ 300 °C, the substrate temperature used for ALD, while on Cu, the authors find that HDFTEOS thermally desorbs, while FOTS is retained on the surface. The latter result reflects the difference in the head groups of these two molecules. The authors find that both SAMs strongly attenuate the ALD growth of TaN x on SiO 2 , by about a factor of 10, while on Cu, the SAMs have no effect on ALD growth. Results from LEISS and XPS are decisive in determining the nature of the mechanism of growth of TaN x on all surfaces. Growth on SiO 2 is 2D and approximately layer-by-layer, while on the surfaces terminated by the SAMs, it nucleates at defect sites, is islanded, and is 3D. In the latter case, our results support growth of the TaN x thin film over the SAM, with a considerable delay in formation of a continuous thin film. Growth on Cu, with or without the SAMs, is also 3D and islanded, and there is also a delay in the formation of a continuous thin film as compared to growth on SiO 2 . These results highlight the power of coupling measurements from both LEISS and XPS in examinations of ultrathin films formed by ALD

  5. Controlling noncovalent interactions between a lysine-rich α-helical peptide and self-assembled monolayers of alkanethiols on Au through functional group diversity

    Energy Technology Data Exchange (ETDEWEB)

    Raigoza, Annette F.; Onyirioha, Kristeen; Webb, Lauren J., E-mail: lwebb@cm.utexas.edu

    2017-02-28

    Highlights: • Functional variety in SAMs control covalent binding of proteins to surfaces. • Peptide density on Au(111) surfaces controlled by SAM functional groups. • Affinity between biomolecule and SAM surface follows a Langmuir isotherm. • Surface chemistry can mimic functional group diversity in proteins and peptides. - Abstract: Reliably attaching a structured biomolecule to an inorganic substrate would enable the preparation of surfaces that incorporate both biological and inorganic functions and structures. To this end, we have previously developed a procedure using the copper(I)-catalyzed click reaction to tether synthetic α-helical peptides carrying two alkyne groups to well-ordered alkanethiol self-assembled monolayers (SAM) on a Au(111) surface, in which the SAM is composed of a mixture of methyl and azide termination. Proteins, however, are composed of many diverse functional groups, and this composition directly effects protein structure, interactions, and reactivity. Here, we explore the utility of mixed SAMs with alternative terminating functional groups to tune and direct the reactivity of the surface through noncovalent peptide-surface interactions. We study both polar surfaces (OH-terminated) and charged surfaces (COOH- and NH{sub 3}-terminated, which are negatively and positively charged, respectively, under our reaction conditions). Surfaces were functionalized with a bipolar peptide composed of Lys and Leu residues that could express different interactions through either hydrophilic and/or charge (Lys) or hydrophobic (Leu) influences. X-ray photoelectron spectroscopy (XPS) and surface infrared spectroscopy were used to characterize surfaces at all stages of the peptide functionalization procedure. This strategy resulted in a high density of surface-bound α-helices without aggregation. Mixed SAMs that included a positively charged alkanethiol along with the azide-terminated thiol resulted in a more efficient reaction and better

  6. Controlling noncovalent interactions between a lysine-rich α-helical peptide and self-assembled monolayers of alkanethiols on Au through functional group diversity

    International Nuclear Information System (INIS)

    Raigoza, Annette F.; Onyirioha, Kristeen; Webb, Lauren J.

    2017-01-01

    Highlights: • Functional variety in SAMs control covalent binding of proteins to surfaces. • Peptide density on Au(111) surfaces controlled by SAM functional groups. • Affinity between biomolecule and SAM surface follows a Langmuir isotherm. • Surface chemistry can mimic functional group diversity in proteins and peptides. - Abstract: Reliably attaching a structured biomolecule to an inorganic substrate would enable the preparation of surfaces that incorporate both biological and inorganic functions and structures. To this end, we have previously developed a procedure using the copper(I)-catalyzed click reaction to tether synthetic α-helical peptides carrying two alkyne groups to well-ordered alkanethiol self-assembled monolayers (SAM) on a Au(111) surface, in which the SAM is composed of a mixture of methyl and azide termination. Proteins, however, are composed of many diverse functional groups, and this composition directly effects protein structure, interactions, and reactivity. Here, we explore the utility of mixed SAMs with alternative terminating functional groups to tune and direct the reactivity of the surface through noncovalent peptide-surface interactions. We study both polar surfaces (OH-terminated) and charged surfaces (COOH- and NH_3-terminated, which are negatively and positively charged, respectively, under our reaction conditions). Surfaces were functionalized with a bipolar peptide composed of Lys and Leu residues that could express different interactions through either hydrophilic and/or charge (Lys) or hydrophobic (Leu) influences. X-ray photoelectron spectroscopy (XPS) and surface infrared spectroscopy were used to characterize surfaces at all stages of the peptide functionalization procedure. This strategy resulted in a high density of surface-bound α-helices without aggregation. Mixed SAMs that included a positively charged alkanethiol along with the azide-terminated thiol resulted in a more efficient reaction and better alignment

  7. Dynamic monolayer gradients: Active spatiotemporal control of alkanethiol coatings on thin gold films

    Energy Technology Data Exchange (ETDEWEB)

    Terrill, R.H.; Balss, K.M.; Zhang, Y.; Bohn, P.W.

    2000-02-09

    The chemical modification of coinage metal surfaces with {omega}-functionalized alkanethiols has proven to be a popular system for studies of wetting, adhesion, chemical affinity, and electron transfer. Varying the composition of binary hydrophilic-hydrophobic self-assembled monolayers (SAMs) can vary the wetting properties of a surface in a continuous manner, a fact that has been exploited to distribute surface-active molecules inhomogeneously under mass-transport control. One goal of these experiments is to create surfaces which permit supermolecular objects to be manipulated under external control, as recently demonstrated at air-liquid interfaces. The authors report chemical potential distributions of alkanethiols, which can be manipulated in both space and time under active electrochemical control.

  8. Electrochemistry of the Self-Assembled Monolayers of Dyads Consisting of Tripod-Shaped Trithiol and Bithiophene on Gold

    Directory of Open Access Journals (Sweden)

    Toshikazu Kitagawa

    2014-09-01

    Full Text Available Self-assembled monolayers (SAMs of tripod-shaped trithiols, consisting of an adamantane core with three CH2SH legs and a bithiophene group, were prepared on a Au(111 surface. Adsorption in a tripod-like fashion was supported by polarization modulation-infrared reflection absorption spectroscopy (PM-IRRAS of the SAMs, which indicated the absence of free SH groups. Cyclic voltammetry showed an irreversible cathodic wave due to reductive desorption. The SAM also showed an anodic wave due to the single-electron oxidation of the bithiophene moiety without concomitant desorption of the molecules. Although oxidation was irreversible in the absence of a protecting group, it became reversible with the introduction of a terminal phenyl group. The charge of the oxidation was one-third that of the reductive desorption, confirming a three-point adsorption. The surface coverage was ca. 50% of that expected for the anti bithiophene conformation, which suggested that an increase in the surface area per molecule had been caused by the presence of an energetically high-lying syn conformer. In accordance with this, the line shape of the oxidation wave suggested an electrostatic repulsive interaction between neighboring molecules.

  9. Monolayer-directed Assembly and Magnetic Properties of FePt Nanoparticles on Patterned Aluminum Oxide

    Directory of Open Access Journals (Sweden)

    Guus Rijnders

    2010-03-01

    Full Text Available FePt nanoparticles (NPs were assembled on aluminum oxide substrates, and their ferromagnetic properties were studied before and after thermal annealing. For the first time, phosph(onates were used as an adsorbate to form self-assembled monolayers (SAMs on alumina to direct the assembly of NPs onto the surface. The Al2O3 substrates were functionalized with aminobutylphosphonic acid (ABP or phosphonoundecanoic acid (PNDA SAMs or with poly(ethyleneimine (PEI as a reference. FePt NPs assembled on all of these monolayers, but much less on unmodified Al2O3, which shows that ligand exchange at the NPs is the most likely mechanism of attachment. Proper modification of the Al2O3 surface and controlling the immersion time of the modified Al2O3 substrates into the FePt NP solution resulted in FePt NPs assembly with controlled NP density. Alumina substrates were patterned by microcontact printing using aminobutylphosphonic acid as the ink, allowing local NP assembly. Thermal annealing under reducing conditions (96%N2/4%H2 led to a phase change of the FePt NPs from the disordered FCC phase to the ordered FCT phase. This resulted in ferromagnetic behavior at room temperature. Such a process can potentially be applied in the fabrication of spintronic devices.

  10. Synthesis of high quality single-walled carbon nanotubes via a catalytic layer reinforced by self-assembled monolayers

    International Nuclear Information System (INIS)

    Adhikari, Prashanta Dhoj; Song, Wooseok; Cha, Myoung-Jun; Park, Chong-Yun

    2013-01-01

    This work reports the synthesis of high quality single-walled carbon nanotubes (SWCNT) using a catalytic layer reinforced by self-assembled monolayers (SAM). Amine-SAM was introduced on a SiO 2 /Si substrate and then an iron nanoparticles solution was dropped on the substrate by spin-coating. This catalytic template was used to grow carbon nanotubes by chemical vapor deposition and the synthesized SWCNT were observed to be prominent, based on the size distribution. Highly dense SWCNT with a diameter of about 1.1-1.2 nm were produced at 800-850 °C. Moreover, the diameter distribution of the SWCNT was more selective at a growth temperature of 900 °C. These findings provide important insights for a SAM support layer that can play the role as a restriction for the agglomeration of iron catalyst and is promising for the synthesis of high quality SWCNT. - Highlights: • Fe nanoparticles on self-assembled monolayers (SAM) containing template is underlined. • Its catalytic behavior to synthesis single-walled carbon nanotubes is studied. • The role of SAM on catalytic template is explored

  11. Efecto de la polietilenimina en la actividad catalítica de la peroxidasa de rábano (horseradish peroxidase inmovilizada en electrodos de oro modificados con monocapas autoensambladas de tioles (SAMs.

    Directory of Open Access Journals (Sweden)

    Pedro R. Matheus

    2009-05-01

    Full Text Available Effect of the Polyethyleneimine in the Activity Catalytic of the horseradish peroxidase Immobilized on Gold Electrodes Modified with a Self-assembled Monolayer of Thiols (SAMs. Studies were conducted bycyclic voltammetry (CV to investigate the effect of the polymer polyethyleneimine (PEI in the electrochemical reversibility of the mediator thionine and thus the catalytic activity of the enzyme horseradish peroxidase of recombinant HRP-NHis (horseradish peroxidase to the has been added to a chain of six histidine in the extreme N-terminal protein. This self produced monolayers of thiols (SAMS on gold electrodes, with chemical modifications obtained through successive stages in the solid phase of the electrode. The gold electrodes were modified with monolayer SAM-TOA-[ANTA/DADOO] -Co2+ [SAM: self-assembled monolayers of thiols, TOA: dithioctic acid, ANTA: nitrilotriacetic acid, DADOO: 1,8-diamino-3,6-dioxa octane]. The results showed that the presence of the polymer improves the electrochemical reversibility of the mediator to endure catalyticcurrents as high as those that are obtained with molar ratios ANTA:DADOO 10:1 in the absence of PEI, and improve the response voltammetric obtained.

  12. Calculated electronic structure of chromium surfaces and chromium monolayers on iron

    International Nuclear Information System (INIS)

    Victora, R.H.; Falicov, L.M.

    1985-01-01

    A self-consistent calculation of the magnetic and electronic properties of the chromium (100) and (110) surfaces and of a chromium monolayer on the (100) and (110) iron surfaces is presented. It is found that (i) the (100) chromium surface is ferromagnetic with a greatly enhanced spin polarization (3.00 electrons); (ii) a substantial enhancement of the spin imbalance exists several (>5) layers into the bulk; (iii) the (110) chromium surface is antiferromagnetic with a large (2.31) spin imbalance; (iv) the (100) chromium monolayer on ferromagnetic iron is ferromagnetic, with a huge spin imbalance (3.63), and aligned antiferromagnetically with respect to the bulk iron; (v) the (110) chromium monolayer on ferromagnetic iron is also ferromagnetic, with a spin imbalance of 2.25 and antiferromagnetically aligned to the iron. The spin imbalance of chromium on iron (100) is possibly the largest of any transition-metal system

  13. Electroless Ni-Mo-P diffusion barriers with Pd-activated self-assembled monolayer on SiO2

    International Nuclear Information System (INIS)

    Liu Dianlong; Yang Zhigang; Zhang Chi

    2010-01-01

    Ternary Ni-based amorphous films can serve as a diffusion barrier layer for Cu interconnects in ultralarge-scale integration (ULSI) applications. In this paper, electroless Ni-Mo-P films deposited on SiO 2 layer without sputtered seed layer were prepared by using Pd-activated self-assembled monolayer (SAM). The solutions and operating conditions for pretreatment and deposition were presented, and the formation of Pd-activated SAM was demonstrated by XPS (X-ray photoelectron spectroscopy) analysis and BSE (back-scattered electron) observation. The effects of the concentration of Na 2 MoO 4 added in electrolytes, pH value, and bath temperature on the surface morphology and compositions of Ni-Mo-P films were investigated. The microstructures, diffusion barrier property, electrical resistivity, and adhesion were also examined. Based on the experimental results, the Ni-Mo-P alloys produced by using Pd-activated SAM had an amorphous or amorphous-like structure, and possessed good performance as diffusion barrier layer.

  14. Structural and electronic properties of single molecules and organic layers on surfaces

    NARCIS (Netherlands)

    Sotthewes, Kai

    2016-01-01

    Single molecules and organic layers on well-defined solid surfaces have attracted tremendous attention owing to their interesting physical and chemical properties. The ultimate utility of single molecules or self-assembled monolayers (SAMs) for potential applications is critically dependent on the

  15. Chip-Scale Bioassays Based on Surface-Enhanced Raman Scattering: Fundamentals and Applications

    Energy Technology Data Exchange (ETDEWEB)

    Park, Hye-Young [Iowa State Univ., Ames, IA (United States)

    2005-01-01

    This work explores the development and application of chip-scale bioassays based on surface-enhanced Raman scattering (SERS) for high throughput and high sensitivity analysis of biomolecules. The size effect of gold nanoparticles on the intensity of SERS is first presented. A sandwich immunoassay was performed using Raman-labeled immunogold nanoparticles with various sizes. The SERS responses were correlated to particle densities, which were obtained by atomic force microscopy (AFM). The response of individual particles was also investigated using Raman-microscope and an array of gold islands on a silicon substrate. The location and the size of individual particles were mapped using AFM. The next study describes a low-level detection of Escherichia coli 0157:H7 and simulants of biological warfare agents in a sandwich immunoassay format using SERS labels, which have been termed Extrinsic Raman labels (ERLs). A new ERL scheme based on a mixed monolayer is also introduced. The mixed monolayer ERLs were created by covering the gold nanoparticles with a mixture of two thiolates, one thiolate for covalently binding antibody to the particle and the other thiolate for producing a strong Raman signal. An assay platform based on mixed self-assembled monolayers (SAMs) on gold is then presented. The mixed SAMs were prepared from dithiobis(succinimidyl undecanoate) (DSU) to covalently bind antibodies on gold substrate and oligo(ethylene glycol)-terminated thiol to prevent nonspecific adsorption of antibodies. After the mixed SAMs surfaces, formed from various mole fraction of DSU were incubated with antibodies, AFM was used to image individual antibodies on the surface. The final study presents a collaborative work on the single molecule adsorption of YOYO-I labeled {lambda}-DNA at compositionally patterned SAMs using total internal reflection fluorescence microscopy. The role of solution pH, {lambda}-DNA concentration, and domain size was investigated. This work also revealed

  16. Photoelectron spectroscopy of self-assembled monolayers of molecular switches on noble metal surfaces; Photoelektronenspektroskopie selbstorganisierter Adsorbatschichten aus molekularen Schaltern auf Edelmetalloberflaechen

    Energy Technology Data Exchange (ETDEWEB)

    Heinemann, Nils

    2012-09-12

    Self-assembled monolayers (SAMs) of butanethiolate (C4) on single crystalline Au(111) surfaces were prepared by adsorption from solution. The thermally activated desorption behaviour of the C4 molecules from the gold substrate was examined by qualitative thermal desorption measurements (TDM), through this a desorption temperature T{sub Des}=473 K could be determined. With this knowledge, it was possible to produce samples of very good surface quality, by thermal treatment T{sub Sample}SAMs of an other alkanethiol on Au(111) in a densely packed phase. Furthermore the 2PPE data indicated that the high quality of the ex situ prepared SAMs supported the formation of image potential states. The reversible photo- and thermally activated isomerization of the molecular switch 3-(4-(4-Hexyl-phenylazo)-phenoxy)-propane-1-thiol (ABT), deposited by self-assembly from solution on Au(111), was examined using laser-based photoelectron spectroscopy. Differences in the molecular dipole moment characteristic for the trans and the cis isomer of ABT were observed via changes in the sample work function, accessible by detection of the threshold energy for photoemission. A quantitative

  17. Fabrication of an a-IGZO thin film transistor using selective deposition of cobalt by the self-assembly monolayer (SAM) process.

    Science.gov (United States)

    Cho, Young-Je; Kim, HyunHo; Park, Kyoung-Yun; Lee, Jaegab; Bobade, Santosh M; Wu, Fu-Chung; Choi, Duck-Kyun

    2011-01-01

    Interest in transparent oxide thin film transistors utilizing ZnO material has been on the rise for many years. Recently, however, IGZO has begun to draw more attention due to its higher stability and superior electric field mobility when compared to ZnO. In this work, we address an improved method for patterning an a-IGZO film using the SAM process, which employs a cost-efficient micro-contact printing method instead of the conventional lithography process. After a-IGZO film deposition on the surface of a SiO2-layered Si wafer, the wafer was illuminated with UV light; sources and drains were then patterned using n-octadecyltrichlorosilane (OTS) molecules by a printing method. Due to the low surface energy of OTS, cobalt was selectively deposited on the OTS-free a-IGZO surface. The selective deposition of cobalt electrodes was successful, as confirmed by an optical microscope. The a-IZGO TFT fabricated using the SAM process exhibited good transistor performance: electric field mobility (micro(FE)), threshold voltage (V(th)), subthreshold slope (SS) and on/off ratio were 2.1 cm2/Vs, 2.4 V, 0.35 V/dec and 2.9 x 10(6), respectively.

  18. Optimization of pentacene double floating gate memories based on charge injection regulated by SAM functionalization

    Directory of Open Access Journals (Sweden)

    S. Li

    2018-02-01

    Full Text Available Pentacene based double nano-floating gate memories (NFGM by using gold nanoparticles (Au NPs and reduced graphene oxide (rGO sheets as charge trapping layers are prepared and demonstrated. Particularly, the NFGM chemically treated by 2,3,4,5,6-pentafluorobenzenethiol (PFBT self-assembled monolayers (SAM exhibits excellent memory performances, including high mobility of 0.23 cm2V-1s-1, the large memory window of 51 V, and the stable retention property more than 108 s. Comparing the performances of NFGM without treating with PFBT SAM, the improving performances of the memory devices by SAM modification are explained by the increase of charge injection, which could be further investigated by XPS and UPS. In particular, the results highlight the utility of SAM modulations and controlling of charge transport in the development of organic transistor memories.

  19. Optimization of pentacene double floating gate memories based on charge injection regulated by SAM functionalization

    Science.gov (United States)

    Li, S.; Guérin, D.; Lenfant, S.; Lmimouni, K.

    2018-02-01

    Pentacene based double nano-floating gate memories (NFGM) by using gold nanoparticles (Au NPs) and reduced graphene oxide (rGO) sheets as charge trapping layers are prepared and demonstrated. Particularly, the NFGM chemically treated by 2,3,4,5,6-pentafluorobenzenethiol (PFBT) self-assembled monolayers (SAM) exhibits excellent memory performances, including high mobility of 0.23 cm2V-1s-1, the large memory window of 51 V, and the stable retention property more than 108 s. Comparing the performances of NFGM without treating with PFBT SAM, the improving performances of the memory devices by SAM modification are explained by the increase of charge injection, which could be further investigated by XPS and UPS. In particular, the results highlight the utility of SAM modulations and controlling of charge transport in the development of organic transistor memories.

  20. Prevention of passive film breakdown on iron in a borate buffer solution containing chloride ion by coverage with a self-assembled monolayer of hexadecanoate ion

    International Nuclear Information System (INIS)

    Aramaki, Kunitsugu; Shimura, Tadashi

    2003-01-01

    Breakdown of a passive film on iron in a borate buffer solution (pH 8.49) containing 0.1 M of Cl - was suppressed by coverage of the passive film surface with a self-assembled monolayer (SAM) of hexadecanoate ion C 15 H 31 CO 2 - (C 16 A - ). The pitting potential of an iron electrode previously passivated in the borate buffer at 0.50 V/SCE increased by treatment in an aqueous solution of sodium hexadecanoate for many hours, indicating protection of the passive film from breakdown caused by an attack on defects of the film with Cl - . No breakdown occurred over the potential range of the passive region by coverage with the SAM of C 16 A - in some cases. Structures of the passive film and the monolayer were characterized by X-ray photoelectron and Fourier transform infrared reflection spectroscopies and contact angle measurement with a drop of water

  1. Rhodium deposition onto a 4-mercaptopyridine SAM on Au(1 1 1)

    Energy Technology Data Exchange (ETDEWEB)

    Manolova, M. [Institute of Electrochemistry, University of Ulm, 89069 Ulm (Germany); Kayser, M. [Institute of Electrochemistry, University of Ulm, 89069 Ulm (Germany); Kolb, D.M. [Institute of Electrochemistry, University of Ulm, 89069 Ulm (Germany)]. E-mail: dieter.kolb@uni-ulm.de; Boyen, H.-G. [Institute of Solid State Physics, University of Ulm, 89069 Ulm (Germany); Ziemann, P. [Institute of Solid State Physics, University of Ulm, 89069 Ulm (Germany); Mayer, D. [BASF Electronic Materials GmbH, 67056 Ludwigshafen (Germany); Wirth, A. [BASF Electronic Materials GmbH, 67056 Ludwigshafen (Germany)

    2007-02-10

    The application of a recently developed method for the deposition of Pd and Pt on top of a SAM, has been successfully extended to Rh, thus proving the versatility of the new concept. Experimental evidence from cyclic voltammetry, in situ STM and ex situ X-ray photoemission spectroscopy is presented for the deposition of monoatomic high rhodium islands onto a 4-mercaptopyridine self-assembled monolayer on a Au(1 1 1) electrode. By repetitive complexation of the Rh ions to the ring-nitrogen and reduction in a Rh-ion free solution, an almost completely covered SAM is obtained. The consequences of making contacts for molecular electronics are briefly discussed.

  2. Mechanical and charge transport properties of alkanethiol self-assembled monolayers on Au (111) surface: The Role of Molecular Tilt

    Energy Technology Data Exchange (ETDEWEB)

    Mulleregan, Alice; Qi, Yabing; Ratera, Imma; Park, Jeong Y.; Ashby, Paul D.; Quek, Su Ying; Neaton, J. B.; Salmeron, Miquel

    2007-11-12

    The relationship between charge transport and mechanical properties of alkanethiol self-assembled monolayers (SAM) on Au(111) films has been investigated using an atomic force microscope with a conductive tip. Molecular tilts induced by the pressure applied by the tip cause stepwise increases in film conductivity. A decay constant {beta} = 0.57 {+-} 0.03 {angstrom}{sup -1} was found for the current passing through the film as a function of tip-substrate separation due to this molecular tilt. This is significantly smaller than the value of {approx} 1 {angstrom}{sup -1} found when the separation is changed by changing the length of the alkanethiol molecules. Calculations indicate that for isolated dithiol molecules S-bonded to hollow sites, the junction conductance does not vary significantly as a function of molecular tilt. The impact of S-Au bonding on SAM conductance is discussed.

  3. ELECTROCATALYSIS ON SURFACES MODIFIED BY METAL MONOLAYERS DEPOSITED AT UNDERPOTENTIALS.

    Energy Technology Data Exchange (ETDEWEB)

    ADZIC,R.

    2000-12-01

    The remarkable catalytic properties of electrode surfaces modified by monolayer amounts of metal adatoms obtained by underpotential deposition (UPD) have been the subject of a large number of studies during the last couple of decades. This interest stems from the possibility of implementing strictly surface modifications of electrocatalysts in an elegant, well-controlled way, and these bi-metallic surfaces can serve as models for the design of new catalysts. In addition, some of these systems may have potential for practical applications. The UPD of metals, which in general involves the deposition of up to a monolayer of metal on a foreign substrate at potentials positive to the reversible thermodynamic potential, facilitates this type of surface modification, which can be performed repeatedly by potential control. Recent studies of these surfaces and their catalytic properties by new in situ surface structure sensitive techniques have greatly improved the understanding of these systems.

  4. Electrical passivation of the silicon surface by organic monolayers of 1-octadecene

    International Nuclear Information System (INIS)

    Antonova, I. V.; Soots, R. A.; Seleznev, V. A.; Prints, V. Ya.

    2007-01-01

    The electrical properties of structures consisting of a monolayer of 1-octadecene deposited on the Si surface are investigated depending on the method of passivation of the surface prior to the deposition of the film (hydrogen and ion passivation) and the intensity of illumination which activates the addition reaction of molecules of 1-octadecene to the Si atoms. The monolayer of 1-octadecene on the Si surface is stable and provides the chemical passivation of the surface. Two types of traps are found, namely, traps for holes and electrons, whose density can be varied during deposition of the monolayer by the choice of intensity of illumination and by the method of passivation of the surface. In the case of a low level of illumination and/or the use of the iodine passivation of the surface, the electron traps prevail, and, in the case of high intensity of illumination and/or hydrogen passivation of the surface, the hole traps prevail. It is shown that the use of these films provides conductivity in thin near-surface layers of Si due to providing the mode of flat bands or accumulation of carriers near the surface

  5. Influence of molecular packing on the corrosion inhibition properties of self-assembled octadecyltrichlorosilane monolayers on silicon

    International Nuclear Information System (INIS)

    Hsieh, Shuchen; Chao, Wei-Jay; Lin, Pei-Ying; Hsieh, Chiung-Wen

    2014-01-01

    Highlights: •Molecular packing plays an important role in determining SAM film properties. •Loose-packed OTS monolayers on silicon were corroded by exposure to KMnO 4 . •Dense-packed OTS SAM films exhibited excellent corrosion protection efficacy. -- Abstract: The corrosion inhibition properties of octadecyltrichlorosilane (OTS) self-assembled monolayers (SAMs) on silicon were investigated. Atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), contact angle (CA), and lateral force microscopy (LFM) were used to determine the OTS film formation time, packing density, and corrosion protection efficacy. The OTS films reached adsorption saturation after 15 s; however, the molecular density continued to increase up to 24 h. The films were exposed to the strong oxidant KMnO 4 , and while 15-s film samples exhibited corrosion after a 1 min exposure, samples with films grown for 24 h were protected even after 24 h

  6. Monolayered Bi2WO6 nanosheets mimicking heterojunction interface with open surfaces for photocatalysis

    Science.gov (United States)

    Zhou, Yangen; Zhang, Yongfan; Lin, Mousheng; Long, Jinlin; Zhang, Zizhong; Lin, Huaxiang; Wu, Jeffrey C.-S.; Wang, Xuxu

    2015-09-01

    Two-dimensional-layered heterojunctions have attracted extensive interest recently due to their exciting behaviours in electronic/optoelectronic devices as well as solar energy conversion systems. However, layered heterojunction materials, especially those made by stacking different monolayers together by strong chemical bonds rather than by weak van der Waal interactions, are still challenging to fabricate. Here the monolayer Bi2WO6 with a sandwich substructure of [BiO]+-[WO4]2--[BiO]+ is reported. This material may be characterized as a layered heterojunction with different monolayer oxides held together by chemical bonds. Coordinatively unsaturated Bi atoms are present as active sites on the surface. On irradiation, holes are generated directly on the active surface layer and electrons in the middle layer, which leads to the outstanding performances of the monolayer material in solar energy conversion. Our work provides a general bottom-up route for designing and preparing novel monolayer materials with ultrafast charge separation and active surface.

  7. Chemical and physical passivation of type II strained-layer superlattice devices by means of thiolated self-assembled monolayers and polymer encapsulates

    Science.gov (United States)

    Henry, Nathan C.; Knorr, Daniel B.; Williams, Kristen S.; Baril, Neil; Nallon, Eric; Lenhart, Joseph L.; Andzelm, Jan W.; Pellegrino, Joseph; Tidrow, Meimei; Cleveland, Erin; Bandara, Sumith

    2015-05-01

    The efficacy of solution deposition of thiolated self-assembled monolayers (SAMs) has been explored for the purpose of passivating III-V type II superlattice (T2SL) photodetectors, more specifically a p-type heterojunction device. Sulfur passivation has previously been achieved on T2SL devices. However, degradation over time, temperature sensitivity and inconsistent reproducibility necessitate a physical encapsulate that can chemically bond to the chemical passivant. Thus, this research investigates two passivation methods, surface passivation with a thiol monolayer and passivation with a polymer encapsulant with a view toward future combination of these techniques. Analysis of the physical and chemical condition of the surface prior to deposition assisted in the development of ideal processes for optimized film quality. Successful deposition was facilitated by in situ oxide removal. Various commercially available functional (cysteamine) and non-functional (alkane) thiolated monolayers were investigated. Dark current was reduced by 3 orders of magnitude and achieved negligible surface leakage at low bias levels. The lowest dark current result, 7.69 × 10-6 A/cm2 at 50 mV, was achieved through passivation with cysteamine.

  8. IMPACT OF POLYCYCLIC AROMATIC HYDROCARBONS OF THE ELECTROCHEMICAL RESPONSES OF A FERRICYNIDE PROBE AT TEMPLATE-MODIFIED SELF ASSEMBLED MONOLAYERS ON GOLD ELECTRODES

    Science.gov (United States)

    The impact of pyrene on the electrochemical response of the ferricyanide probe using Self Assembled Monolayer (SAM)-modified gold electrodes was investigated using Cyclic Voltammetry (CV) and Square Wave Voltammetry (SWV). These results suggest the feasibility of using SAMs, par...

  9. Unfolding of cytochrome c immobilized on self-assembled monolayers. An electrochemical study

    International Nuclear Information System (INIS)

    Monari, Stefano; Ranieri, Antonio; Bortolotti, Carlo Augusto; Peressini, Silvia; Tavagnacco, Claudio; Borsari, Marco

    2011-01-01

    Highlights: → Denaturation involves intermediate and partially unfolded forms. → An unfolded species displaying the haem with Fe coordinated by two His is observed. → Under unfolding conditions the nature of the SAM influences conformation of protein. → Concentration of the unfolding agent affects redox properties of immobilized protein. - Abstract: The electron transfer (ET) process of progressively unfolded bovine cytochrome c immobilized on different self-assembled monolayers (SAMs) was investigated. Insight is gained on the role of the SAM surface on the functionality of the partially unfolded and non-native forms of the adsorbed protein. Direct electrochemical measurements were performed on cytochrome c adsorbed on mercaptopyridine (MP) and mixed 11-mercapto-1-undecanoic acid/11-mercapto-1-undecanol (MUA/MU) at varying temperature, in the presence of urea as unfolding agent. Under strongly unfolding conditions, a non-native form of cytochrome c, in which the methionine ligand is replaced by a histidine, was observed on both MP and MUA/MU SAMs. The E o ' of the native form, in which the haem is axially coordinated by methionine and histidine, slightly shifts to negative values upon increasing urea concentration. However, the non-native bis-histidinate species shows a much lower E o ' value (by approximately 0.4 V) which is by far enthalpic in origin and largely determined by axial ligand swapping. Analysis of the reduction enthalpies and entropies and of the ET rate constants indicate that the nature of the SAM (hydrophilic or anionic) results in changes in the conformational rearrangement of the cytochrome c under unfolding conditions.

  10. Unfolding of cytochrome c immobilized on self-assembled monolayers. An electrochemical study

    Energy Technology Data Exchange (ETDEWEB)

    Monari, Stefano; Ranieri, Antonio; Bortolotti, Carlo Augusto; Peressini, Silvia [Department of Chemistry, University of Modena and Reggio Emilia, via Campi 183, 41125 Modena (Italy); Tavagnacco, Claudio [Department of Chemistry, University of Trieste, via Giorgieri 1, 34127 Trieste (Italy); Borsari, Marco, E-mail: marco.borsari@unimore.it [Department of Chemistry, University of Modena and Reggio Emilia, via Campi 183, 41125 Modena (Italy)

    2011-08-01

    Highlights: > Denaturation involves intermediate and partially unfolded forms. > An unfolded species displaying the haem with Fe coordinated by two His is observed. > Under unfolding conditions the nature of the SAM influences conformation of protein. > Concentration of the unfolding agent affects redox properties of immobilized protein. - Abstract: The electron transfer (ET) process of progressively unfolded bovine cytochrome c immobilized on different self-assembled monolayers (SAMs) was investigated. Insight is gained on the role of the SAM surface on the functionality of the partially unfolded and non-native forms of the adsorbed protein. Direct electrochemical measurements were performed on cytochrome c adsorbed on mercaptopyridine (MP) and mixed 11-mercapto-1-undecanoic acid/11-mercapto-1-undecanol (MUA/MU) at varying temperature, in the presence of urea as unfolding agent. Under strongly unfolding conditions, a non-native form of cytochrome c, in which the methionine ligand is replaced by a histidine, was observed on both MP and MUA/MU SAMs. The E{sup o}' of the native form, in which the haem is axially coordinated by methionine and histidine, slightly shifts to negative values upon increasing urea concentration. However, the non-native bis-histidinate species shows a much lower E{sup o}' value (by approximately 0.4 V) which is by far enthalpic in origin and largely determined by axial ligand swapping. Analysis of the reduction enthalpies and entropies and of the ET rate constants indicate that the nature of the SAM (hydrophilic or anionic) results in changes in the conformational rearrangement of the cytochrome c under unfolding conditions.

  11. Functionalisation of Ti6Al4V components fabricated using selective laser melting with a bioactive compound.

    Science.gov (United States)

    Vaithilingam, Jayasheelan; Kilsby, Samuel; Goodridge, Ruth D; Christie, Steven D R; Edmondson, Steve; Hague, Richard J M

    2015-01-01

    Surface modification of an implant with a biomolecule is used to improve its biocompatibility and to reduce post-implant complications. In this study, a novel approach has been used to functionalise phosphonic acid monolayers with a drug. Ti6Al4V components fabricated using selective laser melting (SLM) were functionalised with Paracetamol (a pharmaceutically relevant biomolecule) using phosphonic acid based self-assembled monolayers (SAMs). The attachment, stability of the monolayers on the SLM fabricated surface and functionalisation of SAMs with Paracetamol were studied using X-ray photoelectron spectroscopy (XPS) and surface wettability measurements. The obtained results confirmed that SAMs were stable on the Ti6Al4V surface for over four weeks and then began to desorb from the surface. The reaction used to functionalise the phosphonic acid monolayers with Paracetamol was noted to be successful. Thus, the proposed method has the potential to immobilise drugs/proteins to SAM coated surfaces and improve their biocompatibility and reduce post-implant complications. Copyright © 2014. Published by Elsevier B.V.

  12. Combined atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS) and quartz crystal microbalance (QCM) studies of glucose oxidase (GOx) immobilised onto self-assembled monolayer on the gold film

    International Nuclear Information System (INIS)

    Losic, D.; Shapter, J.; Gooding, J.; Erokin, P.; Short, K.

    1999-01-01

    In fabrication of biosensors, self-assembled monolayers (SAM) are an attractive method of immobilising enzymes at electrode surface since it allows precise control over the amount and spatial distribution of the immobilized enzyme. The covalent attachment of glucose oxidase (GOx) to a carboxylic terminated SAM chemisorbed onto gold films was achieved via carbodiimide activation of the carboxylic acids to a reactive intermediate susceptible to nucleophilic attack by amines on free lysine chains of the enzyme. Atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS) and quartz crystal microbalance (QCM) measurements were used for characterisation of GOx modified gold surfaces. Tapping mode AFM studies have revealed that GOx molecules form slightly disordered arrays of pentagonal or hexagonal clusters. Observed features of immobilised GOx are distributed as a submonolayer on the SAM surface which has allowed visualisation of native and unfolded enzyme structure. The presence of the SAM and enzyme on the gold surface was detected by XPS spectroscopy. Spectra show typical peaks for the C 1s, O 1s and N 1s regions. A kinetic study of the adsorption of GOx onto activated SAM using in-situ QCM allowed determination the amount of immobilised GOx on the layer and consequently the optimal immobilisation conditions. Performance parameters of the biosensor such as sensitivity to glucose concentration as a function of enzyme loading were evaluated amperometrically using the redox mediator p-benzoquinone

  13. Investigation of Streptococcus mutans biofilm growth on modified Au(111)-surfaces using AFM and electrochemistry

    DEFF Research Database (Denmark)

    Hu, Yifan; Zhang, Jingdong; Ulstrup, Jens

    2011-01-01

    Biofilms of the bacterium Streptococcus mutans constitute perhaps the most important direct cause of human dental caries formation. We have studied S. mutans biofilm formation and properties on Au(111)-surfaces modified by self-assembled molecular monolayers (SAMs) of different thiol-based molecu...

  14. Metallic Contact Formation for Molecular Electronics : Interactions between Vapor-Deposited Metals and Self-Assembled Monolayers of Conjugated Mono- and Dithiols

    NARCIS (Netherlands)

    Boer, Bert de; Frank, Martin M.; Chabal, Yves J.; Jiang, Weirong; Garfunkel, Eric; Bao, Zhenan

    2004-01-01

    We present grazing-incidence Fourier transform infrared and AFM data of Au, Al, and Ti vapor-deposited onto self-assembled monolayers (SAMs) of conjugated mono- and dithiols. SAMs of 4,4'''-dimercapto-p-quaterphenyl, 4,4''-dimercapto-p-terphenyl, and 4,4'-dimercapto-p-biphenyl have reactive thiols

  15. Fabrication and surface transformation of FePt nanoparticle monolayer

    International Nuclear Information System (INIS)

    Wang Ying; Ding Baojun; Li Hua; Zhang Xiaoyan; Cai Bingchu; Zhang Yafei

    2007-01-01

    The monolayer of FePt nanoparticles with the mean size of ∼4 nm was fabricated on a glass substrate by the Langmuir--Blodgett (LB) technology. The monolayer of FePt nanoparticles has a smooth surface and a high density structure as shown by the AFM image. The array structure of FePt nanoparticles on the surface of the film is clearly with a cubic symmetry in appropriate condition. Small-angle X-ray diffraction (SXRD) measurement of multilayer structure for the FePt nanoparticles has indicated that the superlattices consist of well-defined smooth layers. The transfer of nanoparticle layers onto a solid substrate surface was quite efficient for the first few layers, exhibiting a proportional increase of optical absorption in the UV-vis range. This results potentially opens up a new approach to the long-range ordered array of FePt nanoparticles capped by organic molecules on substrate and provide a promising thin film, which may exhibit the excellent ultra-high density magnetic recording properties

  16. Surface modification of GC and HOPG with diazonium, amine, azide, and olefin derivatives.

    Science.gov (United States)

    Tanaka, Mutsuo; Sawaguchi, Takahiro; Sato, Yukari; Yoshioka, Kyoko; Niwa, Osamu

    2011-01-04

    Surface modification of glassy carbon (GC) and highly oriented pyrolytic graphite (HOPG) was carried out with diazonium, amine, azide, and olefin derivatives bearing ferrocene as an electroactive moiety. Features of the modified surfaces were evaluated by surface concentrations of immobilized molecule, blocking effect of the modified surface against redox reaction, and surface observation using cyclic voltammetry and electrochemical scanning tunneling microscope (EC-STM). The measurement of surface concentrations of immobilized molecule revealed the following three aspects: (i) Diazonium and olefin derivatives could modify substrates with the dense-monolayer concentration. (ii) The surface concentration of immobilized amine derivative did not reach to the dense-monolayer concentration reflecting their low reactivity. (iii) The surface modification with the dense-monolayer concentration was also possible with azide derivative, but the modified surface contained some oligomers produced by the photoreaction of azides. Besides, the blocking effect against redox reaction was observed for GC modified with diazonium derivative and for HOPG modified with diazonium and azide derivatives, suggesting fabrication of a densely modified surface. Finally, the surface observation for HOPG modified with diazonium derivative by EC-STM showed a typical monolayer structure, in which the ferrocene moieties were packed densely at random. On the basis of those results, it was demonstrated that surface modification of carbon substrates with diazonium could afford a dense monolayer similar to the self-assembled monolayer (SAM) formation.

  17. Pd-catalyzed coupling reaction on the organic monolayer: Sonogashira reaction on the silicon (1 1 1) surfaces

    International Nuclear Information System (INIS)

    Qu Mengnan; Zhang Yuan; He Jinmei; Cao Xiaoping; Zhang Junyan

    2008-01-01

    Iodophenyl-terminated organic monolayers were prepared by thermally induced hydrosilylation on hydrogen-terminated silicon (1 1 1) surfaces. The films were characterized by ellipsometry, contact-angle goniometry, and X-ray photoelectron spectroscopy (XPS). To modify the surface chemistry and the structure of the monolayers, the Sonogashira coupling reaction was performed on the as-prepared monolayers. The iodophenyl groups on the film surfaces reacted with 1-ethynyl-4-fluorobenzene or the 1-chloro-4-ethynylbenzene under the standard Sonogashira reaction conditions for attaching conjugated molecules via the formation of C-C bonds. It is expected that this surface coupling reaction will present a new method to modify the surface chemistry and the structure of monolayers

  18. A Simple Small Size and Low Cost Sensor Based on Surface Plasmon Resonance for Selective Detection of Fe(III

    Directory of Open Access Journals (Sweden)

    Nunzio Cennamo

    2014-03-01

    Full Text Available A simple, small size, and low cost sensor based on a Deferoxamine Self Assembled Monolayer (DFO-SAM and Surface Plasmon Resonance (SPR transduction, in connection with a Plastic Optical Fiber (POF, has been developed for the selective detection of Fe(III. DFO-SAM sensors based on appropriate electrochemical techniques can be frequently found in the scientific literature. In this work, we present the first example of a DFO-SAM sensor based on SPR in an optical fiber. The SPR sensing platform was realized by removing the cladding of a plastic optical fiber along half the circumference, spin coating a buffer of Microposit S1813 photoresist on the exposed core, and finally sputtering a thin gold film. The hydroxamate siderophore deferoxamine (DFO, having high binding affinity for Fe(III, is then used in its immobilized form, as self-assembled monolayer on the gold layer surface of the POF sensor. The results showed that the DFO-SAM-POF-sensor was able to sense the formation of the Fe(III/DFO complex in the range of concentrations between 1 μm and 50 μm with a linearity range from 0 to 30 μm of Fe(III. The selectivity of the sensor was also proved by interference tests.

  19. A simple small size and low cost sensor based on surface plasmon resonance for selective detection of Fe(III).

    Science.gov (United States)

    Cennamo, Nunzio; Alberti, Giancarla; Pesavento, Maria; D'Agostino, Girolamo; Quattrini, Federico; Biesuz, Raffaela; Zeni, Luigi

    2014-03-07

    A simple, small size, and low cost sensor based on a Deferoxamine Self Assembled Monolayer (DFO-SAM) and Surface Plasmon Resonance (SPR) transduction, in connection with a Plastic Optical Fiber (POF), has been developed for the selective detection of Fe(III). DFO-SAM sensors based on appropriate electrochemical techniques can be frequently found in the scientific literature. In this work, we present the first example of a DFO-SAM sensor based on SPR in an optical fiber. The SPR sensing platform was realized by removing the cladding of a plastic optical fiber along half the circumference, spin coating a buffer of Microposit S1813 photoresist on the exposed core, and finally sputtering a thin gold film. The hydroxamate siderophore deferoxamine (DFO), having high binding affinity for Fe(III), is then used in its immobilized form, as self-assembled monolayer on the gold layer surface of the POF sensor. The results showed that the DFO-SAM-POF-sensor was able to sense the formation of the Fe(III)/DFO complex in the range of concentrations between 1 μm and 50 μm with a linearity range from 0 to 30 μm of Fe(III). The selectivity of the sensor was also proved by interference tests.

  20. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers

    Directory of Open Access Journals (Sweden)

    Cheng Huang

    2012-09-01

    Full Text Available A rapid and cost-effective lithographic method, polymer blend lithography (PBL, is reported to produce patterned self-assembled monolayers (SAM on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity, the molar mass of the polystyrene (PS and poly(methyl methacrylate (PMMA, and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix can be reproducibly induced. Either of the formed phases (PS or PMMA can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This “monolayer copy” of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS and (3-aminopropyltriethoxysilane (APTES, and at the same time featuring regions of bare SiOx. The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures.

  1. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers.

    Science.gov (United States)

    Huang, Cheng; Moosmann, Markus; Jin, Jiehong; Heiler, Tobias; Walheim, Stefan; Schimmel, Thomas

    2012-01-01

    A rapid and cost-effective lithographic method, polymer blend lithography (PBL), is reported to produce patterned self-assembled monolayers (SAM) on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity), the molar mass of the polystyrene (PS) and poly(methyl methacrylate) (PMMA), and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix) can be reproducibly induced. Either of the formed phases (PS or PMMA) can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This "monolayer copy" of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity) at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS) and (3-aminopropyl)triethoxysilane (APTES), and at the same time featuring regions of bare SiO(x). The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures [1].

  2. Self-assembling monolayers of helical oligopeptides with applications in molecular electronics

    International Nuclear Information System (INIS)

    Strong, A.E.

    1997-01-01

    The aim of this project was to develop a generic method of preparing a 'molecular architecture' containing functional groups on a surface at predetermined relative positions several nm apart. This would be of great utility in molecular electronics, chemical sensors and other fields. It was proposed that such an architecture could be prepared on gold using linked, helical oligopeptides that contained the components of interest and sulphur functions able to form monolayers on gold by the self-assembly technique. Towards this ultimate aim Self-Assembled Monolayers (SAMs) of monomeric oligopeptides (13-17 residues) were prepared and characterised. Peptides containing three Met residues spaced in the sequence so that their side-chains lay on the same side of the helix were shown by circular dichroism (CD) to be strongly helical in organic solvents. Their self-assembled films on gold were characterised by Reflection-Absorption Infrared Spectroscopy (RAIRS) which showed the peptides adsorbed with the helix axes parallel to the surface, the orientation expected for self-assembly. However the surface coverage measured by cyclic voltammetry (CV) of the peptides' ferrocenyl derivatives on gold electrodes were less than expected for monolayers. Comparison of the films of ferrocenyl derivatives of Met and Cys showed that the thiolate bound more strongly than the thioether. Accordingly an oligopeptide containing two Cys residues at i, i+3, designed to be 3 10 -helical, was prepared. Transformation of the two (Trt)Cys residues of the resin-bound peptide to the intramolecular disulphide by iodine was achieved in acetonitrile but not in DMF. CD suggested that the conformation of this peptide was a mixture of helix and random coil. Films of the peptide-disulphide and the peptide-dithiol adsorbed from protic solvents were characterised as multilayers by ellipsometry. However CV and ellipsometry showed that a monolayer was successfully prepared from acetonitrile. Future targets for

  3. Two cell circuits of oriented adult hippocampal neurons on self-assembled monolayers for use in the study of neuronal communication in a defined system.

    Science.gov (United States)

    Edwards, Darin; Stancescu, Maria; Molnar, Peter; Hickman, James J

    2013-08-21

    In this study, we demonstrate the directed formation of small circuits of electrically active, synaptically connected neurons derived from the hippocampus of adult rats through the use of engineered chemically modified culture surfaces that orient the polarity of the neuronal processes. Although synaptogenesis, synaptic communication, synaptic plasticity, and brain disease pathophysiology can be studied using brain slice or dissociated embryonic neuronal culture systems, the complex elements found in neuronal synapses makes specific studies difficult in these random cultures. The study of synaptic transmission in mature adult neurons and factors affecting synaptic transmission are generally studied in organotypic cultures, in brain slices, or in vivo. However, engineered neuronal networks would allow these studies to be performed instead on simple functional neuronal circuits derived from adult brain tissue. Photolithographic patterned self-assembled monolayers (SAMs) were used to create the two-cell "bidirectional polarity" circuit patterns. This pattern consisted of a cell permissive SAM, N-1[3-(trimethoxysilyl)propyl] diethylenetriamine (DETA), and was composed of two 25 μm somal adhesion sites connected with 5 μm lines acting as surface cues for guided axonal and dendritic regeneration. Surrounding the DETA pattern was a background of a non-cell-permissive poly(ethylene glycol) (PEG) SAM. Adult hippocampal neurons were first cultured on coverslips coated with DETA monolayers and were later passaged onto the PEG-DETA bidirectional polarity patterns in serum-free medium. These neurons followed surface cues, attaching and regenerating only along the DETA substrate to form small engineered neuronal circuits. These circuits were stable for more than 21 days in vitro (DIV), during which synaptic connectivity was evaluated using basic electrophysiological methods.

  4. Screening of self-assembled monolayer for aflatoxin B1 detection using immune-capacitive sensor

    Directory of Open Access Journals (Sweden)

    Alvaro V. Gutierrez R

    2015-12-01

    Full Text Available A capacitive biosensor was used for detection of aflatoxin B1. Two different methods for cleaning gold electrodes were evaluated using cyclic voltammetry in the presence of ferricyanide as redox couple. The methods involve use of a sequence of cleaning steps avoiding the use of Piranha solution and plasma cleaner. Anti-aflatoxin B1 was immobilized on self-assembled monolayers (SAM. The immune-capacitive biosensor is able to detect aflatoxin B1 concentrations in a linear range of 3.2 × 10−12 M to 3.2 × 10−9 M when thiourea was used to form the SAM; 3.2 × 10−9 M to 3.2 × 10−7 M when thioctic acid was used. When the gold surface was isolated with tyramine-electropolymerization linear ranges of 3.2 × 10−13 M to 3.2 × 10−7 M and 3.2 × 10−9 M to 3.2 × 10−7 M where obtained, respectively. The results obtained show the difference in linear range, limit of detection, and limit of quantification when different self-assembled monolayers are used for aflatoxin B1 detection.

  5. Fabrication of an electrically conductive mixed self-assembled monolayer and its application in an electrochemical immunosensor

    International Nuclear Information System (INIS)

    Lee, Jung Bae; Namgung, Miok; Lee, Sang-Baek; Oh, Se Young

    2008-01-01

    Oligophenylethynylene thiol containing carboxylic acid in the tail group as a conducting wire bioreceptor was synthesized, and then its electrical property was investigated from the measurement of scanning tunneling microscopy (STM). Mixed self-assembled monolayer (SAM) consisting of 4-(2-(4-acetylthio)phenyl)ethynyl) benzoic acid (APBA) and butanethiol was fabricated in order to improve the electrical conductivity owing to the molecular orientation. We have examined the molecular orientation and the electrochemical activity of mixed SAM via X-ray photoelectron spectroscopy (XPS) and cyclic voltammetry (CV). Especially, the prepared mixed SAM used as a bioreceptor in electrochemical prostate specific antigen (PSA) immunosensor showed higher electrochemical activity than that of the other SAMs

  6. Comparative Study of Electroless Copper Film on Different Self-Assembled Monolayers Modified ABS Substrate

    Directory of Open Access Journals (Sweden)

    Jiushuai Xu

    2014-04-01

    Full Text Available Copper films were grown on (3-Mercaptopropyltrimethoxysilane (MPTMS, (3-Aminopropyltriethoxysilane (APTES and 6-(3-(triethoxysilylpropylamino-1,3,5- triazine-2,4-dithiol monosodium (TES self-assembled monolayers (SAMs modified acrylonitrile-butadiene-styrene (ABS substrate via electroless copper plating. The copper films were examined using scanning electron microscopy (SEM and X-ray diffraction (XRD. Their individual deposition rate and contact angle were also investigated to compare the properties of SAMs and electroless copper films. The results indicated that the formation of copper nuclei on the TES-SAMs modified ABS substrate was faster than those on the MPTMS-SAMs and APTES-SAMs modified ABS substrate. SEM images revealed that the copper film on TES-SAM modified ABS substrate was smooth and uniform, and the density of copper nuclei was much higher. Compared with that of TES-SAMs modified resin, the coverage of copper nuclei on MPTMS and APTES modified ABS substrate was very limited and the copper particle size was too big. The adhesion property test demonstrated that all the SAMs enhanced the interfacial interaction between copper plating and ABS substrate. XRD analysis showed that the copper film deposited on SAM-modified ABS substrate had a structure with Cu(111 preferred orientation, and the copper film deposited on TES-SAMs modified ABS substrate is better than that deposited on MPTMS-SAMs or APTES-SAMs modified ABS resins in electromigrtion resistance.

  7. Electrochemical immobilization of biomolecules on gold surface modified with monolayered L-cysteine

    Energy Technology Data Exchange (ETDEWEB)

    Honda, Mitsunori, E-mail: honda.mitsunori@jaea.go.jp; Baba, Yuji; Sekiguchi, Tetsuhiro; Shimoyama, Iwao; Hirao, Norie

    2014-04-01

    Immobilization of organic molecules on the top of a metal surface is not easy because of lattice mismatch between organic and metal crystals. Gold atoms bind to thiol groups through strong chemical bonds, and a self-assembled monolayer of sulfur-terminated organic molecules is formed on the gold surface. Herein, we suggested that a monolayer of L-cysteine deposited on a gold surface can act as a buffer layer to immobilize biomolecules on the metal surface. We selected lactic acid as the immobilized biomolecule because it is one of the simplest carboxyl-containing biomolecules. The immobilization of lactic acid on the metal surface was carried out by an electrochemical method in an aqueous environment under the potential range varying from − 0.6 to + 0.8 V. The surface chemical states before and after the electrochemical reaction were characterized using X-ray photoelectron spectroscopy (XPS). The N 1s and C 1s XPS spectra showed that the L-cysteine-modified gold surface can immobilize lactic acid via peptide bonds. This technique might enable the immobilization of large organic molecules and biomolecules. - Highlights: • Monolayer l-cysteine deposited on Au surface as a buffer layer to immobilize biomolecules. • Lactic acid as the immobilized biomolecule as it is simple carboxyl-containing biomolecule. • X-ray photoelectron spectroscopy (XPS) of surface chemical states, before and after. • L-cysteine-modified Au surface can immobilize lactic acid via peptide bonds.

  8. Electrochemical immobilization of biomolecules on gold surface modified with monolayered L-cysteine

    International Nuclear Information System (INIS)

    Honda, Mitsunori; Baba, Yuji; Sekiguchi, Tetsuhiro; Shimoyama, Iwao; Hirao, Norie

    2014-01-01

    Immobilization of organic molecules on the top of a metal surface is not easy because of lattice mismatch between organic and metal crystals. Gold atoms bind to thiol groups through strong chemical bonds, and a self-assembled monolayer of sulfur-terminated organic molecules is formed on the gold surface. Herein, we suggested that a monolayer of L-cysteine deposited on a gold surface can act as a buffer layer to immobilize biomolecules on the metal surface. We selected lactic acid as the immobilized biomolecule because it is one of the simplest carboxyl-containing biomolecules. The immobilization of lactic acid on the metal surface was carried out by an electrochemical method in an aqueous environment under the potential range varying from − 0.6 to + 0.8 V. The surface chemical states before and after the electrochemical reaction were characterized using X-ray photoelectron spectroscopy (XPS). The N 1s and C 1s XPS spectra showed that the L-cysteine-modified gold surface can immobilize lactic acid via peptide bonds. This technique might enable the immobilization of large organic molecules and biomolecules. - Highlights: • Monolayer l-cysteine deposited on Au surface as a buffer layer to immobilize biomolecules. • Lactic acid as the immobilized biomolecule as it is simple carboxyl-containing biomolecule. • X-ray photoelectron spectroscopy (XPS) of surface chemical states, before and after. • L-cysteine-modified Au surface can immobilize lactic acid via peptide bonds

  9. Surface self-assembled hybrid nanocomposites with electroactive nanoparticles and enzymes confined in a polymer matrix for controlled electrocatalysis

    DEFF Research Database (Denmark)

    Zhu, Nan; Ulstrup, Jens; Chi, Qijin

    2015-01-01

    A three-dimensional network of highly branched poly(ethyleneimine) (PEI) is designed and synthesized on gold electrode surfaces. A self-assembled monolayer (SAM) of dithiobis(succinimidyl propionate) (DTSP) on a gold electrode was first prepared, which is confirmed by the reductive desorption of ...

  10. Molecular and electronic structure of osmium complexes confined to Au(111) surfaces using a self-assembled molecular bridge

    Energy Technology Data Exchange (ETDEWEB)

    Llave, Ezequiel de la; Herrera, Santiago E.; Adam, Catherine; Méndez De Leo, Lucila P.; Calvo, Ernesto J.; Williams, Federico J., E-mail: fwilliams@qi.fcen.uba.ar [INQUIMAE-CONICET, Departamento de Química Inorgánica, Analítica y Química-Física, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Ciudad Universitaria, Pabellón 2, Buenos Aires C1428EHA (Argentina)

    2015-11-14

    The molecular and electronic structure of Os(II) complexes covalently bonded to self-assembled monolayers (SAMs) on Au(111) surfaces was studied by means of polarization modulation infrared reflection absorption spectroscopy, photoelectron spectroscopies, scanning tunneling microscopy, scanning tunneling spectroscopy, and density functional theory calculations. Attachment of the Os complex to the SAM proceeds via an amide covalent bond with the SAM alkyl chain 40° tilted with respect to the surface normal and a total thickness of 26 Å. The highest occupied molecular orbital of the Os complex is mainly based on the Os(II) center located 2.2 eV below the Fermi edge and the LUMO molecular orbital is mainly based on the bipyridine ligands located 1.5 eV above the Fermi edge.

  11. Controlling charge injection in organic electronic devices using self-assembled monolayers

    Science.gov (United States)

    Campbell, I. H.; Kress, J. D.; Martin, R. L.; Smith, D. L.; Barashkov, N. N.; Ferraris, J. P.

    1997-12-01

    We demonstrate control and improvement of charge injection in organic electronic devices by utilizing self-assembled monolayers (SAMs) to manipulate the Schottky energy barrier between a metal electrode and the organic electronic material. Hole injection from Cu electrodes into the electroluminescent conjugated polymer poly[2-methoxy,5-(2'-ethyl-hexyloxy)-1,4-phenylene vinylene] was varied by using two conjugated-thiol based SAMs. The chemically modified electrodes were incorporated in organic diode structures and changes in the metal/polymer Schottky energy barriers and current-voltage characteristics were measured. Decreasing (increasing) the Schottky energy barrier improves (degrades) charge injection into the polymer.

  12. Patterning functional materials using channel diffused plasma-etched self-assembled monolayer templates

    NARCIS (Netherlands)

    George, A.; Maijenburg, A.W.; Maas, M.G.; Blank, David H.A.; ten Elshof, Johan E.

    2011-01-01

    A simple and cost-effective methodology for large-area micrometer-scale patterning of a wide range of metallic and oxidic functional materials is presented. Self-assembled monolayers (SAM) of alkyl thiols on Au were micropatterned by channel-diffused oxygen plasma etching, a method in which selected

  13. Structure of adsorbed monolayers. The surface chemical bond

    International Nuclear Information System (INIS)

    Somorjai, G.A.; Bent, B.E.

    1984-06-01

    This paper attempts to provide a summary of what has been learned about the structure of adsorbed monolayers and about the surface chemical bond from molecular surface science. While the surface chemical bond is less well understood than bonding of molecules in the gas phase or in the solid state, our knowledge of its properties is rapidly accumulating. The information obtained also has great impact on many surface science based technologies, including heterogeneous catalysis and electronic devices. It is hoped that much of the information obtained from studies at solid-gas interfaces can be correlated with molecular behavior at solid-liquid interfaces. 31 references, 42 figures, 1 table

  14. Formation of high-quality self-assembled monolayers of conjugated dithiols on gold : Base matters

    NARCIS (Netherlands)

    Valkenier, Hennie; Huisman, Everardus H.; Hal, Paul A. van; de Leeuw, Dagobert; Chiechi, Ryan C.; Hummelen, Jan C.

    2011-01-01

    This Article reports a systematic study on the formation of self-assembled monolayers (SAMs) of conjugated molecules for molecular electronic (ME) devices. We monitored the deprotection reaction of acetyl protected dithiols of oligophenylene ethynylenes (OPEs) in solution using two different bases

  15. Effects of hyperthermal proton bombardment on alkanethiol self-assembled monolayer on Au(1 1 1)

    Energy Technology Data Exchange (ETDEWEB)

    Xi Luan [Surface Science Western, University of Western Ontario, London, Ontario N6A 5B7 (Canada); Zheng Zhi; Lam, N.-S. [Department of Physics, Chinese University of Hong Kong, Shatin, Hong Kong (China); Grizzi, Oscar [Centro Atomico Bariloche, 8400 San Carlos de Bariloche, Rio Negro (Argentina); Lau, W.-M. [Surface Science Western, University of Western Ontario, London, Ontario N6A 5B7 (Canada)], E-mail: llau22@uwo.ca

    2007-10-31

    The effects of hyperthermal proton bombardment on alkanethiol self-assembled monolayer (SAM) on Au(1 1 1) are studied with scanning tunneling microscopy (STM) and X-ray photoemission spectroscopy (XPS). The STM and XPS results show that proton bombardment with proton energy as low as 2 eV can induce cross-linking of the adsorbed alkanethiols and transform the original ordered SAM lattice to an array of nanoclusters of the cross-linked alkanethiols. For a bombardment at 3 eV with a fluence of 3x10{sup 15} cm{sup -2}, the typical cluster size is about 5 nm. In addition, the cluster size distribution is narrow, with no cluster larger than 8 nm. The cluster growth can be promoted by increasing the fluence at a fixed bombardment energy or increasing the energy at a fixed fluence. This indicates that surface diffusion of alkanethiols and cluster growth can be harnessed by the control of the bombardment energy and fluence.

  16. Effects of hyperthermal proton bombardment on alkanethiol self-assembled monolayer on Au(1 1 1)

    International Nuclear Information System (INIS)

    Xi Luan; Zheng Zhi; Lam, N.-S.; Grizzi, Oscar; Lau, W.-M.

    2007-01-01

    The effects of hyperthermal proton bombardment on alkanethiol self-assembled monolayer (SAM) on Au(1 1 1) are studied with scanning tunneling microscopy (STM) and X-ray photoemission spectroscopy (XPS). The STM and XPS results show that proton bombardment with proton energy as low as 2 eV can induce cross-linking of the adsorbed alkanethiols and transform the original ordered SAM lattice to an array of nanoclusters of the cross-linked alkanethiols. For a bombardment at 3 eV with a fluence of 3x10 15 cm -2 , the typical cluster size is about 5 nm. In addition, the cluster size distribution is narrow, with no cluster larger than 8 nm. The cluster growth can be promoted by increasing the fluence at a fixed bombardment energy or increasing the energy at a fixed fluence. This indicates that surface diffusion of alkanethiols and cluster growth can be harnessed by the control of the bombardment energy and fluence

  17. Controlled adsorption of cytochrome c to nanostructured gold surfaces

    International Nuclear Information System (INIS)

    Gomes, Inês; Feio, Maria J.; Santos, Nuno C.; Eaton, Peter; Serro, Ana Paula; Saramago, Benilde; Pereira, Eulália; Franco, Ricardo

    2012-01-01

    Controlled electrostatic physisorption of horse heart cytochrome c (Cyt c) onto nanostructured gold surfaces was investigated using Quartz-Crystal Microbalance measurements in planar gold surfaces with or without functionalization using a self-assembled monolayer (SAM) of the alkanethiol mercaptoundecanoic acid (MUA). MUA is a useful functionalization ligand for gold surfaces, shedding adsorbed biomolecules from the excessive electron density of the metal. A parallel analysis was conducted in the corresponding curved surfaces of 15 nm gold nanoparticles (AuNPs), using zeta-potential and UV– visible spectroscopy. Atomic Force Microscopy of both types of functionalized gold surfaces with a MUA SAM, allowed for visualization of Cyt c deposits on the nanostructured gold surface. The amount of Cyt c adsorbed onto the gold surface could be controlled by the solution pH. For the assays conducted at pH 4.5, when MUA SAM- functionalized planar gold surfaces are positive or neutral, and Cyt c has a positive net charge, only 13 % of the planar gold surface area was coated with protein. In contrast, at pH 7.4, when MUA SAM-functionalized planar gold surfaces and Cyt c have opposite charges, a protein coverage of 28 % could be observed implying an adsorption process strongly governed by electrostatic forces. Cyt c adsorption on planar and curved gold surfaces are found to be greatly favored by the presence of a MUA-capping layer. In particular, on the AuNPs, the binding constant is three times larger than the binding constant obtained for the original citrate-capped AuNPs.

  18. Controlled adsorption of cytochrome c to nanostructured gold surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Gomes, Ines [Faculdade de Ciencias e Tecnologia, Universidade Nova de Lisboa, REQUIMTE, Departamento de Quimica (Portugal); Feio, Maria J. [Faculdade de Ciencias da Universidade do Porto, REQUIMTE, Departamento de Quimica e Bioquimica (Portugal); Santos, Nuno C. [Faculdade de Medicina da Universidade de Lisboa, Instituto de Medicina Molecular (Portugal); Eaton, Peter [Faculdade de Ciencias da Universidade do Porto, REQUIMTE, Departamento de Quimica e Bioquimica (Portugal); Serro, Ana Paula; Saramago, Benilde [Centro de Quimica Estrutural, Instituto Superior Tecnico (Portugal); Pereira, Eulalia [Faculdade de Ciencias da Universidade do Porto, REQUIMTE, Departamento de Quimica e Bioquimica (Portugal); Franco, Ricardo, E-mail: ricardo.franco@fct.unl.pt [Faculdade de Ciencias e Tecnologia, Universidade Nova de Lisboa, REQUIMTE, Departamento de Quimica (Portugal)

    2012-12-15

    Controlled electrostatic physisorption of horse heart cytochrome c (Cyt c) onto nanostructured gold surfaces was investigated using Quartz-Crystal Microbalance measurements in planar gold surfaces with or without functionalization using a self-assembled monolayer (SAM) of the alkanethiol mercaptoundecanoic acid (MUA). MUA is a useful functionalization ligand for gold surfaces, shedding adsorbed biomolecules from the excessive electron density of the metal. A parallel analysis was conducted in the corresponding curved surfaces of 15 nm gold nanoparticles (AuNPs), using zeta-potential and UV- visible spectroscopy. Atomic Force Microscopy of both types of functionalized gold surfaces with a MUA SAM, allowed for visualization of Cyt c deposits on the nanostructured gold surface. The amount of Cyt c adsorbed onto the gold surface could be controlled by the solution pH. For the assays conducted at pH 4.5, when MUA SAM- functionalized planar gold surfaces are positive or neutral, and Cyt c has a positive net charge, only 13 % of the planar gold surface area was coated with protein. In contrast, at pH 7.4, when MUA SAM-functionalized planar gold surfaces and Cyt c have opposite charges, a protein coverage of 28 % could be observed implying an adsorption process strongly governed by electrostatic forces. Cyt c adsorption on planar and curved gold surfaces are found to be greatly favored by the presence of a MUA-capping layer. In particular, on the AuNPs, the binding constant is three times larger than the binding constant obtained for the original citrate-capped AuNPs.

  19. Direct imaging by atomic force microscopy of surface-localized self-assembled monolayers on a cuprate superconductor and surface X-ray scattering analysis of analogous monolayers on the surface of water

    DEFF Research Database (Denmark)

    Schougaard, Steen B.; Reitzel, Niels; Bjørnholm, Thomas

    2007-01-01

    A self-assembled monolayer of CF3(CF2)(3)(CH2)(11)NH2 atop the (001) surface of the high-temperature superconductor YBa2Cu3O7-x was imaged by atomic force microscopy (AFM). The AFM images provide direct 2D-structural evidence for the epitaxial 5.5 angstrom square root 2 x root 2R45 degrees unit...... was studied by grazing-incidence X-ray diffraction and specular X-ray reflectivity. Structural differences and similarities between the water-supported and superconductor-localized monolayers are discussed....

  20. Effect of Structure and Disorder on the Charge Transport in Defined Self-Assembled Monolayers of Organic Semiconductors.

    Science.gov (United States)

    Schmaltz, Thomas; Gothe, Bastian; Krause, Andreas; Leitherer, Susanne; Steinrück, Hans-Georg; Thoss, Michael; Clark, Timothy; Halik, Marcus

    2017-09-26

    Self-assembled monolayer field-effect transistors (SAMFETs) are not only a promising type of organic electronic device but also allow detailed analyses of structure-property correlations. The influence of the morphology on the charge transport is particularly pronounced, due to the confined monolayer of 2D-π-stacked organic semiconductor molecules. The morphology, in turn, is governed by relatively weak van-der-Waals interactions and is thus prone to dynamic structural fluctuations. Accordingly, combining electronic and physical characterization and time-averaged X-ray analyses with the dynamic information available at atomic resolution from simulations allows us to characterize self-assembled monolayer (SAM) based devices in great detail. For this purpose, we have constructed transistors based on SAMs of two molecules that consist of the organic p-type semiconductor benzothieno[3,2-b][1]benzothiophene (BTBT), linked to a C 11 or C 12 alkylphosphonic acid. Both molecules form ordered SAMs; however, our experiments show that the size of the crystalline domains and the charge-transport properties vary considerably in the two systems. These findings were confirmed by molecular dynamics (MD) simulations and semiempirical molecular-orbital electronic-structure calculations, performed on snapshots from the MD simulations at different times, revealing, in atomistic detail, how the charge transport in organic semiconductors is influenced and limited by dynamic disorder.

  1. Patterning of self-assembled monolayers based on differences in molecular conductance.

    Science.gov (United States)

    Shen, Cai; Buck, Manfred

    2009-06-17

    Scanning tunneling microscopy (STM) is used for replacement patterning of self-assembled monolayers (SAMs) of thiols on a sub-10 nm scale. Contrasting other schemes of scanning probe patterning of SAMs, the exchange of molecules relies on differences in conductance and, thus, occurs under tunneling conditions where the resolution of the tip is maintained. Exchange takes place at the boundary between different thiols but only when the tip moves from areas of lower to higher conductance. In combination with SAMs which exhibit excellent structural quality, patterns with a contour definition of +/- 1 molecule, lines as thin as 2.5 nm and islands with an area of less than 20 nm2 are straightforwardly produced. It is suggested that the shear force exerted onto the molecules with the lower conductance triggers displacement of the one with higher conductance.

  2. Self-assembled monolayers of 1-alkenes on oxidized platinum surfaces as platforms for immobilized enzymes for biosensing

    International Nuclear Information System (INIS)

    Alonso, Jose Maria; Bielen, Abraham A.M.; Olthuis, Wouter; Kengen, Servé W.M.; Zuilhof, Han; Franssen, Maurice C.R.

    2016-01-01

    Highlights: • Three different oxidases are covalently attached to alkene based SAMs on PtOx. • Attached enzymes remain active and their activity is assessed by chronoamperometry. • Functionalized PtOx allows electron mediator free chronoamperometry measurements. • The thus formed enzyme electrodes are useful as biosensors for glucose and lactate. • Immobilization of human HAOX foresees in vivo lactate monitoring in humans. - Abstract: Alkene-based self-assembled monolayers grafted on oxidized Pt surfaces were used as a scaffold to covalently immobilize oxidase enzymes, with the aim to develop an amperometric biosensor platform. NH_2-terminated organic layers were functionalized with either aldehyde (CHO) or N-hydroxysuccinimide (NHS) ester-derived groups, to provide anchoring points for enzyme immobilization. The functionalized Pt surfaces were characterized by X-ray photoelectron spectroscopy (XPS), static water contact angle (CA), infrared reflection absorption spectroscopy (IRRAS) and atomic force microscopy (AFM). Glucose oxidase (GOX) was covalently attached to the functionalized Pt electrodes, either with or without additional glutaraldehyde crosslinking. The responses of the acquired sensors to glucose concentrations ranging from 0.5 to 100 mM were monitored by chronoamperometry. Furthermore, lactate oxidase (LOX) and human hydroxyacid oxidase (HAOX) were successfully immobilized onto the PtOx surface platform. The performance of the resulting lactate sensors was investigated for lactate concentrations ranging from 0.05 to 20 mM. The successful attachment of active enzymes (GOX, LOX and HAOX) on Pt electrodes demonstrates that covalently functionalized PtOx surfaces provide a universal platform for the development of oxidase enzyme-based sensors.

  3. Self-assembled monolayers of 1-alkenes on oxidized platinum surfaces as platforms for immobilized enzymes for biosensing

    Energy Technology Data Exchange (ETDEWEB)

    Alonso, Jose Maria; Bielen, Abraham A.M. [Laboratory of Organic Chemistry, Wageningen University, Dreijenplein 8, 6703 HB, Wageningen (Netherlands); Olthuis, Wouter [BIOS Lab on a Chip Group, MESA+ and MIRA Institutes, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Kengen, Servé W.M. [Laboratory of Microbiology, Wageningen University, 6703HB Wageningen (Netherlands); Zuilhof, Han, E-mail: han.zuilhof@wur.nl [Laboratory of Organic Chemistry, Wageningen University, Dreijenplein 8, 6703 HB, Wageningen (Netherlands); Department of Chemical and Materials Engineering, King Abdulaziz University, Jeddah 22254 (Saudi Arabia); Franssen, Maurice C.R., E-mail: maurice.franssen@wur.nl [Laboratory of Organic Chemistry, Wageningen University, Dreijenplein 8, 6703 HB, Wageningen (Netherlands)

    2016-10-15

    Highlights: • Three different oxidases are covalently attached to alkene based SAMs on PtOx. • Attached enzymes remain active and their activity is assessed by chronoamperometry. • Functionalized PtOx allows electron mediator free chronoamperometry measurements. • The thus formed enzyme electrodes are useful as biosensors for glucose and lactate. • Immobilization of human HAOX foresees in vivo lactate monitoring in humans. - Abstract: Alkene-based self-assembled monolayers grafted on oxidized Pt surfaces were used as a scaffold to covalently immobilize oxidase enzymes, with the aim to develop an amperometric biosensor platform. NH{sub 2}-terminated organic layers were functionalized with either aldehyde (CHO) or N-hydroxysuccinimide (NHS) ester-derived groups, to provide anchoring points for enzyme immobilization. The functionalized Pt surfaces were characterized by X-ray photoelectron spectroscopy (XPS), static water contact angle (CA), infrared reflection absorption spectroscopy (IRRAS) and atomic force microscopy (AFM). Glucose oxidase (GOX) was covalently attached to the functionalized Pt electrodes, either with or without additional glutaraldehyde crosslinking. The responses of the acquired sensors to glucose concentrations ranging from 0.5 to 100 mM were monitored by chronoamperometry. Furthermore, lactate oxidase (LOX) and human hydroxyacid oxidase (HAOX) were successfully immobilized onto the PtOx surface platform. The performance of the resulting lactate sensors was investigated for lactate concentrations ranging from 0.05 to 20 mM. The successful attachment of active enzymes (GOX, LOX and HAOX) on Pt electrodes demonstrates that covalently functionalized PtOx surfaces provide a universal platform for the development of oxidase enzyme-based sensors.

  4. Synthesis of Graphene Based Membranes: Effect of Substrate Surface Properties on Monolayer Graphene Transfer.

    Science.gov (United States)

    Kafiah, Feras; Khan, Zafarullah; Ibrahim, Ahmed; Atieh, Muataz; Laoui, Tahar

    2017-01-21

    In this work, we report the transfer of graphene onto eight commercial microfiltration substrates having different pore sizes and surface characteristics. Monolayer graphene grown on copper by the chemical vapor deposition (CVD) process was transferred by the pressing method over the target substrates, followed by wet etching of copper to obtain monolayer graphene/polymer membranes. Scanning electron microscopy (SEM), atomic force microscopy (AFM), and contact angle (CA) measurements were carried out to explore the graphene layer transferability. Three factors, namely, the substrate roughness, its pore size, and its surface wetting (degree of hydrophobicity) are found to affect the conformality and coverage of the transferred graphene monolayer on the substrate surface. A good quality graphene transfer is achieved on the substrate with the following characteristics; being hydrophobic (CA > 90°), having small pore size, and low surface roughness, with a CA to RMS (root mean square) ratio higher than 2.7°/nm.

  5. Chemical surface reactions by click chemistry: coumarin dye modification of 11-bromoundecyltrichlorosilane monolayers

    International Nuclear Information System (INIS)

    Haensch, Claudia; Hoeppener, Stephanie; Schubert, Ulrich S

    2008-01-01

    The functionalization of surfaces and the ability to tailor their properties with desired physico-chemical functions is an important field of research with a broad spectrum of applications. These applications range from the modification of wetting properties, over the alteration of optical properties, to the fabrication of molecular electronic devices. In each of these fields, it is of specific importance to be able to control the quality of the layers with high precision. The present study demonstrates an approach that utilizes the 1,3-dipolar cycloaddition of terminal acetylenes to prepare triazole-terminated monolayers on different substrates. The characterization of the precursor monolayers, the optimization of the chemical surface reactions as well as the clicking of a fluorescent dye molecule on such azide-terminated monolayers was carried out. A coumarin 343 derivative was utilized to discuss the aspects of the functionalization approach. Based on this approach, a number of potential surface reactions, facilitated via the acetylene-substituted functional molecules, for a broad range of applications is at hand, thus leading to numerous possibilities where surface modifications are concerned. These modifications can be applied on non-structured surfaces of silicon or glass or can be used on structured surfaces. Various possibilities are discussed

  6. Patterned self-assembled monolayers for nanoscale lithography and the control of catalytically produced electroosmosis

    Science.gov (United States)

    Subramanian, Shyamala

    This thesis explores two applications of self-assembled monolayers (SAMs) (a) for developing novel molecular assembly based nanolithography techniques and (b) for tailoring zeta-potential of surfaces towards achieving directional control of catalytically induced fluid flow. The first half of the thesis develops the process of molecular ruler lithography using sacrificial host structures. This is a novel hybrid nanolithography technique which combines chemical self-assembly with conventional fabrication methods for improving the resolution of existing lithography tools to sub-50 nm. Previous work related to molecular ruler lithography have shown the use of thiol-SAMs, placed one on top of the other like a molecular resist, for scaling down feature sizes. In this thesis various engineering solutions for improving the reproducibility, yield, nanoscale roughness and overall manufacturability of the process are introduced. This is achieved by introducing a sacrificial inert layer underneath the gold parent structure. This bilayer sacrificial host allows for preferential, easy and quick removal of the parent structures, isolates the parent metal from the underlying substrate and improves reproducibility of the lift-off process. Also it opens avenues for fabrication of high aspect ratio features. Also molecular layer vapor deposition method is developed for building the multilayer molecular resist via vapor phase to reduce contaminations and yield issues associated with solution phase deposition. The smallest isolated metal features produced using this process were 40 nm in width. The second half of the thesis describes application of thiol-SAMs to tailor surface properties of gold, specifically the surface charge or zeta potential. Previous work has demonstrated that the direction of movement of fluid in the vicinity of a catalytically active bimetallic junction placed in a solution of dilute hydrogen peroxide depends on the charge of the gold surface. SAMs with

  7. Significant improvement in the electrical characteristics of Schottky barrier diodes on molecularly modified Gallium Nitride surfaces

    Science.gov (United States)

    Garg, Manjari; Naik, Tejas R.; Pathak, C. S.; Nagarajan, S.; Rao, V. Ramgopal; Singh, R.

    2018-04-01

    III-Nitride semiconductors face the issue of localized surface states, which causes fermi level pinning and large leakage current at the metal semiconductor interface, thereby degrading the device performance. In this work, we have demonstrated the use of a Self-Assembled Monolayer (SAM) of organic molecules to improve the electrical characteristics of Schottky barrier diodes (SBDs) on n-type Gallium Nitride (n-GaN) epitaxial films. The electrical characteristics of diodes were improved by adsorption of SAM of hydroxyl-phenyl metallated porphyrin organic molecules (Zn-TPPOH) onto the surface of n-GaN. SAM-semiconductor bonding via native oxide on the n-GaN surface was confirmed using X-ray photoelectron spectroscopy measurements. Surface morphology and surface electronic properties were characterized using atomic force microscopy and Kelvin probe force microscopy. Current-voltage characteristics of different metal (Cu, Ni) SBDs on bare n-GaN were compared with those of Cu/Zn-TPPOH/n-GaN and Ni/Zn-TPPOH/n-GaN SBDs. It was found that due to the molecular monolayer, the surface potential of n-GaN was decreased by ˜350 mV. This caused an increase in the Schottky barrier height of Cu and Ni SBDs from 1.13 eV to 1.38 eV and 1.07 eV to 1.22 eV, respectively. In addition to this, the reverse bias leakage current was reduced by 3-4 orders of magnitude for both Cu and Ni SBDs. Such a significant improvement in the electrical performance of the diodes can be very useful for better device functioning.

  8. Ballbot-type motion of N-heterocyclic carbenes on gold surfaces

    Science.gov (United States)

    Wang, Gaoqiang; Rühling, Andreas; Amirjalayer, Saeed; Knor, Marek; Ernst, Johannes Bruno; Richter, Christian; Gao, Hong-Jun; Timmer, Alexander; Gao, Hong-Ying; Doltsinis, Nikos L.; Glorius, Frank; Fuchs, Harald

    2017-02-01

    Recently, N-heterocyclic carbenes (NHCs) were introduced as alternative anchors for surface modifications and so offered many attractive features, which might render them superior to thiol-based systems. However, little effort has been made to investigate the self-organization process of NHCs on surfaces, an important aspect for the formation of self-assembled monolayers (SAMs), which requires molecular mobility. Based on investigations with scanning tunnelling microscopy and first-principles calculations, we provide an understanding of the microscopic mechanism behind the high mobility observed for NHCs. These NHCs extract a gold atom from the surface, which leads to the formation of an NHC-gold adatom complex that displays a high surface mobility by a ballbot-type motion. Together with their high desorption barrier this enables the formation of ordered and strongly bound SAMs. In addition, this mechanism allows a complementary surface-assisted synthesis of dimeric and hitherto unknown trimeric NHC gold complexes on the surface.

  9. Controlling charge injection in organic electronic devices using self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, I.H.; Kress, J.D.; Martin, R.L.; Smith, D.L. [Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States); Barashkov, N.N.; Ferraris, J.P. [The University of Texas at Dallas, Richardson, Texas 75083 (United States)

    1997-12-01

    We demonstrate control and improvement of charge injection in organic electronic devices by utilizing self-assembled monolayers (SAMs) to manipulate the Schottky energy barrier between a metal electrode and the organic electronic material. Hole injection from Cu electrodes into the electroluminescent conjugated polymer poly[2-methoxy,5-(2{sup {prime}}-ethyl-hexyloxy)-1,4-phenylene vinylene] was varied by using two conjugated-thiol based SAMs. The chemically modified electrodes were incorporated in organic diode structures and changes in the metal/polymer Schottky energy barriers and current{endash}voltage characteristics were measured. Decreasing (increasing) the Schottky energy barrier improves (degrades) charge injection into the polymer. {copyright} {ital 1997 American Institute of Physics.}

  10. Long-range interfacial electron transfer and electrocatalysis of molecular scale Prussian Blue nanoparticles linked to Au(111)-electrode surfaces by different chemical contacting groups

    DEFF Research Database (Denmark)

    Zhu, Nan; Ulstrup, Jens; Chi, Qijin

    2017-01-01

    We have explored interfacial electrochemical electron transfer (ET) and electrocatalysis of 5–6 nm Prussian Blue nanoparticles (PBNPs) immobilized on Au(111)-electrode surfaces via molecular wiring with variable-length, and differently functionalized thiol-based self-assembled molecular monolayers...... (SAMs). The SAMs contain positively (−NH3+) or negatively charged (–COO–) terminal group, as well an electrostatically neutral hydrophobic terminal group (–CH3). The surface microscopic structures of the immobilized PBNPs were characterized by high-resolution atomic force microscopy (AFM) directly...... in aqueous electrolyte solution under the same conditions as for electrochemical measurements. The PBNPs displayed fast and reversible interfacial ET on all the surfaces, notably in multi-ET steps as reflected in narrow voltammetric peaks. The ET kinetics can be controlled by adjusting the length of the SAM...

  11. Study of Alkylthiolate Self-assembled Monolayers on Au(111) Using a Semilocal meta-GGA Density Functional

    DEFF Research Database (Denmark)

    Ferrighi, Lara; Pan, Yun-xiang; Grönbeck, Henrik

    2012-01-01

    We present a density functional theory study of the structure and stability of self-assembled monolayers (SAMs) of alkylthiolate on Au(111) as a function of the alkyl chain length. The most favorable structure of the SAMs involves an RS–Au–SR complex (S being sulfur, R being an alkyl chain) forme....... In particular, the use of M06-L yields an increased stability of the SAMs with increasing alkyl chain length and an increased attractive interaction between RS–Au–SR complexes at shorter distances....... through sandwiching one Au adatom by two alkylthiolates (RSs). Comparing a generalized gradient (GGA-PBE) and a meta-GGA (MGGA-M06-L) exchange-correlation functional we find that only the meta-GGA functional predicts the experimentally observed attractive intermolecular interactions within the SAMs...

  12. Surface chemistry of lipid raft and amyloid Aβ (1-40) Langmuir monolayer.

    Science.gov (United States)

    Thakur, Garima; Pao, Christine; Micic, Miodrag; Johnson, Sheba; Leblanc, Roger M

    2011-10-15

    Lipid rafts being rich in cholesterol and sphingolipids are considered to provide ordered lipid environment in the neuronal membranes, where it is hypothesized that the cleavage of amyloid precursor protein (APP) to Aβ (1-40) and Aβ (1-42) takes place. It is highly likely that the interaction of lipid raft components like cholesterol, sphingomylein or GM1 leads to nucleation of Aβ and results in aggregation or accumulation of amyloid plaques. One has investigated surface pressure-area isotherms of the lipid raft and Aβ (1-40) Langmuir monolayer. The compression-decompression cycles and the stability of the lipid raft Langmuir monolayer are crucial parameters for the investigation of interaction of Aβ (1-40) with the lipid raft Langmuir monolayer. It was revealed that GM1 provides instability to the lipid raft Langmuir monolayer. Adsorption of Aβ (1-40) onto the lipid raft Langmuir monolayer containing neutral (POPC) or negatively charged phospholipid (DPPG) was examined. The adsorption isotherms revealed that the concentration of cholesterol was important for adsorption of Aβ (1-40) onto the lipid raft Langmuir monolayer containing POPC whereas for the lipid raft Langmuir monolayer containing DPPG:cholesterol or GM1 did not play any role. In situ UV-vis absorption spectroscopy supported the interpretation of results for the adsorption isotherms. Copyright © 2011 Elsevier B.V. All rights reserved.

  13. Interactions of Na+, K+, Mg2+, and Ca 2+ with benzene self-assembled monolayers

    DEFF Research Database (Denmark)

    Pedersen, Morten Rimmen; Matthiesen, Jesper; Bovet, Nicolas Emile

    2014-01-01

    that are most common in the natural world, namely, Na+, K+, Mg 2+, and Ca2+. Specifically, we investigated how these ions affect the interactions between surfaces covered by self-Assembled monolayers (SAMs) terminated with benzene molecules. We used a flat oxidized silicon substrate and an atomic force...... from X-ray photoelectron spectroscopy (XPS) allowed us to conclude that K+ binds in the benzene layers, creating a positive surface charge on the benzene-covered surfaces, thus leading to lower adhesion in KCl solutions than in pure water. Evidence suggested that Ca2+ does not bind to the surfaces...... measurements. The results of our studies clearly show that even a nonpolar, hydrophobic molecule, such as benzene, has a role to play in the behavior of aqueous solutions and that it interacts differently depending on which ions are present. Even ions from the same column in the periodic table behave...

  14. Electron Processing at 50 eV of Terphenylthiol Self-Assembled Monolayers: Contributions of Primary and Secondary Electrons.

    Science.gov (United States)

    Houplin, Justine; Dablemont, Céline; Sala, Leo; Lafosse, Anne; Amiaud, Lionel

    2015-12-22

    Aromatic self-assembled monolayers (SAMs) can serve as platforms for development of supramolecular assemblies driven by surface templates. For many applications, electron processing is used to locally reinforce the layer. To achieve better control of the irradiation step, chemical transformations induced by electron impact at 50 eV of terphenylthiol SAMs are studied, with these SAMs serving as model aromatic SAMs. High-resolution electron energy loss spectroscopy (HREELS) and electron-stimulated desorption (ESD) of neutral fragment measurements are combined to investigate electron-induced chemical transformation of the layer. The decrease of the CH stretching HREELS signature is mainly attributed to dehydrogenation, without a noticeable hybridization change of the hydrogenated carbon centers. Its evolution as a function of the irradiation dose gives an estimate of the effective hydrogen content loss cross-section, σ = 2.7-4.7 × 10(-17) cm(2). Electron impact ionization is the major primary mechanism involved, with the impact electronic excitation contributing only marginally. Therefore, special attention is given to the contribution of the low-energy secondary electrons to the induced chemistry. The effective cross-section related to dissociative secondary electron attachment at 6 eV is estimated to be 1 order of magnitude smaller. The 1 eV electrons do not induce significant chemical modification for a 2.5 mC cm(-2) dose, excluding their contribution.

  15. Rapid localized deactivation of self-assembled monolayers by propagation-controlled laser-induced plasma and its application to self-patterning of electronics and biosensors

    Science.gov (United States)

    Kim, Jongsu; Kwon, Seung-Gab; Back, Seunghyun; Kang, Bongchul

    2018-03-01

    We present a novel laser-induced surface treatment process to rapidly control the spatial wettabilities of various functional solutions with submicron to micron resolutions. Ultrathin hydrophobic self-assembled monolayers (SAMs) that little absorb typical laser lights due to short penetration depth were selectively deactivated by instantaneous interaction with laser-induced metallic plasmas. The spatial region of the deactivated SAM, which corresponds to process resolution, is adjustable by controlling the spatial propagation of the plasma. This method leads to the parallel formation of hydrophilic functional solutions on glass substrates with a minimum resolution on the submicron scale. To show its feasibility in device engineering fields, this method was applied to the cost-effective fabrication of electronics and biosensors. Rapid self-patterning of electronic and biological functional solutions (silver nanoparticle solution and streptavidin protein solution) was successfully realized by selective deactivation of two different SAMs (tridecafluoro-1,1,2,2-tetrahydrooctyltrichlorosilane (FOTS) for electronics and the hetero-hybrid SAM (octadecyltrichlorosilane (OTS)/2-[methoxy(polyethyleneoxy)propyl] trichlorosilane (PEG)) for biosensors). As a result, this method can be exploited for the rapid and low-cost fabrication of various thin film devices such as electronics, biosensors, energy, displays, and photonics.

  16. Structure and property relations of macromolecular self-assemblies at interfaces

    Science.gov (United States)

    Yang, Zhihao

    Hydrophilic polymer chains, poly(ethylene glycol) (PEG), are attached to glass surfaces by silylation of the silanol groups on glass surfaces with the omega-(methoxyl terminated PEG) trimethoxysilanes. These tethered polymer chains resemble the self-assembled monolayers (SAMs) of PEG, which exhibit excellent biocompatibility and provide a model system for studying the interactions of proteins with polymer surfaces. The low molecular weight PEGs tend to extend, forming a brush-like monolayer, whereas the longer polymer chains tend to interpenetrate each other, forming a mushroom-like PEG monolayer at the interface. Interactions between a plasma protein, bovine serum albumin, and the PEG-SAMs are investigated in terms of protein adsorption and diffusion on the surfaces by the technique of fluorescence recovery after photobleaching (FRAP). The diffusion and aggregation behaviors of the protein on the two monolayers are found to be quite different despite the similarities in adsorption and desorption behaviors. The results are analyzed with a hypothesis of the hydrated surface dynamics. A method of covalently bonding phospholipid molecules to silica substrates followed by loading with free phospholipids is demonstrated to form well organized and stable phospholipid self-assembled monolayers. Surfaces of such SAMs structurally mimic the aqueous sides of phospholipid bilayer membranes. The dynamics of phospholipids and an adsorbed protein, lipase, in the SAMs are probed with FRAP, in terms of lateral diffusion of both phospholipids and protein molecules. The esterase activity of lipase on the SAM surfaces is confirmed by the hydrolysis reaction of a substrate, umbelliferone stearate, showing such lipid SAMs posess biomembrane functionality in terms of interfacial activation of the membranous enzymes. Dynamics of polyethylene oxide and polypropylene oxide tri-block copolymers, PEO-PPO-PEO and PPO-PEO-PPO, at the air/water interface upon thermal stimulation is studied by

  17. Optical response of a flat metallic surface coated with a monolayer array of latex spheres

    International Nuclear Information System (INIS)

    Shi Lei; Liu Xiaohan; Yin Haiwei; Zi Jian

    2010-01-01

    We report on the fabrication, characterization and simulation of a structure consisting of a flat metallic surface coated with a monolayer array of latex spheres. This structure shows interesting optical response: over flat metallic surfaces a series of reflection minima appear in reflection spectra. Numerical simulations revealed that the structure can support two types of surface modes: surface plasmon-polaritons bound at the metallic surface and guided modes confined to the array of latex spheres, or their hybrids. Both experimental and theoretical results indicated that these surface modes show well-defined band structures due to the introduced periodicity by the monolayer array of latex spheres.

  18. Reversible switching in self-assembled monolayers of azobenzene thiolates on Au (111) probed by threshold photoemission

    Energy Technology Data Exchange (ETDEWEB)

    Heinemann, Nils, E-mail: heinemann@physik.uni-kiel.de [Institut fuer Experimentelle und Angewandte Physik, Christian-Albrechts-Universitaet zu Kiel, Leibnizstr. 19, 24098 Kiel (Germany); Grunau, Jan; Leissner, Till; Andreyev, Oleksiy; Kuhn, Sonja; Jung, Ulrich [Institut fuer Experimentelle und Angewandte Physik, Christian-Albrechts-Universitaet zu Kiel, Leibnizstr. 19, 24098 Kiel (Germany); Zargarani, Dordaneh; Herges, Rainer [Otto-Diels-Institut fuer Organische Chemie, Christian-Albrechts-Universitaet zu Kiel, Otto-Hahn-Platz 4, 24098 Kiel (Germany); Magnussen, Olaf; Bauer, Michael [Institut fuer Experimentelle und Angewandte Physik, Christian-Albrechts-Universitaet zu Kiel, Leibnizstr. 19, 24098 Kiel (Germany)

    2012-06-19

    Highlights: Black-Right-Pointing-Pointer Photoelectron spectroscopy of liquid phase prepared SAMs of azobenzene derivative. Black-Right-Pointing-Pointer Photo-induced reversible switching in densely packed SAM is monitored. Black-Right-Pointing-Pointer Maximum density of switched molecules in SAM is derived from photoemission data. Black-Right-Pointing-Pointer Switching reaction only enabled at defects sites within the molecular layer. - Abstract: The reversible photo- and thermally activated isomerization of the molecular switch 3-(4-(4-Hexyl-phenylazo)-phenoxy)-propane-1-thiol (ABT, short for AzoBenzeneThiol) deposited by self-assembly from solution on Au (111) was studied using laser-based photoelectron spectroscopy. Differences in the molecular dipole moment characteristic for the trans and the cis isomer of ABT were monitored via changes in the sample work function, accessible by detection of the threshold energy for photoemission. A quantitative analysis of our data shows that the fraction of molecules within the densely packed monolayer that undergoes a switching process is of the order of 1%. This result indicates the relevance of substrate and film defects required to overcome the steric or electronic hindrance of the isomerization reaction in a densely packed monolayer.

  19. Monolayer-directed Assembly and Magnetic Properties of FePt Nanoparticles on Patterned Aluminum Oxide

    NARCIS (Netherlands)

    Yildirim, O.; Gang, T.; Kinge, S.S.; Reinhoudt, David; Blank, David H.A.; van der Wiel, Wilfred Gerard; Rijnders, Augustinus J.H.M.; Huskens, Jurriaan

    2010-01-01

    FePt nanoparticles (NPs) were assembled on aluminum oxide substrates, and their ferromagnetic properties were studied before and after thermal annealing. For the first time, phosph(on)ates were used as an adsorbate to form self-assembled monolayers (SAMs) on alumina to direct the assembly of NPs

  20. Correlation between surface chemistry and settlement behaviour in barnacle cyprids (Balanus improvisus).

    Science.gov (United States)

    Di Fino, A; Petrone, L; Aldred, N; Ederth, T; Liedberg, B; Clare, A S

    2014-02-01

    In laboratory-based biofouling assays, the influence of physico-chemical surface characteristics on barnacle settlement has been tested most frequently using the model organism Balanus amphitrite (= Amphibalanus amphitrite). Very few studies have addressed the settlement preferences of other barnacle species, such as Balanus improvisus (= Amphibalanus improvisus). This study aimed to unravel the effects of surface physico-chemical cues, in particular surface-free energy (SFE) and surface charge, on the settlement of cyprids of B. improvisus. The use of well-defined surfaces under controlled conditions further facilitates comparison of the results with recent similar data for B. amphitrite. Zero-day-old cyprids of B. improvisus were exposed to a series of model surfaces, namely self-assembled monolayers (SAMs) of alkanethiols with varying end-groups, homogenously applied to gold-coated polystyrene (PS) Petri dishes. As with B. amphitrite, settlement of cyprids of B. improvisus was influenced by both SFE and charge, with higher settlement on low-energy (hydrophobic) surfaces and negatively charged SAMs. Positively charged SAMs resulted in low settlement, with intermediate settlement on neutral SAMs of similar SFE. In conclusion, it is demonstrated that despite previous suggestions to the contrary, these two species of barnacle show similar preferences in response to SFE; they also respond similarly to charge. These findings have positive implications for the development of novel antifouling (AF) coatings and support the importance of consistency in substratum choice for assays designed to compare surface preferences of fouling organisms.

  1. The additional phase transition of DPPC monolayers at high surface pressure confirmed by GIXD study

    DEFF Research Database (Denmark)

    Shen, Chen; Serna, Jorge B. de la; Struth, Bernd

    Pulmonary surfactant forms the alveolar monolayer at the air/aqueous interface within the lung. During the breathing process, the surface pressure periodically varies from ~40mN/m up to ~70mN/m. The film is mechanically stable during this rapid and reversible expansion. The monolayer consists...... of the alveolae monolayer and at the same time allows reduction of the interfacial tension to ~0mN/m....

  2. "Living" free radical photopolymerization initiated from surface-grafted iniferter monolayers

    NARCIS (Netherlands)

    de Boer, B.; Simon, H.K.; Werts, M.P L; van der Vegte, E.W.; Hadziioannou, G

    2000-01-01

    A method for chemically modifying a surface with grafted monolayers of initiator groups, which can be used for a "living" free radical photopolymerization, is described. By using "living" free radical polymerizations, we were able to control the length of the grafted polymer chains and therefore the

  3. Transfer plate radioassay using cell monolayers to detect anti-cell surface antibodies synthesized by lymphocyte hybridomas

    International Nuclear Information System (INIS)

    Schneider, M.D.; Eisenbarth, G.S.

    1979-01-01

    A solid phase [ 125 I] Protein A radioassay for anti-cell surface antibodies is described, which employs target cell monolayers cultured on fenestrated polyvinyl chloride 96-well plates ('transfer plates'). The calibrated aperture in the bottom of each well is small enough to retain fluid contents by surface tension during monolayer growth, but also permits fluid to enter the wells when transfer plate are lowered into receptacles containing washing buffer on test sera. To assay for antibodies directed against target cell surface antigens, transfer plates bearing monolayers are inserted into microculture plates with corresponding 96-well geometry, thereby simultaneously sampling 96 wells. This assay allows rapid screening of hundreds of hybrid cell colonies for production of antibodies with desired tissue specificity. (Auth.)

  4. The interaction of trace heavy metal with lipid monolayer in the sea surface microlayer.

    Science.gov (United States)

    Li, Siyang; Du, Lin; Tsona, Narcisse T; Wang, Wenxing

    2018-04-01

    Lipid molecules and trace heavy metals are enriched in sea surface microlayer and can be transferred into the sea spray aerosol. To better understand their impact on marine aerosol generation and evolution, we investigated the interaction of trace heavy metals including Fe 3+ , Pb 2+ , Zn 2+ , Cu 2+ , Ni 2+ , Cr 3+ , Cd 2+ , and Co 2+ , with dipalmitoylphosphatidylcholine (DPPC) monolayers at the air-water interface. Phase behavior of the DPPC monolayer on heavy metal solutions was probed with surface pressure-area (π-A) isotherms. The conformation order and orientation of DPPC alkyl chains were characterized by infrared reflection-absorption spectroscopy (IRRAS). The π-A isotherms show that Zn 2+ and Fe 3+ strongly interact with DPPC molecules, and induce condensation of the monolayers in a concentration-dependent manner. IRRAS spectra show that the formation of cation-DPPC complex gives rise to conformational changes and immobilization of the headgroups. The current results suggest that the enrichment of Zn 2+ in sea spray aerosols is due to strong binding to the DPPC film. The interaction of Fe 3+ with DPPC monolayers can significantly influence their surface organizations through the formation of lipid-coated particles. These results suggest that the sea surface microlayer is capable of accumulating much higher amounts of these metals than the subsurface water. The organic and metal pollutants may transfer into the atmosphere by this interaction. Copyright © 2017 Elsevier Ltd. All rights reserved.

  5. Chemical films and monolayers on the water surface and their interactions with ultraviolet radiation: a pilot investigation

    International Nuclear Information System (INIS)

    Schouten, Peter; Lemckert, Charles; Underhill, Ian; Turner, Geoff; Turnbull, David; Parisi, Alfio; Downs, Nathan

    2011-01-01

    Over the past 50 years numerous types of chemical films and monolayers have been deployed on top of a wide variety of water reserves in an endeavour to reduce evaporation. To date very little knowledge has been assimilated on how these chemical films and monolayers, once applied to a water surface, influence the underwater UV light field and, in turn, the delicate ecosystems that exist in aquatic environments. This manuscript presents underwater UV exposure profiles weighted to the DNA damage action spectrum measured under an octadecanol/hexadecanol/lime chemical film mixture, a silicone-based chemical film and an octadecanol monolayer applied to the water surface. UV transmission and absorption properties were also evaluated for each of these chemical films and monolayers. From this it was found that when chemical films/monolayers are applied to surface water they can reduce the penetration of biologically effective UV into the water column by up to 85% at a depth as small as 1 cm. This could have a positive influence on the aquatic ecosystem, as harmful UV radiation may be prevented from reaching and consequently damaging a variety of life forms or it could have a negative effect by potentially stopping aquatic organisms from adapting to solar ultraviolet radiation over extended application intervals. Additionally, there is currently no readily applicable system or technique available to readily detect or visualize chemical films and monolayers on the water surface. To overcome this problem a new method of monolayer and chemical film visualization, using a UV camera system, is detailed and tested and its applicability for usage in both laboratory-based trials and real-world operations is evaluated

  6. Chemical films and monolayers on the water surface and their interactions with ultraviolet radiation: a pilot investigation

    Science.gov (United States)

    Schouten, Peter; Lemckert, Charles; Turnbull, David; Parisi, Alfio; Downs, Nathan; Underhill, Ian; Turner, Geoff

    2011-06-01

    Over the past 50 years numerous types of chemical films and monolayers have been deployed on top of a wide variety of water reserves in an endeavour to reduce evaporation. To date very little knowledge has been assimilated on how these chemical films and monolayers, once applied to a water surface, influence the underwater UV light field and, in turn, the delicate ecosystems that exist in aquatic environments. This manuscript presents underwater UV exposure profiles weighted to the DNA damage action spectrum measured under an octadecanol/hexadecanol/lime chemical film mixture, a silicone-based chemical film and an octadecanol monolayer applied to the water surface. UV transmission and absorption properties were also evaluated for each of these chemical films and monolayers. From this it was found that when chemical films/monolayers are applied to surface water they can reduce the penetration of biologically effective UV into the water column by up to 85% at a depth as small as 1 cm. This could have a positive influence on the aquatic ecosystem, as harmful UV radiation may be prevented from reaching and consequently damaging a variety of life forms or it could have a negative effect by potentially stopping aquatic organisms from adapting to solar ultraviolet radiation over extended application intervals. Additionally, there is currently no readily applicable system or technique available to readily detect or visualize chemical films and monolayers on the water surface. To overcome this problem a new method of monolayer and chemical film visualization, using a UV camera system, is detailed and tested and its applicability for usage in both laboratory-based trials and real-world operations is evaluated.

  7. Molecular Fin Effect from Heterogeneous Self-Assembled Monolayer Enhances Thermal Conductance across Hard-Soft Interfaces.

    Science.gov (United States)

    Wei, Xingfei; Zhang, Teng; Luo, Tengfei

    2017-10-04

    Thermal transport across hard-soft interfaces is critical to many modern applications, such as composite materials, thermal management in microelectronics, solar-thermal phase transition, and nanoparticle-assisted hyperthermia therapeutics. In this study, we use equilibrium molecular dynamics (EMD) simulations combined with the Green-Kubo method to study how molecularly heterogeneous structures of the self-assembled monolayer (SAM) affect the thermal transport across the interfaces between the SAM-functionalized gold and organic liquids (hexylamine, propylamine and hexane). We focus on a practically synthesizable heterogeneous SAM featuring alternating short and long molecular chains. Such a structure is found to improve the thermal conductance across the hard-soft interface by 46-68% compared to a homogeneous nonpolar SAM. Through a series of further simulations and analyses, it is found that the root reason for this enhancement is the penetration of the liquid molecules into the spaces between the long SAM molecule chains, which increase the effective contact area. Such an effect is similar to the fins used in macroscopic heat exchanger. This "molecular fin" structure from the heterogeneous SAM studied in this work provides a new general route for enhancing thermal transport across hard-soft material interfaces.

  8. Atomic force measurements of 16-mercaptohexadecanoic acid and its salt with CH3, OH, and CONHCH3 functionalized self-assembled monolayers

    International Nuclear Information System (INIS)

    Morales-Cruz, Angel L.; Tremont, Rolando; Martinez, Ramon; Roman-tilde ach, Rodolfo; Cabrera, Carlos R.

    2005-01-01

    Chemical and mechanical properties of different compounds can be elucidated by measuring fundamental forces such as adhesion, attraction and repulsion, between modified surfaces by means of atomic force microscopy (AFM) in force mode calibration. This work presents a combination of AFM, self-assembled monolayers (SAMs), and crystallization techniques to study the forces of interaction between excipients and active ingredients used in pharmaceutical formulations. SAMs of 16-mercaptohexadecanoate, which represent magnesium stereate, were used to modify the probe tip, whereas CH 3 -, OH- and CONHCH 3 -functional SAMs were formed on a gold-coated mica substrate, and used as examples of the surfaces of lactose and theophylline. The crystals of lactose and theophylline were characterized by scanning electron microscopy (SEM) and X-ray diffraction (XRD). The modification of gold surfaces with 16-mercaptohexadecanoate, 10-mercapto-1-decanol (OH-functional SAM), 1-decanethiol (CH 3 -functional) and N-methyl-11-mercaptoundecanamide (CONHCH 3 -functional SAM) was studied by X-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES) and Fourier transform-infrared spectroscopy (FT-IR) in specular reflectance mode. XPS and AES results of the modified surfaces showed the presence of sulfur binding, and kinetic energies that correspond to the presence of 10-mercapto-1-decanol, 1-decanethiol, N-methyl-11-mercaptoundecanamide and the salt of 16-mercaptohexadecanoic acid. The absorption bands in the IR spectra further confirm the modification of the gold-coated substrates with these compounds. Force versus distance measurements were performed between the modified tip and the modified gold-coated mica substrates. The mean adhesion forces between the COO - Ca 2+ functionalized tip and the CH 3 -, OH-, and CONHCH 3 -modified substrates were determined to be 4.5, 8.9 and 6.3 nN, respectively. The magnitude of the adhesion force (ion-dipole) interaction between the modified

  9. Optical imaging beyond the diffraction limit by SNEM: Effects of AFM tip modifications with thiol monolayers on imaging quality

    Energy Technology Data Exchange (ETDEWEB)

    Cumurcu, Aysegul [Materials Science and Technology of Polymers, MESA+ Institute for Nanotechnology, University of Twente, Enschede NL-7500 (Netherlands); Dutch Polymer Institute (DPI), P.O. Box 902, 5600 AX, Eindhoven (Netherlands); Diaz, Jordi [Scientific and Technological Centers of the University of Barcelona, C/ Lluís Solé i Sabaris, 1-3, 08028 Barcelona (Spain); Lindsay, Ian D. [Nanophysics and Soft Matter Group, H.H. Wills Physics Laboratory, University of Bristol, Tyndall Avenue, Bristol BS8 1TL (United Kingdom); Beer, Sissi de; Duvigneau, Joost [Materials Science and Technology of Polymers, MESA+ Institute for Nanotechnology, University of Twente, Enschede NL-7500 (Netherlands); Schön, Peter [Materials Science and Technology of Polymers, MESA+ Institute for Nanotechnology, University of Twente, Enschede NL-7500 (Netherlands); NanoBioInterface, Research Center Design and Technology, Saxion University of Applied Sciences, 7500 KB Enschede (Netherlands); Julius Vancso, G., E-mail: g.j.vancso@utwente.nl [Materials Science and Technology of Polymers, MESA+ Institute for Nanotechnology, University of Twente, Enschede NL-7500 (Netherlands)

    2015-03-15

    Tip-enhanced nanoscale optical imaging techniques such as apertureless scanning near-field optical microscopy (a-SNOM) and scanning near-field ellipsometric microscopy (SNEM) applications can suffer from a steady degradation in performance due to adhesion of atmospheric contaminants to the metal coated tip. Here, we demonstrate that a self-assembled monolayer (SAM) of ethanethiol (EtSH) is an effective means of protecting gold-coated atomic force microscopy (AFM) probe tips from accumulation of surface contaminants during prolonged exposure to ambient air. The period over which they yield consistent and reproducible results for scanning near-field ellipsometric microscopy (SNEM) imaging is thus extended. SNEM optical images of a microphase separated polystyrene-block-poly (methylmethacrylate) (PS-b-PMMA) diblock copolymer film, which were captured with bare and SAM-protected gold-coated AFM probes, both immediately after coating and following five days of storage in ambient air, were compared. During this period the intensity of the optical signals from the untreated gold tip fell by 66%, while those from the SAM protected tip fell by 14%. Additionally, gold coated AFM probe tips were modified with various lengths of alkanethiols to measure the change in intensity variation in the optical images with SAM layer thickness. The experimental results were compared to point dipole model calculations. While a SAM of 1-dodecanethiol (DoSH) was found to strongly suppress field enhancement we find that it can be locally removed from the tip apex by deforming the molecules under load, restoring SNEM image contrast. - Highlights: • SAM of ethanethiol is used to prevent contamination of gold coated tips. • Functionalizing gold coated tips with a SAM lead to reproducible SNEM imaging. • Point dipole model agreed with the experimental results of the SNEM images. • SAM of 1-dodecanethiol was found to strongly suppress field enhancement in SNEM. • SAM of 1-dodecanethiol

  10. Interfacial engineering of self-assembled monolayer modified semi-roll-to-roll planar heterojunction perovskite solar cells on flexible substrates

    DEFF Research Database (Denmark)

    Gu, Zhuowei; Zuo, Lijian; Larsen-Olsen, Thue Trofod

    2015-01-01

    The morphologies of the perovskite (e.g. CH3NH3PbI3) layer are demonstrated to be critically important for highly efficient perovskite solar cells. This work applies 3-aminopropanoic acid as a self-assembled monolayer (C3-SAM) on a poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT...... temperature conditions (processing temperature deposition. The roll-coated perovskite film on C3-SAM modified PEDOT:PSS presents a similar trend of improvement and results in enhanced PCE from...

  11. Dip-Pen Nanolithography on (Bio)Reactive Monolayer and Block-Copolymer Platforms: Deposition of Lines of Single Macromolecules

    NARCIS (Netherlands)

    Salazar, Ramon B.; Shovsky, A.; Schönherr, Holger; Vancso, Gyula J.

    2006-01-01

    The application of atomic force microscopy (AFM) tip-mediated molecular transfer (dip-pen nanolithography or DPN) to fabricate nanopatterned (bio)reactive platforms based on dendrimers on reactive self-assembled monolayer (SAM) and polymer thin films is discussed. The transfer of high-molar-mass

  12. Threshold-Voltage Shifts in Organic Transistors Due to Self-Assembled Monolayers at the Dielectric: Evidence for Electronic Coupling and Dipolar Effects.

    Science.gov (United States)

    Aghamohammadi, Mahdieh; Rödel, Reinhold; Zschieschang, Ute; Ocal, Carmen; Boschker, Hans; Weitz, R Thomas; Barrena, Esther; Klauk, Hagen

    2015-10-21

    The mechanisms behind the threshold-voltage shift in organic transistors due to functionalizing of the gate dielectric with self-assembled monolayers (SAMs) are still under debate. We address the mechanisms by which SAMs determine the threshold voltage, by analyzing whether the threshold voltage depends on the gate-dielectric capacitance. We have investigated transistors based on five oxide thicknesses and two SAMs with rather diverse chemical properties, using the benchmark organic semiconductor dinaphtho[2,3-b:2',3'-f]thieno[3,2-b]thiophene. Unlike several previous studies, we have found that the dependence of the threshold voltage on the gate-dielectric capacitance is completely different for the two SAMs. In transistors with an alkyl SAM, the threshold voltage does not depend on the gate-dielectric capacitance and is determined mainly by the dipolar character of the SAM, whereas in transistors with a fluoroalkyl SAM the threshold voltages exhibit a linear dependence on the inverse of the gate-dielectric capacitance. Kelvin probe force microscopy measurements indicate this behavior is attributed to an electronic coupling between the fluoroalkyl SAM and the organic semiconductor.

  13. Optical imaging beyond the diffraction limit by SNEM: effects of AFM tip modifications with thiol monolayers on imaging quality.

    Science.gov (United States)

    Cumurcu, Aysegul; Diaz, Jordi; Lindsay, Ian D; de Beer, Sissi; Duvigneau, Joost; Schön, Peter; Julius Vancso, G

    2015-03-01

    Tip-enhanced nanoscale optical imaging techniques such as apertureless scanning near-field optical microscopy (a-SNOM) and scanning near-field ellipsometric microscopy (SNEM) applications can suffer from a steady degradation in performance due to adhesion of atmospheric contaminants to the metal coated tip. Here, we demonstrate that a self-assembled monolayer (SAM) of ethanethiol (EtSH) is an effective means of protecting gold-coated atomic force microscopy (AFM) probe tips from accumulation of surface contaminants during prolonged exposure to ambient air. The period over which they yield consistent and reproducible results for scanning near-field ellipsometric microscopy (SNEM) imaging is thus extended. SNEM optical images of a microphase separated polystyrene-block-poly (methylmethacrylate) (PS-b-PMMA) diblock copolymer film, which were captured with bare and SAM-protected gold-coated AFM probes, both immediately after coating and following five days of storage in ambient air, were compared. During this period the intensity of the optical signals from the untreated gold tip fell by 66%, while those from the SAM protected tip fell by 14%. Additionally, gold coated AFM probe tips were modified with various lengths of alkanethiols to measure the change in intensity variation in the optical images with SAM layer thickness. The experimental results were compared to point dipole model calculations. While a SAM of 1-dodecanethiol (DoSH) was found to strongly suppress field enhancement we find that it can be locally removed from the tip apex by deforming the molecules under load, restoring SNEM image contrast. Copyright © 2014 Elsevier B.V. All rights reserved.

  14. Determining the thickness of aliphatic alcohol monolayers covalently attached to silicon oxide surfaces using angle-resolved X-ray photoelectron spectroscopy

    Science.gov (United States)

    Lee, Austin W. H.; Kim, Dongho; Gates, Byron D.

    2018-04-01

    The thickness of alcohol based monolayers on silicon oxide surfaces were investigated using angle-resolved X-ray photoelectron spectroscopy (ARXPS). Advantages of using alcohols as building blocks for the formation of monolayers include their widespread availability, ease of handling, and stability against side reactions. Recent progress in microwave assisted reactions demonstrated the ease of forming uniform monolayers with alcohol based reagents. The studies shown herein provide a detailed investigation of the thickness of monolayers prepared from a series of aliphatic alcohols of different chain lengths. Monolayers of 1-butanol, 1-hexanol, 1-octanol, 1-decanol, and 1-dodecanol were each successfully formed through microwave assisted reactions and characterized by ARXPS techniques. The thickness of these monolayers consistently increased by ∼1.0 Å for every additional methylene (CH2) within the hydrocarbon chain of the reagents. Tilt angles of the molecules covalently attached to silicon oxide surfaces were estimated to be ∼35° for each type of reagent. These results were consistent with the observations reported for thiol based or silane based monolayers on either gold or silicon oxide surfaces, respectively. The results of this study also suggest that the alcohol based monolayers are uniform at a molecular level.

  15. Scanning tunneling microscopy studies of glucose oxidase on gold surface

    International Nuclear Information System (INIS)

    Losic, D.; Shapter, J.G.; Gooding, J.J.

    2002-01-01

    Full text: Three immobilization methods have been used for scanning tunneling microscopy (STM) studies of glucose oxidase (GOD) on gold. They are based on a) physical adsorption from solution, b) microcontact printing and c) covalent bonding onto self-assembled monolayers (SAM) of 3-mercaptopropionic acid (MPA). The STM images are used to provide information about the organization of individual GOD molecules and more densely packed monolayers of GOD on electrode surfaces, thus providing information of the role of interfacial structure on biosensor performance. The use of atomically flat gold substrates enables easy distinction of deposited enzyme features from the flat gold substrate. Microcontact printing is found to be a more reliable method than adsorption from solution for preparing individual GOD molecules on the gold surface STM images of printed samples reveal two different shapes of native GOD molecules. One is a butterfly shape with dimensions of 10 ± 1 nm x 6 ± 1 nm, assigned to the lying position of molecule while the second is an approximately spherical shape with dimensions of 6.5 ± 1 nm x 5 ± 1nm assigned to a standing position. Isolated clusters of 5 to 6 GOD molecules are also observed. With monolayer coverage, GOD molecules exhibit a tendency to organize themselves into a two dimensional array with adequate sample stability to obtain high-resolution STM images. Within these two-dimensional arrays are clearly seen repeating clusters of five to six enzyme molecules in a unit STM imaging of GOD monolayers covalently immobilized onto SAM (MPA) are considerably more difficult than when the enzyme is adsorbed directly onto the metal. Cluster structures are observed both high and low coverage despite the fact that native GOD is a negatively charged molecule. Copyright (2002) Australian Society for Electron Microscopy Inc

  16. Surface force measurements and simulations of mussel-derived peptide adhesives on wet organic surfaces.

    Science.gov (United States)

    Levine, Zachary A; Rapp, Michael V; Wei, Wei; Mullen, Ryan Gotchy; Wu, Chun; Zerze, Gül H; Mittal, Jeetain; Waite, J Herbert; Israelachvili, Jacob N; Shea, Joan-Emma

    2016-04-19

    Translating sticky biological molecules-such as mussel foot proteins (MFPs)-into synthetic, cost-effective underwater adhesives with adjustable nano- and macroscale characteristics requires an intimate understanding of the glue's molecular interactions. To help facilitate the next generation of aqueous adhesives, we performed a combination of surface forces apparatus (SFA) measurements and replica-exchange molecular dynamics (REMD) simulations on a synthetic, easy to prepare, Dopa-containing peptide (MFP-3s peptide), which adheres to organic surfaces just as effectively as its wild-type protein analog. Experiments and simulations both show significant differences in peptide adsorption on CH3-terminated (hydrophobic) and OH-terminated (hydrophilic) self-assembled monolayers (SAMs), where adsorption is strongest on hydrophobic SAMs because of orientationally specific interactions with Dopa. Additional umbrella-sampling simulations yield free-energy profiles that quantitatively agree with SFA measurements and are used to extract the adhesive properties of individual amino acids within the context of MFP-3s peptide adhesion, revealing a delicate balance between van der Waals, hydrophobic, and electrostatic forces.

  17. Theoretical and experimental study of the vibrational excitations in ethane monolayers adsorbed on graphite (0001) surfaces

    DEFF Research Database (Denmark)

    Hansen, Flemming Yssing; Taub, H.

    1987-01-01

    The collective vibrational excitations of two different crystalline monolayer phases of ethane (C2H6) adsorbed on the graphite (0001) surface have been investigated theoretically and experimentally. The monolayer phases studied are the commensurate 7/8 ×4 structure in which the ethane molecules lie...

  18. Sam37 is crucial for formation of the mitochondrial TOM-SAM supercomplex, thereby promoting β-barrel biogenesis.

    Science.gov (United States)

    Wenz, Lena-Sophie; Ellenrieder, Lars; Qiu, Jian; Bohnert, Maria; Zufall, Nicole; van der Laan, Martin; Pfanner, Nikolaus; Wiedemann, Nils; Becker, Thomas

    2015-09-28

    Biogenesis of mitochondrial β-barrel proteins requires two preprotein translocases, the general translocase of the outer membrane (TOM) and the sorting and assembly machinery (SAM). TOM and SAM form a supercomplex that promotes transfer of β-barrel precursors. The SAM core complex contains the channel protein Sam50, which cooperates with Sam35 in precursor recognition, and the peripheral membrane protein Sam37. The molecular function of Sam37 has been unknown. We report that Sam37 is crucial for formation of the TOM-SAM supercomplex. Sam37 interacts with the receptor domain of Tom22 on the cytosolic side of the mitochondrial outer membrane and links TOM and SAM complexes. Sam37 thus promotes efficient transfer of β-barrel precursors to the SAM complex. We conclude that Sam37 functions as a coupling factor of the translocase supercomplex of the mitochondrial outer membrane. © 2015 Wenz et al.

  19. Sub-monolayer dot vertical-cavity surface-emitting lasers

    International Nuclear Information System (INIS)

    Blokhin, S.A.; Maleev, N.A.; Kuz'menkov, A.G.

    2006-01-01

    Vertical-cavity surface-emitting lasers (VCSELs) based on submonolayer InGaAs quantum-dot active region and doped with AlGaAs/GaAs distributed Bragg reflectors were grown by molecular beam epitaxy. 3 μm aperture single-mode VCSELs demonstrate lasing at 980 nm with threshold current of 0.6 mA, maximum output power of 4 mW and external differential efficiency as high as 68%. Ultimately low internal optical losses were measured for these multimode sub-monolayer quantum dot VCSELs [ru

  20. Infrared beam-steering using acoustically modulated surface plasmons over a graphene monolayer

    KAUST Repository

    Chen, Paiyen; Farhat, Mohamed; Askarpour, Amir Nader; Tymchenko, Mykhailo; Alù , Andrea

    2014-01-01

    We model and design a graphene-based infrared beamformer based on the concept of leaky-wave (fast traveling wave) antennas. The excitation of infrared surface plasmon polaritons (SPPs) over a 'one-atom-thick' graphene monolayer is typically

  1. Electrochemical detection of Cd2+ ions by a self-assembled monolayer of 1,9-nonanedithiol on gold

    International Nuclear Information System (INIS)

    Malel, Esteban; Sinha, Jatin K.; Zawisza, Izabella; Wittstock, Gunther; Mandler, Daniel

    2008-01-01

    The application of 1,9-nonanedithiol (NDT) self-assembled monolayer (SAM) on gold for the electrochemical determination of Cd 2+ was studied. Interestingly, we found that a NDT SAM strongly affects the stripping wave of Cd, resulting in a sharp peak that was used for electroanalytical determination of Cd 2+ in aqueous solutions. The different parameters, such as potential and time of deposition of Cd, were examined. Furthermore, polarization-modulated infrared reflection absorption spectroscopy (PM IRRAS) and X-ray photoelectron spectroscopy (XPS) were used for exploring the interaction between the deposited Cd and the thiol groups on Au. FTIR measurements clearly indicate that NDT is assembled in a disordered liquid type monolayer interacting with the Au electrode via both thiol moieties. XPS reveals that Cd is stripped at two different potentials and that the signal of sulfur is almost unchanged by deposition and desorption of Cd. All these finding allude to the interesting conclusion that Cd is deposited on Au lifting to some extent the thiol groups

  2. “Living” Free Radical Photopolymerization Initiated from Surface-Grafted Iniferter Monolayers

    NARCIS (Netherlands)

    Boer, B. de; Simon, H.K.; Werts, M.P.L.; Vegte, E.W. van der; Hadziioannou, G.

    2000-01-01

    A method for chemically modifying a surface with grafted monolayers of initiator groups, which can be used for a “living” free radical photopolymerization, is described. By using “living” free radical polymerizations, we were able to control the length of the grafted polymer chains and therefore the

  3. Molecular tilt-dependent and tyrosine-enhanced electron transfer across ITO/SAM/[DPPC–Au NP–Tyrosine] Janus nanoparticle junction

    Energy Technology Data Exchange (ETDEWEB)

    Sarangi, Nirod Kumar; Patnaik, Archita, E-mail: archita59@yahoo.com [Indian Institute of Technology Madras, Department of Chemistry (India)

    2016-09-15

    Enhanced interfacial electron transfer (ET) across the otherwise insulating indium tin oxide/alkanethiol self-assembled monolayer (SAM)/redox molecule junction was accomplished when a Janus gold nanoparticle (JNP) protected by bioinspired phosphatidylcholine (DPPC) lipid and tyrosine amino acid ligands was anchored on it. In addition to the most theoretical and experimental investigations on the distance-dependent ET across Metal–Organic SAM–Nanoparticle (NP) architectures, the current results succinctly illustrate molecular tilt angle of the SAM and the characteristic of JNP as key factors in expediting the ET rate via electron tunneling. In the absence of JNP, electron tunneling with a tunneling factor β = 1.1 Å{sup −1} across the SAM was the rate-limiting step, evidenced from electrochemical impedance spectroscopy (EIS). The apparent electron transfer rate constant (k{sub app}{sup 0}) for anchored SAM was enhanced by at least one order of magnitude than the DPPC-only protected nanoparticle, suggesting the potential role of tyrosine towards the enhanced ET. The asymmetric and biogenic nature of the construct sheds light on a potential bioelectronic device for novel electronic attributes.Graphical abstractEntry of TOC .

  4. Stable functionalization of germanium surface and its application in biomolecules immobilization

    Energy Technology Data Exchange (ETDEWEB)

    Cai, Qi [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No.865, Changning Road, Shanghai 200050 (China); University of Chinese Academy of Sciences, No.19A, Yuquan Road, Beijing 100049 (China); Xu, Baojian [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No.865, Changning Road, Shanghai 200050 (China); Ye, Lin [Sate Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No.865, Changning Road, Shanghai 200050 (China); University of Chinese Academy of Sciences, No.19A, Yuquan Road, Beijing 100049 (China); Tang, Teng; Huang, Shanluo; Du, Xiaowei [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No.865, Changning Road, Shanghai 200050 (China); University of Chinese Academy of Sciences, No.19A, Yuquan Road, Beijing 100049 (China); Bian, Xiaojun; Zhang, Jishen [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No.865, Changning Road, Shanghai 200050 (China); Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn [Sate Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No.865, Changning Road, Shanghai 200050 (China); Jin, Qinghui [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No.865, Changning Road, Shanghai 200050 (China); Zhao, Jianlong, E-mail: jlzhao@mail.sim.ac.cn [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No.865, Changning Road, Shanghai 200050 (China)

    2014-10-15

    Highlights: • An effective method to immobilize biomolecules on the functionalized Ge surface. • The surface of Ge was functionalized with 11-Mercaptoundecanoic acid (11-MUA). • Stable and uniform SAMs was obtained on Ge surface after 11-MUA treatment. • The functionalized Ge was employed as substrate for protein immobilization. • Paving the way of Ge for further applications in bioelectronics field. - Abstract: As a typical semiconductor material, germanium (Ge) has the potential to be utilized in microelectronics and bioelectronics. Herein, we present a simple and effective method to immobilize biomolecules on the surface of functionalized Ge. The surface oxide of Ge was removed with the pretreatment of hydrochloric acid and the Cl-terminated Ge reacted with 11-Mercaptoundecanoic acid (11-MUA). The surface of Ge was coated with 11-MUA self-assembled monolayers (SAMs) due to the bonding reaction between the sulfhydryl group of 11-MUA and Cl-terminated Ge. Furthermore, typical biomolecule, a green fluorescent protein was chosen to be immobilized on the surface of the functionalized Ge. Contact angle analysis, atomic force microscopy and X-ray photoelectron spectroscopy were used to study the characteristics including wettability, stability, roughness and component of the functionalized Ge, respectively. Fluorescence microscopy was utilized to indicate the efficiency of protein immobilization on the surface of the functionalized Ge. With these studies, stable and uniform functionalized monolayer was obtained on the surface of Ge after 11-MUA treatment and the functionalized Ge was effectively applied in protein immobilization. Furthermore, this study may pave the way for further applications such as the integration of bioelectronics and biosensors with the attractive semiconductor material-Ge in future work.

  5. Correlation of Effective Dispersive and Polar Surface Energies in Heterogeneous Self-Assembled Monolayer Coatings

    DEFF Research Database (Denmark)

    Zhuang, Yanxin; Hansen, Ole

    2009-01-01

    grown oil oxidized (100) silicon Surfaces in a vapor phase process using five different precursors. Experimentally, effective surface energy components of the fluorocarbon self-assembled monolayers were determined from measured contact angles using the Owens-Wendt-Rabel-Kaelble method. We show...

  6. The impact of surface coverage on the kinetics of electron transfer through redox monolayers on a silicon electrode surface

    International Nuclear Information System (INIS)

    Ciampi, Simone; Choudhury, Moinul H.; Ahmad, Shahrul Ainliah Binti Alang; Darwish, Nadim; Brun, Anton Le; Gooding, J.Justin

    2015-01-01

    Graphical abstract: The impact of surface coverage on the kinetics of electron transfer through redox monolayers on a silicon electrode surface. ABSTRACT: The impact of the coverage of ferrocene moieties, attached to a silicon electrode modified via hydrosilylation of a dialkyne, on the kinetics of electron transfer between the redox species and the electrode is explored. The coverage of ferrocene is controlled by varying the coupling time between azidomethylferrocene and the distal alkyne of the monolayer via the copper assisted azide-alkyne cycloaddition reaction. All other variables in the surface preparation are maintained identical. What is observed is that the higher the surface coverage of the ferrocene moieties the faster the apparent rates of electron transfer. This surface coverage-dependent kinetic effect is attributed to electrons hopping between ferrocene moieties across the redox film toward hotspots for the electron transfer event. The origin of these hotspots is tentatively suggested to result from minor amounts of oxide on the underlying silicon surface that reduce the barrier for the electron transfer.

  7. Chemical modification of glass surface with a monolayer of nonchromophoric and chromophoric methacrylate terpolymer

    Energy Technology Data Exchange (ETDEWEB)

    Janik, Ryszard [Department of Polymer Engineering and Technology, Wroclaw University of Technology, 50-370 Wroclaw (Poland); Kucharski, Stanislaw, E-mail: stanislaw.kucharski@pwr.wroc.pl [Department of Polymer Engineering and Technology, Wroclaw University of Technology, 50-370 Wroclaw (Poland); Sobolewska, Anna [Institute of Physical and Theoretical Chemistry, Wroclaw University of Technology, 50-370 Wroclaw (Poland); Barille, Regis [Institut des Sciences et Techniques Moleculaires d' Angers ' Moltech Anjou' , CNRS UMR 6200, 49045 Angers (France)

    2010-11-15

    The methacrylate terpolymers, a nonchromophoric and chromophoric one, containing 2-hydroxyethyl groups were reacted with 3-isocyanatopropyltriethoxysilane to obtain reactive polymers able to form covalent bonding with -SiOH groups of the glass surface via triethoxysilane group condensation. Chemical modification of the Corning 2949 glass plates treated in this way resulted in increase of wetting angle from 11{sup o} to ca. 70-73{sup o}. Determination of ellipsometric parameters revealed low value of the substrate refractive index as compared with that of bulk Corning 2949 glass suggesting roughness of the surface. The AFM image of the bare glass surface and that modified with terpolymer monolayer confirmed this phenomenon. Modification of the glass with the terpolymer monolayer made it possible to create the substrate surface well suited for deposition of familiar chromophore film by spin-coating. The chromophore polymer film deposited onto the modified glass surface was found to be resistant to come unstuck in aqueous solution.

  8. Tunable band gap and optical properties of surface functionalized Sc2C monolayer

    International Nuclear Information System (INIS)

    Wang Shun; Du Yu-Lei; Liao Wen-He

    2017-01-01

    Using the density functional theory, we have investigated the electronic and optical properties of two-dimensional Sc 2 C monolayer with OH, F, or O chemical groups. The electronic structures reveal that the functionalized Sc 2 C monolayers are semiconductors with a band gap of 0.44–1.55 eV. The band gap dependent optical parameters, like dielectric function, absorption coefficients, reflectivity, loss function, and refraction index were also calculated for photon energy up to 20 eV. At the low-energy region, each optical parameter shifts to red, and the peak increases obviously with the increase of the energy gap. Consequently, Sc 2 C monolayer with a tunable band gap by changing the type of surface chemical groups is a promising 2D material for optoelectronic devices. (paper)

  9. Well-ordered structure of methylene blue monolayers on Au(111) surface: electrochemical scanning tunneling microscopy studies.

    Science.gov (United States)

    Song, Yonghai; Wang, Li

    2009-02-01

    Well-ordered structure of methylene blue (MB) monolayers on Au(111) surface has been successfully obtained by controlling the substrate potential. Electrochemical scanning tunneling microscopy (ECSTM) examined the monolayers of MB on Au(111) in 0.1 M HClO(4) and showed long-range ordered, interweaved arrays of MB with quadratic symmetry on the substrate in the potential range of double-layer charging. High-resolution ECSTM image further revealed the details of the MB monolayers structure of c(5 x 5 radical 3)rect and the flat-lying orientation of ad-molecules. The dependence of molecular organization on the substrate potential and the formation mechanism of well-ordered structure on Au(111) surface were investigated in detail. The obtained well-ordered structure at the interface between a metal and an aqueous electrolyte might possibly be used as high-density device for signal memory and templates for the advanced nanopatterning of surfaces. (c) 2008 Wiley-Liss, Inc.

  10. SAM-VI RNAs selectively bind S-adenosylmethionine and exhibit similarities to SAM-III riboswitches.

    Science.gov (United States)

    Mirihana Arachchilage, Gayan; Sherlock, Madeline E; Weinberg, Zasha; Breaker, Ronald R

    2018-03-04

    Five distinct riboswitch classes that regulate gene expression in response to the cofactor S-adenosylmethionine (SAM) or its metabolic breakdown product S-adenosylhomocysteine (SAH) have been reported previously. Collectively, these SAM- or SAH-sensing RNAs constitute the most abundant collection of riboswitches, and are found in nearly every major bacterial lineage. Here, we report a potential sixth member of this pervasive riboswitch family, called SAM-VI, which is predominantly found in Bifidobacterium species. SAM-VI aptamers selectively bind the cofactor SAM and strongly discriminate against SAH. The consensus sequence and structural model for SAM-VI share some features with the consensus model for the SAM-III riboswitch class, whose members are mainly found in lactic acid bacteria. However, there are sufficient differences between the two classes such that current bioinformatics methods separately cluster representatives of the two motifs. These findings highlight the abundance of RNA structures that can form to selectively recognize SAM, and showcase the ability of RNA to utilize diverse strategies to perform similar biological functions.

  11. Switching on/off the chemisorption of thioctic-based self-assembled monolayers on gold by applying a moderate cathodic/anodic potential.

    Science.gov (United States)

    Sahli, Rihab; Fave, Claire; Raouafi, Noureddine; Boujlel, Khaled; Schöllhorn, Bernd; Limoges, Benoît

    2013-04-30

    An in situ and real-time electrochemical method has been devised for quantitatively monitoring the self-assembly of a ferrocene-labeled cyclic disulfide derivative (i.e., a thioctic acid derivative) on a polycrystalline gold electrode under electrode polarization. Taking advantage of the high sensitivity, specificity, accuracy, and temporal resolution of this method, we were able to demonstrate an unexpectedly facilitated formation of the redox-active SAM when the electrode was held at a moderate cathodic potential (-0.4 V vs SCE in CH3CN), affording a saturated monolayer from only micromolar solutions in less than 10 min, and a totally impeded SAM growth when the electrode was polarized at a slightly anodic potential (+0.5 V vs SCE in CH3CN). This method literally allows for switching on/off the formation of SAMs under "soft" conditions. Moreover the cyclic disulfide-based SAM was completely desorbed at this potential contrary to the facilitated deposition of a ferrocene-labeled alkanethiol. Such a strikingly contrasting behavior could be explained by an energetically favored release of the thioctic-based SAM through homolytic cleavage of the Au-S bond followed by intramolecular cyclization of the generated thiyl diradicals. Moreover, the absence of a discernible transient faradaic current response during the potential-assisted adsorption/desorption of the redox-labeled cyclic disulfide led us to conclude in a potential-dependent reversible surface reaction where no electron is released or consumed. These results provide new insights into the formation of disulfide-based SAMs on gold but also raise some fundamental questions about the intimate mechanism involved in the facilitated adsorption/desorption of SAMs under electrode polarization. Finally, the possibility to easily and selectively address the formation/removal of thioctic-based SAMs on gold by applying a moderate cathodic/anodic potential offers another degree of freedom in tailoring their properties and

  12. Adsorption behavior of Fe atoms on a naphthalocyanine monolayer on Ag(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Linghao; Wu, Rongting; Bao, Deliang; Ren, Junhai; Zhang, Yanfang; Zhang, Haigang; Huang, Li; Wang, Yeliang; Du, Shixuan; Huan, Qing; Gao, Hong-Jun

    2015-05-29

    Adsorption behavior of Fe atoms on a metal-free naphthalocyanine (H2Nc) monolayer on Ag(111) surface at room temperature has been investigated using scanning tunneling microscopy combined with density functional theory (DFT) based calculations. We found that the Fe atoms adsorbed at the centers of H2Nc molecules and formed Fe-H2Nc complexes at low coverage. DFT calculations show that the configuration of Fe at the center of a molecule is the most stable site, in good agreement with the experimental observations. After an Fe-H2Nc complex monolayer was formed, the extra Fe atoms self-assembled to Fe clusters of uniform size and adsorbed dispersively at the interstitial positions of Fe-H2Nc complex monolayer. Furthermore, the H2Nc monolayer grown on Ag(111) could be a good template to grow dispersed magnetic metal atoms and clusters at room temperature for further investigation of their magnetism-related properties.

  13. The dependence of lipid monolayer lipolysis on surface pressure.

    OpenAIRE

    Hall, D G

    1992-01-01

    Brönsted-Bjerrum theory [Brönsted (1922) Z. Phys. Chem. 102, 169-207; (1925) Z. Phys. Chem. 115, 337-364; Bjerrum (1924) Z. Phys. Chem. 108, 82-100] as applied to reactions at interfaces is used to interpret published data on the lipolysis of dinonanoyl phosphatidylcholine monolayers by pancreatic phospholipase A2. Reasonable quantitative agreement between theoretical and experimental results occurs when the reported effects of surface pressure on the amount of adsorbed enzyme are used togeth...

  14. A monolayer of hierarchical silver hemi-mesoparticles with tunable surface topographies for highly sensitive surface-enhanced Raman spectroscopy

    Science.gov (United States)

    Zhu, Shuangmei; Fan, Chunzhen; Mao, Yanchao; Wang, Junqiao; He, Jinna; Liang, Erjun; Chao, Mingju

    2016-02-01

    We proposed a facile green synthesis system to synthesize large-scale Ag hemi-mesoparticles monolayer on Cu foil. Ag hemi-mesoparticles have different surface morphologies on their surfaces, including ridge-like, meatball-like, and fluffy-like shapes. In the reaction, silver nitrate was reduced by copper at room temperature in dimethyl sulfoxide via the galvanic displacement reaction. The different surface morphologies of the Ag hemi-mesoparticles were adjusted by changing the reaction time, and the hemi-mesoparticle surface formed fluffy-spherical nanoprotrusions at longer reaction time. At the same time, we explored the growth mechanism of silver hemi-mesoparticles with different surface morphologies. With 4-mercaptobenzoic acid as Raman probe molecules, the fluffy-like silver hemi-mesoparticles monolayer with the best activity of surface enhanced Raman scattering (SERS), the enhancement factor is up to 7.33 × 107 and the detection limit can reach 10-10M. SERS measurements demonstrate that these Ag hemi-mesoparticles can serve as sensitive SERS substrates. At the same time, using finite element method, the distribution of the localized electromagnetic field near the particle surface was simulated to verify the enhanced mechanism. This study helps us to understand the relationship between morphology Ag hemi-mesoparicles and the properties of SERS.

  15. Faradaic impedance titration and control of electron transfer of 1-(12-mercaptododecyl)imidazole monolayer on a gold electrode

    International Nuclear Information System (INIS)

    Hwang, Seongpil; Lee, Bang Sook; Chi, Young Shik; Kwak, Juhyoun; Choi, Insung S.; Lee, Sang-gi

    2008-01-01

    In this work, we studied interfacial proton transfer of the self-assembled monolayer (SAM) of 1-(12-mercaptododecyl)imidazole on a gold electrode by faradaic impedance titration method with Fe(CN) 6 3- as an anionic redox probe molecule. The surface pK 1/2 was found to be 7.3, which was nearly the same as that of 1-alkylimidazole in solution. We also investigated the electrochemical properties of the SAM-modified electrode by cyclic voltammetry. Cyclic voltammetry was performed (1) in the solution containing Fe(CN) 6 3- with repeated alternation of pH values to investigate the electrostatic interaction of the protonated or deprotonated imidazole with Fe(CN) 6 3- and (2) in the acidic or basic electrolyte containing Ru(NH 3 ) 6 3+ as a cationic redox probe to verify the effect of the polarity of a redox probe. We observed the reversible adsorption/desorption of Fe(CN) 6 3- and concluded that the adsorbed Fe(CN) 6 3- catalyzed the electron transfer of both Fe(CN) 6 3- itself and cationic Ru(NH 3 ) 6 3+

  16. SAM Photovoltaic Model Technical Reference

    Energy Technology Data Exchange (ETDEWEB)

    Gilman, P. [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2015-05-27

    This manual describes the photovoltaic performance model in the System Advisor Model (SAM). The U.S. Department of Energy’s National Renewable Energy Laboratory maintains and distributes SAM, which is available as a free download from https://sam.nrel.gov. These descriptions are based on SAM 2015.1.30 (SSC 41).

  17. Efficient inverted bulk-heterojunction polymer solar cells with self-assembled monolayer modified zinc oxide.

    Science.gov (United States)

    Kim, Wook Hyun; Lyu, Hong-Kun; Han, Yoon Soo; Woo, Sungho

    2013-10-01

    The performance of poly(3-hexylthiophen) (P3HT) and [6, 6]phenyl C61 butyric acid methyl ester ([60]PCBM)-based inverted bulk-heterojunction (BHJ) polymer solar cells (PSCs) is enhanced by the modification of zinc oxide (ZnO)/BHJ interface with carboxylic-acid-functionalized self-assembled monolayers (SAMs). Under simulated solar illumination of AM 1.5 (100 mW/cm2), the inverted devices fabricated with SAM-modified ZnO achieved an enhanced power conversion efficiency (PCE) of 3.34% due to the increased fill factor and photocurrent density as compared to unmodified cells with PCE of 2.60%. This result provides an efficient method for interface engineering in inverted BHJ PSCs.

  18. Surface-directed molecular assembly of pentacene on monolayer graphene for high-performance organic transistors.

    Science.gov (United States)

    Lee, Wi Hyoung; Park, Jaesung; Sim, Sung Hyun; Lim, Soojin; Kim, Kwang S; Hong, Byung Hee; Cho, Kilwon

    2011-03-30

    Organic electronic devices that use graphene electrodes have received considerable attention because graphene is regarded as an ideal candidate electrode material. Transfer and lithographic processes during fabrication of patterned graphene electrodes typically leave polymer residues on the graphene surfaces. However, the impact of these residues on the organic semiconductor growth mechanism on graphene surface has not been reported yet. Here, we demonstrate that polymer residues remaining on graphene surfaces induce a stand-up orientation of pentacene, thereby controlling pentacene growth such that the molecular assembly is optimal for charge transport. Thus, pentacene field-effect transistors (FETs) using source/drain monolayer graphene electrodes with polymer residues show a high field-effect mobility of 1.2 cm(2)/V s. In contrast, epitaxial growth of pentacene having molecular assembly of lying-down structure is facilitated by π-π interaction between pentacene and the clean graphene electrode without polymer residues, which adversely affects lateral charge transport at the interface between electrode and channel. Our studies provide that the obtained high field-effect mobility in pentacene FETs using monolayer graphene electrodes arises from the extrinsic effects of polymer residues as well as the intrinsic characteristics of the highly conductive, ultrathin two-dimensional monolayer graphene electrodes.

  19. Metal-oxide assisted surface treatment of polyimide gate insulators for high-performance organic thin-film transistors.

    Science.gov (United States)

    Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho

    2017-06-14

    We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.

  20. Multiple-trapping in pentacene field-effect transistors with a nanoparticles self-assembled monolayer

    Directory of Open Access Journals (Sweden)

    Keanchuan Lee

    2012-06-01

    Full Text Available A silver nanoparticles self-assembled monolayer (SAM was incorporated in pentacene field-effect transistor and its effects on the carrier injection and transport were investigated using the current-voltage (I − V and impedance spectroscopy (IS measurements. The I − V results showed that there was a significant negative shift of the threshold voltage, indicating the hole trapping inside the devices with about two orders higher in the contact resistance and an order lower in the effective mobility when a SAM was introduced. The IS measurements with the simulation using a Maxwell-Wagner equivalent circuit model revealed the existence of multiple trapping states for the devices with NPs, while the devices without NPs exhibited only a single trap state.

  1. Influence of alkylphosphonic acid grafting on the electronic and magnetic properties of La{sub 2/3}Sr{sub 1/3}MnO{sub 3} surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Galbiati, Marta [Unité Mixte de Physique CNRS-Thales, 1 Av. A. Fresnel, 91767 Palaiseau (France); Université Paris-Sud, 91405 Orsay (France); Tatay, Sergio, E-mail: sergio.tatay@uv.es [Unité Mixte de Physique CNRS-Thales, 1 Av. A. Fresnel, 91767 Palaiseau (France); Université Paris-Sud, 91405 Orsay (France); Instituto de Ciencia Molecular (ICMol), Universitat de Valencia, C. Caterdratico Jose Beltran 2, 46980 Paterna (Spain); Delprat, Sophie [Unité Mixte de Physique CNRS-Thales, 1 Av. A. Fresnel, 91767 Palaiseau (France); Université Paris-Sud, 91405 Orsay (France); UPMC, Université Paris 06, 4 Place Jussieu, 75005 Paris (France); Barraud, Clément; Cros, Vincent; Jacquet, Eric [Unité Mixte de Physique CNRS-Thales, 1 Av. A. Fresnel, 91767 Palaiseau (France); Université Paris-Sud, 91405 Orsay (France); Coloma, Fernando [Servicios Técnicos de Investigación, Universidad de Alicante, E-03080 Alicante (Spain); Choueikani, Fadi; Otero, Edwige; Ohresser, Philippe [Synchrotron SOLEIL, L’Orme des Merisiers, Saint-Aubin, BP 48, FR-91192 Gif-sur-Yvette (France); Haag, Norman; Cinchetti, Mirko; Aeschlimann, Martin [Department of Physics and Research Center OPTIMAS, University of Kaiserslautern, Erwin-Schroedinger Strasse 46, 67663 Kaiserslautern (Germany); Seneor, Pierre, E-mail: pierre.seneor@thalesgroup.com [Unité Mixte de Physique CNRS-Thales, 1 Av. A. Fresnel, 91767 Palaiseau (France); Université Paris-Sud, 91405 Orsay (France); and others

    2015-10-30

    Highlights: • Probe the influence of alkylphosphonic acids-based SAMs on the electronic and magnetic properties of the LSMO. • Measure the modification of the Mn oxidation state of LSMO surface induced by the molecules grafting. • Evaluate the modification of the LSMO work function induced by the alkylphosphonic acids molecules. - Abstract: Self-assembled monolayers (SAMs) are highly promising materials for molecular engineering of electronic and spintronics devices thanks to their surface functionalization properties. In this direction, alkylphosphonic acids have been used to functionalize the most common ferromagnetic electrode in organic spintronics: La{sub 2/3}Sr{sub 1/3}MnO{sub 3} (LSMO). However, a study on the influence of SAMs grafting on LSMO electronic and magnetic properties is still missing. In this letter, we probe the influence of alkylphosphonic acids-based SAMs on the electronic and magnetic properties of the LSMO surface using different spectroscopies. We observe by X-ray photoemission and X-ray absorption that the grafting of the molecules on the LSMO surface induces a reduction of the Mn oxidation state. Ultraviolet photoelectron spectroscopy measurements also show that the LSMO work function can be modified by surface dipoles opening the door to both tune the charge and spin injection efficiencies in organic devices such as organic light-emitting diodes.

  2. Surface structures of normal paraffins and cyclohexane monolayers and thin crystals grown on the (111) crystal face of platinum. A low-energy electron diffraction study

    International Nuclear Information System (INIS)

    Firment, L.E.; Somorjai, G.A.

    1977-01-01

    The surfaces of the normal paraffins (C 3 --C 8 ) and cyclohexane have been studied using low-energy electron diffraction (LEED). The samples were prepared by vapor deposition on the (111) face of a platinum single crystal in ultrahigh vacuum, and were studied both as thick films and as adsorbed monolayers. These molecules form ordered monolayers on the clean metal surface in the temperature range 100--220 K and at a vapor flux corresponding to 10 -7 Torr. In the adsorbed monolayers of the normal paraffins (C 4 --C 8 ), the molecules lie with their chain axes parallel to the Pt surface and Pt[110]. The paraffin monolayer structures undergo order--disorder transitions as a function of temperature. Multilayers condensed upon the ordered monolayers maintained the same orientation and packing as found in the monolayers. The surface structures of the growing organic crystals do not corresond to planes in their reported bulk crystal structures and are evidence for epitaxial growth of pseudomorphic crystal forms. Multilayers of n-octane and n-heptane condensed upon disordered monolayers have also grown with the (001) plane of the triclinic bulk crystal structures parallel to the surface. n-Butane has three monolayer structures on Pt(111) and one of the three is maintained during growth of the crystal. Cyclohexane forms an ordered monolayer, upon which a multilayer of cyclohexane grows exhibiting the (001) surface orientation of the monoclinic bulk crystal structure. Surface structures of saturated hydrocarbons are found to be very susceptible to electron beam induced damage. Surface charging interferes with LEED only at sample thicknesses greater than 200 A

  3. Graphene growth by conversion of aromatic self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Turchanin, Andrey [Institute of Physical Chemistry, Friedrich Schiller University Jena (Germany); Jena Center for Soft Matter (JCSM), Jena (Germany); Center for Energy and Environmental Chemistry Jena (CEEC), Jena (Germany); Abbe Center of Photonics (ACP), Jena (Germany)

    2017-11-15

    Despite present diversity of graphene production methods there is still a high demand for improvement of the existing production schemes or development of new. Here a method is reviewed to produce graphene employing aromatic self-assembled monolayers (SAMs) as molecular precursors. This method is based on electron irradiation induced crosslinking of aromatic SAMs resulting in their conversion into carbon nanomembranes (CNMs) with high thermal stability and subsequent pyrolysis of CNMs into graphene in vacuum or in the inert atmosphere. Depending on the production conditions, such as chemical structure of molecular precursors, irradiation and annealing parameters, various properties of the produced graphene sheets including shape, crystallinity, thickness, optical properties and electric transport can be adjusted. The assembly of CNM/graphene van der Waals heterostructures opens a flexible route to non-destructive chemical functionalization of graphene for a variety of applications in electronic and photonic devices. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Nanoscale patterning of a self-assembled monolayer by modification of the molecule–substrate bond

    Directory of Open Access Journals (Sweden)

    Cai Shen

    2014-03-01

    Full Text Available The intercalation of Cu at the interface of a self-assembled monolayer (SAM and a Au(111/mica substrate by underpotential deposition (UPD is studied as a means of high resolution patterning. A SAM of 2-(4'-methylbiphenyl-4-ylethanethiol (BP2 prepared in a structural phase that renders the Au substrate completely passive against Cu-UPD, is patterned by modification with the tip of a scanning tunneling microscope. The tip-induced defects act as nucleation sites for Cu-UPD. The lateral diffusion of the metal at the SAM–substrate interface and, thus, the pattern dimensions are controlled by the deposition time. Patterning down to the sub-20 nm range is demonstrated. The difference in strength between the S–Au and S–Cu bond is harnessed to develop the latent Cu-UPD image into a patterned binary SAM. Demonstrated by the exchange of BP2 by adamantanethiol (AdSH this is accomplished by a sequence of reductive desorption of BP2 in Cu free areas followed by adsorption of AdSH. The appearance of Au adatom islands upon the thiol exchange suggests that the interfacial structures of BP2 and AdSH SAMs are different.

  5. Conformation, orientation and interaction in molecular monolayers: A surface second harmonic and sum frequency generation study

    International Nuclear Information System (INIS)

    Superfine, R.; Huang, J.Y.; Shen, Y.R.

    1988-12-01

    We have used sum frequency generation (SFG) to study the order in a silane monolayer before and after the deposition of a coadsorbed liquid crystal monolayer. We observe an increase in the order of the chain of the silane molecule induced by the interpenetration of the liquid crystal molecules. By using second harmonic generation (SHG) and SFG, we have studied the orientation and conformation of the liquid crystal molecule on clean and silane coated glass surfaces. On both surfaces, the biphenyl group is tilted by 70 degree with the alkyl chain end pointing away from the surface. The shift in the C-H stretch frequencies in the coadsorbed system indicates a significant interaction between molecules. 9 refs., 3 figs

  6. In Situ SIMS and IR Spectroscopy of Well-Defined Surfaces Prepared by Soft Landing of Mass-Selected Ions

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, Grant E.; Gunaratne, Kalupathirannehelage Don D.; Laskin, Julia

    2014-06-16

    Soft landing of mass-selected ions onto surfaces is a powerful approach for the highly-controlled preparation of materials that are inaccessible using conventional synthesis techniques. Coupling soft landing with in situ characterization using secondary ion mass spectrometry (SIMS) and infrared reflection absorption spectroscopy (IRRAS) enables analysis of well-defined surfaces under clean vacuum conditions. The capabilities of three soft-landing instruments constructed in our laboratory are illustrated for the representative system of surface-bound organometallics prepared by soft landing of mass-selected ruthenium tris(bipyridine) dications, [Ru(bpy)3]2+, onto carboxylic acid terminated self-assembled monolayer surfaces on gold (COOH-SAMs). In situ time-of-flight (TOF)-SIMS provides insight into the reactivity of the soft-landed ions. In addition, the kinetics of charge reduction, neutralization and desorption occurring on the COOH-SAM both during and after ion soft landing are studied using in situ Fourier transform ion cyclotron resonance (FT-ICR)-SIMS measurements. In situ IRRAS experiments provide insight into how the structure of organic ligands surrounding metal centers is perturbed through immobilization of organometallic ions on COOH-SAM surfaces by soft landing. Collectively, the three instruments provide complementary information about the chemical composition, reactivity and structure of well-defined species supported on surfaces.

  7. Mercury adsorption to gold nanoparticle and thin film surfaces

    Science.gov (United States)

    Morris, Todd Ashley

    Mercury adsorption to gold nanoparticle and thin film surfaces was monitored by spectroscopic techniques. Adsorption of elemental mercury to colloidal gold nanoparticles causes a color change from wine-red to orange that was quantified by UV-Vis absorption spectroscopy. The wavelength of the surface plasmon mode of 5, 12, and 31 nm gold particles blue-shifts 17, 14, and 7.5 nm, respectively, after a saturation exposure of mercury vapor. Colorimetric detection of inorganic mercury was demonstrated by employing 2.5 nm gold nanoparticles. The addition of low microgram quantities of Hg 2+ to these nanoparticles induces a color change from yellow to peach or blue. It is postulated that Hg2+ is reduced to elemental mercury by SCN- before and/or during adsorption to the nanoparticle surface. It has been demonstrated that surface plasmon resonance spectroscopy (SPRS) is sensitive to mercury adsorption to gold and silver surfaces. By monitoring the maximum change in reflectivity as a function of amount of mercury adsorbed to the surface, 50 nm Ag films were shown to be 2--3 times more sensitive than 50 nm Au films and bimetallic 15 nm Au/35 nm Ag films. In addition, a surface coverage of ˜40 ng Hg/cm2 on the gold surface results in a 0.03° decrease in the SPR angle of minimum reflectivity. SPRS was employed to follow Hg exposure to self-assembled monolayers (SAMs) on Au. The data indicate that the hydrophilic or hydrophobic character of the SAM has a significant effect on the efficiency of Hg penetration. Water adsorbed to carboxylic acid end group of the hydrophilic SAMs is believed to slow the penetration of Hg compared to methyl terminated SAMs. Finally, two protocols were followed to remove mercury from gold films: immersion in concentrated nitric acid and thermal annealing up to 200°C. The latter protocol is preferred because it removes all of the adsorbed mercury from the gold surface and does not affect the morphology of the gold surface.

  8. Nanopatterned surface with adjustable area coverage and feature size fabricated by photocatalysis

    Energy Technology Data Exchange (ETDEWEB)

    Bai Yang; Zhang Yan; Li Wei; Zhou Xuefeng; Wang Changsong; Feng Xin [State Key Laboratory of Materials-oriented Chemical Engineering, Nanjing University of Technology, Nanjing, Jiangsu 210009 (China); Zhang Luzheng [Petroleum Research Recovery Center, New Mexico Institute of Mining and Technology, Socorro, NM 87801 (United States); Lu Xiaohua, E-mail: xhlu@njut.edu.cn [State Key Laboratory of Materials-oriented Chemical Engineering, Nanjing University of Technology, Nanjing, Jiangsu 210009 (China)

    2009-08-30

    We report an effective approach to fabricate nanopatterns of alkylsilane self-assembly monolayers (SAMs) with desirable coverage and feature size by gradient photocatalysis in TiO{sub 2} aqueous suspension. Growth and photocatalytic degradation of octadecyltrichlorosilane (OTS) were combined to fabricate adjustable monolayered nanopatterns on mica sheet in this work. Systematic atomic force microscopy (AFM) analysis showed that OTS-SAMs that have similar area coverage with different feature sizes and similar feature size with different area coverages can be fabricated by this approach. Contact angle measurement was applied to confirm the gradually varied nanopatterns contributed to the gradient of UV light illumination. Since this approach is feasible for various organic SAMs and substrates, a versatile method was presented to prepare tunable nanopatterns with desirable area coverage and feature size in many applications, such as molecular and biomolecular recognition, sensor and electrode modification.

  9. Nanopatterned surface with adjustable area coverage and feature size fabricated by photocatalysis

    International Nuclear Information System (INIS)

    Bai Yang; Zhang Yan; Li Wei; Zhou Xuefeng; Wang Changsong; Feng Xin; Zhang Luzheng; Lu Xiaohua

    2009-01-01

    We report an effective approach to fabricate nanopatterns of alkylsilane self-assembly monolayers (SAMs) with desirable coverage and feature size by gradient photocatalysis in TiO 2 aqueous suspension. Growth and photocatalytic degradation of octadecyltrichlorosilane (OTS) were combined to fabricate adjustable monolayered nanopatterns on mica sheet in this work. Systematic atomic force microscopy (AFM) analysis showed that OTS-SAMs that have similar area coverage with different feature sizes and similar feature size with different area coverages can be fabricated by this approach. Contact angle measurement was applied to confirm the gradually varied nanopatterns contributed to the gradient of UV light illumination. Since this approach is feasible for various organic SAMs and substrates, a versatile method was presented to prepare tunable nanopatterns with desirable area coverage and feature size in many applications, such as molecular and biomolecular recognition, sensor and electrode modification.

  10. Competition of bovine serum albumin adsorption and bacterial adhesion onto surface-grafted ODT: in situ study by vibrational SFG and fluorescence confocal microscopy.

    Science.gov (United States)

    Bulard, Emilie; Fontaine-Aupart, Marie-Pierre; Dubost, Henri; Zheng, Wanquan; Bellon-Fontaine, Marie-Noëlle; Herry, Jean-Marie; Bourguignon, Bernard

    2012-12-11

    The interaction of hydrophilic and hydrophobic ovococcoid bacteria and bovine serum albumin (BSA) proteins with a well ordered surface of octadecanethiol (ODT) self assembled monolayer (SAM) has been studied in different situations where proteins were either preadsorbed on ODT or adsorbed simultaneously with bacterial adhesion as in life conditions. The two situations lead to very different antimicrobial behavior. Bacterial adhesion on preadsorbed BSA is very limited, while the simultaneous exposure of ODT SAM to proteins and bacteria lead to a markedly weaker antimicrobial effect. The combination of sum frequency generation spectroscopy and fluorescence confocal microscopy experiments allow one to draw conclusions on the factors that govern the ODT SAM or BSA film interaction with bacteria at the molecular level. On the hydrophobic ODT surface, interaction with hydrophobic or hydrophilic biomolecules results in opposite effects on the SAM, namely, a flattening or a raise of the terminal methyl groups of ODT. On an amphiphilic BSA layer, the bacterial adhesion strength is weakened by the negative charges carried by both BSA and bacteria. Surprisingly, preadsorbed BSA that cover part of the bacteria cell walls increase the adhesion strength to the BSA film and reduce hydrophobic interactions with the ODT SAM. Finally, bacterial adhesion on a BSA film is shown to modify the BSA proteins in some way that change their interaction with the ODT SAM. The antimicrobial effect is much stronger in the case of a preadsorbed BSA layer than when BSA and bacteria are in competition to colonize the ODT SAM surface.

  11. Architecture-dependent surface chemistry for Pt monolayers on carbon-supported Au.

    Science.gov (United States)

    Cheng, Shuang; Rettew, Robert E; Sauerbrey, Marc; Alamgir, Faisal M

    2011-10-01

    Pt monolayers were grown by surface-limited redox replacement (SLRR) on two types of Au nanostructures. The Au nanostructures were fabricated electrochemically on carbon fiber paper (CFP) by either potentiostatic deposition (PSD) or potential square wave deposition (PSWD). The morphology of the Au/CFP heterostructures, examined using scanning electron microscopy (SEM), was found to depend on the type of Au growth method employed. The properties of the Pt deposit, as studied using X-ray photoelectron spectroscopy (XPS), X-ray absorption spectroscopy (XAS), and cyclic voltammetry (CV), were found to depend strongly on the morphology of the support. Specifically, it was found that smaller Au morphologies led to a higher degree of cationicity in the resulting Pt deposit, with Pt(4+) and Pt(2+) species being identified using XPS and XAS. For fuel-cell catalysts, the resistance of ultrathin catalyst deposits to surface area loss through dissolution, poisoning, and agglomeration is critical. This study shows that an equivalent of two monolayers (ML) is the low-loading limit of Pt on Au. At 1 ML or below, the Pt film decreases in activity and durability very rapidly due to presence of cationic Pt. © 2011 American Chemical Society

  12. Reactions between monolayer Fe and Si(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hasegawa, M; Kobayashi, N; Hayashi, N [Electrotechnical Lab., Tsukuba, Ibaraki (Japan)

    1997-03-01

    Reactions between 1.5 monolayer(ML) Fe deposited on Si(001)-2x1 and -dihydride surfaces were studied in situ by reflection high-energy electron diffraction and time-of-flight ion scattering spectrometry with the use of 25 keV H ions. The reactions between Fe and Si which were successively deposited on Si(001)-dihydride surface were also studied. After the room temperature deposition Fe reacted with Si(001)-2x1 substrate resulting in the formation of polycrystalline Fe5Si3. By annealing to 560-650degC composite heteroepitaxial layer of both type A and type B {beta}-FeSi2 was formed. On the dihydride surface polycrystalline Fe was observed after 1.5ML Fe deposition at room temperature, and reaction between Fe and Si(001)-dihydride surface is not likely at room temperature. We observed 3D rough surface when we deposited only Fe layer on the dihydride surface and annealed above 700degC. The hydrogen termination of Si(001) surface prevents the deposited Fe from diffusing into the substrate below 500degC, however the annealing above 710degC leads to the diffusion. We obtained 2D ordered surface, which showed 3x3 RHEED pattern as referenced to the primitive unreconstructed Si(001) surface net, when we deposited 2.5ML Fe and 5.8ML Si successively onto Si(001)-dihydride surface and annealed to 470degC. (author)

  13. Growth dynamics of L-cysteine SAMs on single-crystal gold surfaces: a metastable deexcitation spectroscopy study

    Science.gov (United States)

    Canepa, M.; Lavagnino, L.; Pasquali, L.; Moroni, R.; Bisio, F.; DeRenzi, V.; Terreni, S.; Mattera, L.

    2009-07-01

    We report on a metastable deexcitation spectroscopy investigation of the growth of L-cysteine layers deposited under UHV conditions on well-defined Au(110)- (1 × 2) and Au(111) surfaces. The interaction of He* with molecular orbitals gave rise to well-defined UPS-like Penning spectra which provided information on the SAM assembly dynamics and adsorption configurations. Penning spectra have been interpreted through comparison with molecular orbital DFT calculations of the free molecule and have been compared with XPS results of previous works. Regarding adsorption of first-layer molecules at room temperature (RT), two different growth regimes were observed. On Au(110), the absence of spectral features related to orbitals associated with SH groups indicated the formation of a compact SAM of thiolate molecules. On Au(111), the data demonstrated the simultaneous presence, since the early stages of growth, of strongly and weakly bound molecules, the latter showing intact SH groups. The different growth mode was tentatively assigned to the added rows of the reconstructed Au(110) surface which behave as extended defects effectively promoting the formation of the S-Au bond. The growth of the second molecular layer was instead observed to proceed similarly for both substrates. Second-layer molecules preferably adopt an adsorption configuration in which the SH group protrudes into the vacuum side.

  14. Growth dynamics of L-cysteine SAMs on single-crystal gold surfaces: a metastable deexcitation spectroscopy study

    International Nuclear Information System (INIS)

    Canepa, M; Lavagnino, L; Moroni, R; Bisio, F; Terreni, S; Mattera, L; Pasquali, L; De Renzi, V

    2009-01-01

    We report on a metastable deexcitation spectroscopy investigation of the growth of L-cysteine layers deposited under UHV conditions on well-defined Au(110)- (1 x 2) and Au(111) surfaces. The interaction of He* with molecular orbitals gave rise to well-defined UPS-like Penning spectra which provided information on the SAM assembly dynamics and adsorption configurations. Penning spectra have been interpreted through comparison with molecular orbital DFT calculations of the free molecule and have been compared with XPS results of previous works. Regarding adsorption of first-layer molecules at room temperature (RT), two different growth regimes were observed. On Au(110), the absence of spectral features related to orbitals associated with SH groups indicated the formation of a compact SAM of thiolate molecules. On Au(111), the data demonstrated the simultaneous presence, since the early stages of growth, of strongly and weakly bound molecules, the latter showing intact SH groups. The different growth mode was tentatively assigned to the added rows of the reconstructed Au(110) surface which behave as extended defects effectively promoting the formation of the S-Au bond. The growth of the second molecular layer was instead observed to proceed similarly for both substrates. Second-layer molecules preferably adopt an adsorption configuration in which the SH group protrudes into the vacuum side.

  15. Structure and shear response of lipid monolayers

    International Nuclear Information System (INIS)

    Dutta, P.; Ketterson, J.B.

    1990-02-01

    Organic monolayers and multilayers are both scientifically fascinating and technologically promising; they are, however, both complex systems and relatively inaccessible to experimental probes. In this Progress Report, we describe our X-ray diffraction studies, which have given us substantial new information about the structures and phase transitions in monolayers on the surface of water; our use of these monolayers as a unique probe of the dynamics of wetting and spreading; and our studies of monolayer mechanical properties using a simple but effective technique available to anyone using the Wilhelmy method to measure surface tension

  16. Gold Mining by Alkanethiol Radicals: Vacancies and Pits in the Self-Assembled Monolayers of 1-Propanethiol and 1-Butanethiol on Au(111)

    DEFF Research Database (Denmark)

    Wang, Yun; Chi, Qijin; Hush, Noel S.

    2011-01-01

    Scanning-tunneling microscopy (STM) under electrochemical control (in situ STM) in aqueous solution, combined with a priori density functional theory (DFT) image simulations at room temperature, reveals the atomic nature of the interface between Au(111) and self-assembled monolayers (SAMs) of 1-p...

  17. The Sam-Sam interaction between Ship2 and the EphA2 receptor: design and analysis of peptide inhibitors.

    Science.gov (United States)

    Mercurio, Flavia Anna; Di Natale, Concetta; Pirone, Luciano; Iannitti, Roberta; Marasco, Daniela; Pedone, Emilia Maria; Palumbo, Rosanna; Leone, Marilisa

    2017-12-12

    The lipid phosphatase Ship2 represents a drug discovery target for the treatment of different diseases, including cancer. Its C-terminal sterile alpha motif domain (Ship2-Sam) associates with the Sam domain from the EphA2 receptor (EphA2-Sam). This interaction is expected to mainly induce pro-oncogenic effects in cells therefore, inhibition of the Ship2-Sam/EphA2-Sam complex may represent an innovative route to discover anti-cancer therapeutics. In the present work, we designed and analyzed several peptide sequences encompassing the interaction interface of EphA2-Sam for Ship2-Sam. Peptide conformational analyses and interaction assays with Ship2-Sam conducted through diverse techniques (CD, NMR, SPR and MST), identified a positively charged penta-amino acid native motif in EphA2-Sam, that once repeated three times in tandem, binds Ship2-Sam. NMR experiments show that the peptide targets the negatively charged binding site of Ship2-Sam for EphA2-Sam. Preliminary in vitro cell-based assays indicate that -at 50 µM concentration- it induces necrosis of PC-3 prostate cancer cells with more cytotoxic effect on cancer cells than on normal dermal fibroblasts. This work represents a pioneering study that opens further opportunities for the development of inhibitors of the Ship2-Sam/EphA2-Sam complex for therapeutic applications.

  18. Effect of surface charge of immortalized mouse cerebral endothelial cell monolayer on transport of charged solutes.

    Science.gov (United States)

    Yuan, Wei; Li, Guanglei; Gil, Eun Seok; Lowe, Tao Lu; Fu, Bingmei M

    2010-04-01

    Charge carried by the surface glycocalyx layer (SGL) of the cerebral endothelium has been shown to significantly modulate the permeability of the blood-brain barrier (BBB) to charged solutes in vivo. The cultured monolayer of bEnd3, an immortalized mouse cerebral endothelial cell line, is becoming a popular in vitro BBB model due to its easy growth and maintenance of many BBB characteristics over repeated passages. To test whether the SGL of bEnd3 monolayer carries similar charge as that in the intact BBB and quantify this charge, which can be characterized by the SGL thickness (L(f)) and charge density (C(mf)), we measured the solute permeability of bEnd3 monolayer to neutral solutes and to solutes with similar size but opposite charges: negatively charged alpha-lactalbumin (-11) and positively charged ribonuclease (+3). Combining the measured permeability data with a transport model across the cell monolayer, we predicted the L(f) and the C(mf) of bEnd3 monolayer, which is approximately 160 nm and approximately 25 mEq/L, respectively. We also investigated whether orosomucoid, a plasma glycoprotein modulating the charge of the intact BBB, alters the charge of bEnd3 monolayer. We found that 1 mg/mL orosomucoid would increase SGL charge density of bEnd3 monolayer to approximately 2-fold of its control value.

  19. Selective Binding, Self-Assembly and Nanopatterning of the Creutz-Taube Ion on Surfaces

    Directory of Open Access Journals (Sweden)

    Qingling Hang

    2009-02-01

    Full Text Available The surface attachment properties of the Creutz-Taube ion, i.e., [(NH35Ru(pyrazineRu(NH35]5+, on both hydrophilic and hydrophobic types of surfaces were investigated using X-ray photoelectron spectroscopy (XPS. The results indicated that the Creutz-Taube ions only bound to hydrophilic surfaces, such as SiO2 and –OH terminated organic SAMs on gold substrates. No attachment of the ions on hydrophobic surfaces such as –CH3 terminated organic SAMs and poly(methylmethacrylate (PMMA thin films covered gold or SiO2 substrates was observed. Further ellipsometric, atomic force microscopy (AFM and time-dependent XPS studies suggested that the attached cations could form an inorganic analog of the self-assembled monolayer on SiO2 substrate with a “lying-down” orientation. The strong electrostatic interaction between the highly charged cations and the anionic SiO2 surface was believed to account for these observations. Based on its selective binding property, patterning of wide (~200 nm and narrow (~35 nm lines of the Creutz-Taube ions on SiO2 surface were demonstrated through PMMA electron resist masks written by electron beam lithography (EBL.

  20. Self-assembled organic radicals on Au(111) surfaces: a combined ToF-SIMS, STM, and ESR study.

    Science.gov (United States)

    Mannini, Matteo; Sorace, Lorenzo; Gorini, Lapo; Piras, Federica M; Caneschi, Andrea; Magnani, Agnese; Menichetti, Stefano; Gatteschi, Dante

    2007-02-27

    Electron spin resonance (ESR), time-of-flight secondary ion mass spectrometry (ToF-SIMS), and scanning tunneling microscopy (STM) have been used in parallel to characterize the deposition on gold surface of a series of nitronyl nitroxide radicals. These compounds have been specifically synthesized with methyl-thio linking groups suitable to interact with the gold surface to form self-assembled monolayers (SAMs), which can be considered relevant in the research for molecular-based spintronics devices, as suggested in recent papers. The degree of the expected ordering on the surface of these SAMs has been tuned by varying the chemical structure of synthesized radicals. ToF-SIMS has been used to support the evidence of the occurrence of the deposition process. STM has shown the different qualities of the obtained SAMs, with the degree of local order increasing as the degree of freedom of the molecules on the surface is decreased. Finally, ESR has confirmed that the deposition process does not affect the paramagnetic characteristics of radicals and that it affords a complete single-layered coverage of the surface. Further, the absence of angular dependence in the spectra indicates that the small regions of local ordering do not give rise to a long-range order and suggests a quite large mobility of the radical on the surface, probably due to the weak interaction with gold provided by the methyl-thio linking group.

  1. SAM Theory Manual

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Rui [Argonne National Lab. (ANL), Argonne, IL (United States)

    2017-03-01

    The System Analysis Module (SAM) is an advanced and modern system analysis tool being developed at Argonne National Laboratory under the U.S. DOE Office of Nuclear Energy’s Nuclear Energy Advanced Modeling and Simulation (NEAMS) program. SAM development aims for advances in physical modeling, numerical methods, and software engineering to enhance its user experience and usability for reactor transient analyses. To facilitate the code development, SAM utilizes an object-oriented application framework (MOOSE), and its underlying meshing and finite-element library (libMesh) and linear and non-linear solvers (PETSc), to leverage modern advanced software environments and numerical methods. SAM focuses on modeling advanced reactor concepts such as SFRs (sodium fast reactors), LFRs (lead-cooled fast reactors), and FHRs (fluoride-salt-cooled high temperature reactors) or MSRs (molten salt reactors). These advanced concepts are distinguished from light-water reactors in their use of single-phase, low-pressure, high-temperature, and low Prandtl number (sodium and lead) coolants. As a new code development, the initial effort has been focused on modeling and simulation capabilities of heat transfer and single-phase fluid dynamics responses in Sodium-cooled Fast Reactor (SFR) systems. The system-level simulation capabilities of fluid flow and heat transfer in general engineering systems and typical SFRs have been verified and validated. This document provides the theoretical and technical basis of the code to help users understand the underlying physical models (such as governing equations, closure models, and component models), system modeling approaches, numerical discretization and solution methods, and the overall capabilities in SAM. As the code is still under ongoing development, this SAM Theory Manual will be updated periodically to keep it consistent with the state of the development.

  2. Investigation of Surface Pre-Treatment Methods for Wafer-Level Cu-Cu Thermo-Compression Bonding

    Directory of Open Access Journals (Sweden)

    Koki Tanaka

    2016-12-01

    Full Text Available To increase the yield of the wafer-level Cu-Cu thermo-compression bonding method, certain surface pre-treatment methods for Cu are studied which can be exposed to the atmosphere before bonding. To inhibit re-oxidation under atmospheric conditions, the reduced pure Cu surface is treated by H2/Ar plasma, NH3 plasma and thiol solution, respectively, and is covered by Cu hydride, Cu nitride and a self-assembled monolayer (SAM accordingly. A pair of the treated wafers is then bonded by the thermo-compression bonding method, and evaluated by the tensile test. Results show that the bond strengths of the wafers treated by NH3 plasma and SAM are not sufficient due to the remaining surface protection layers such as Cu nitride and SAMs resulting from the pre-treatment. In contrast, the H2/Ar plasma–treated wafer showed the same strength as the one with formic acid vapor treatment, even when exposed to the atmosphere for 30 min. In the thermal desorption spectroscopy (TDS measurement of the H2/Ar plasma–treated Cu sample, the total number of the detected H2 was 3.1 times more than the citric acid–treated one. Results of the TDS measurement indicate that the modified Cu surface is terminated by chemisorbed hydrogen atoms, which leads to high bonding strength.

  3. Glial cell adhesion and protein adsorption on SAM coated semiconductor and glass surfaces of a microfluidic structure

    Science.gov (United States)

    Sasaki, Darryl Y.; Cox, Jimmy D.; Follstaedt, Susan C.; Curry, Mark S.; Skirboll, Steven K.; Gourley, Paul L.

    2001-05-01

    The development of microsystems that merge biological materials with microfabricated structures is highly dependent on the successful interfacial interactions between these innately incompatible materials. Surface passivation of semiconductor and glass surfaces with thin organic films can attenuate the adhesion of proteins and cells that lead to biofilm formation and biofouling of fluidic structures. We have examined the adhesion of glial cells and serum albumin proteins to microfabricated glass and semiconductor surfaces coated with self-assembled monolayers of octadecyltrimethoxysilane and N-(triethoxysilylpropyl)-O- polyethylene oxide urethane, to evaluate the biocompatibility and surface passivation those coatings provide.

  4. Ternary surface monolayers for ultrasensitive (zeptomole) amperometric detection of nucleic acid hybridization without signal amplification.

    Science.gov (United States)

    Wu, Jie; Campuzano, Susana; Halford, Colin; Haake, David A; Wang, Joseph

    2010-11-01

    A ternary surface monolayer, consisting of coassembled thiolated capture probe, mercaptohexanol and dithiothreitol, is shown to offer dramatic improvements in the signal-to-noise characteristics of electrochemical DNA hybridization biosensors based on common self-assembled monolayers. Remarkably low detection limits down to 40 zmol (in 4 μL samples) as well as only 1 CFU Escherichia coli per sensor are thus obtained without any additional amplification step in connection to the commonly used horseradish peroxidase/3,3',5,5'-tetramethylbenzidine system. Such dramatic improvements in the detection limits (compared to those of common binary alkanethiol interfaces and to those of most electrochemical DNA sensing strategies without target or signal amplification) are attributed primarily to the remarkably higher resistance to nonspecific adsorption. This reflects the highly compact layer (with lower pinhole density) produced by the coupling of the cyclic- and linear-configuration "backfillers" that leads to a remarkably low background noise even in the presence of complex sample matrixes. A wide range of surface compositions have been investigated, and the ternary mixed monolayer has been systematically optimized. Detailed impedance spectroscopy and cyclic voltammetric studies shed useful insights into the surface coverage. The impressive sensitivity and high specificity of the simple developed methodology indicate great promise for a wide range of nucleic acid testing, including clinical diagnostics, biothreat detection, food safety, and forensic analysis.

  5. Single molecular switch based on thiol tethered iron(II)clathrochelate on gold

    Energy Technology Data Exchange (ETDEWEB)

    Viswanathan, Subramanian [Institute of Animal Reproduction and Food Research of the Polish Academy of Sciences, Tuwima 10, 10-747 Olsztyn (Poland); Voloshin, Yan Z. [Nesmeyanov Institute of Organoelement Compounds of the Russian Academy of Sciences, 119991 Moscow (Russian Federation); Radecka, Hanna [Institute of Animal Reproduction and Food Research of the Polish Academy of Sciences, Tuwima 10, 10-747 Olsztyn (Poland); Radecki, Jerzy [Institute of Animal Reproduction and Food Research of the Polish Academy of Sciences, Tuwima 10, 10-747 Olsztyn (Poland)], E-mail: radecki@pan.olsztyn.pl

    2009-09-30

    Molecular electronics has been associated with high density nano-electronic devices. Developments of molecular electronic devices were based on reversible switching of molecules between the two conductive states. In this paper, self-assembled monolayers of dodecanethiol (DDT) and thiol tethered iron(II)clathrochelate (IC) have been prepared on gold film. The electrochemical and electronic properties of IC molecules inserted into the dodecanethiol monolayer (IC-DDT SAM) were investigated using voltammetric, electrochemical impedance spectroscopy (EIS), scanning tunneling microscopy (STM) and cross-wire tunneling measurements. The voltage triggered switching behaviour of IC molecules on mixed SAM was demonstrated. Deposition of polyaniline on the redox sites of IC-DDT SAM using electrochemical polymerization of aniline was performed in order to confirm that this monolayer acts as nano-patterned semiconducting electrode surface.

  6. Plasmonic detection and visualization of directed adsorption of charged single nanoparticles to patterned surfaces

    International Nuclear Information System (INIS)

    Scherbahn, Vitali; Nizamov, Shavkat; Mirsky, Vladimir M.

    2016-01-01

    It has recently been shown that surface plasmon microscopy (SPM) allows single nanoparticles (NPs) on sensor surfaces to be detected and analyzed. The authors have applied this technique to study the adsorption of single metallic and plastic NPs. Binding of gold NPs (40, 60 and 100 nm in size) and of 100 nm polystyrene NPs to gold surfaces modified by differently ω-functionalized alkyl thiols was studied first. Self-assembled monolayers (SAM) with varying terminal functions including amino, carboxy, oligo(ethylene glycol), methyl, or trimethylammonium groups were deposited on gold films to form surfaces possessing different charge and hydrophobicity. The affinity of NPs to these surfaces depends strongly on the type of coating. SAMs terminated with trimethylammonium groups and carboxy group display highly different affinity and therefore were preferred when creating patterned charged surfaces. Citrate-stabilized gold NPs and sulfate-terminated polystyrene NPs were used as negatively charged NPs, while branched polyethylenimine-coated silver NPs were used as positively charged NPs. It is shown that the charged patterned areas on the gold films are capable of selectively adsorbing oppositely charged NPs that can be detected and analyzed with an ∼1 ng⋅mL −1 detection limit. (author)

  7. SAM Photovoltaic Model Technical Reference 2016 Update

    Energy Technology Data Exchange (ETDEWEB)

    Gilman, Paul [National Renewable Energy Laboratory (NREL), Golden, CO (United States); DiOrio, Nicholas A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Freeman, Janine M [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Janzou, Steven [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Dobos, Aron [No longer NREL employee; Ryberg, David [No longer NREL employee

    2018-03-19

    This manual describes the photovoltaic performance model in the System Advisor Model (SAM) software, Version 2016.3.14 Revision 4 (SSC Version 160). It is an update to the 2015 edition of the manual, which describes the photovoltaic model in SAM 2015.1.30 (SSC 41). This new edition includes corrections of errors in the 2015 edition and descriptions of new features introduced in SAM 2016.3.14, including: 3D shade calculator Battery storage model DC power optimizer loss inputs Snow loss model Plane-of-array irradiance input from weather file option Support for sub-hourly simulations Self-shading works with all four subarrays, and uses same algorithm for fixed arrays and one-axis tracking Linear self-shading algorithm for thin-film modules Loss percentages replace derate factors. The photovoltaic performance model is one of the modules in the SAM Simulation Core (SSC), which is part of both SAM and the SAM SDK. SAM is a user-friedly desktop application for analysis of renewable energy projects. The SAM SDK (Software Development Kit) is for developers writing their own renewable energy analysis software based on SSC. This manual is written for users of both SAM and the SAM SDK wanting to learn more about the details of SAM's photovoltaic model.

  8. Self-assembled monolayers and chemical derivatization of Ba0.5Sr0.5TiO3 thin films: Applications in phase shifter devices

    International Nuclear Information System (INIS)

    Morales-Cruz, Angel L.; Van Keuls, Fred W.; Miranda, Felix A.; Cabrera, Carlos R.

    2005-01-01

    Thin films of barium strontium titanate (Ba 1-x Sr x TiO 3 (BSTO)) have been used in coupled microstrip phase shifters (CMPS) for possible insertion in satellite and wireless communication platforms primarily because of their high dielectric constant, low loss, large tunability, and good structural stability. In an attempt to improve the figure of merit K (phase shift deg /dB of loss) of phase shifters, modification of the metal/BSTO interface of these devices has been done through surface modification of the BSTO layer using a self-assembled monolayer approach. The impact of this nanotechnology promises to reduce RF losses by improving the quality of the metal/BSTO interface. In this study, compounds such as 3-mercaptopropyltrimethoxysilane (MPS), 16-mercaptohexadecanois acid (MHDA) and 3-mercaptopropionic acid (MPA) were used to form the self-assembled monolayers on the BSTO surface. As a result of the previous modification, chemical derivatization of the self-assembled monolayers was done in order to increase the chain length. Chemical derivatization was done using 3-aminopropyltrimethoxysilane (APS) and 16-mercaptohexadecanoic acid. Surface chemical analysis was done to reveal the composition of the derivatization via X-ray photoelectron spectroscopy (XPS) and Fourier Transform Infrared (FT-IR). Low and high frequencies measurements of phase shifters were done in order measure the performance of these devices for insertion in antennas. X-ray photoelectron spectroscopy characterization of modified BSTO thin films with MPS showed a binding energy peak at 162.9 eV, indicative of a possible S-O interaction: sulfur of the mercapto compound, MPS, used to modify the surface with the oxygen site of the BSTO thin film. This interaction is at higher binding energies compared with the thiolate interaction. This behavior is observed with the other mercapto compounds such as: MHDA and MPA. An FT-IR analysis present a band at 780 cm -1 , which is characteristic of an O

  9. Amperometric cholesterol biosensor based on in situ reconstituted cholesterol oxidase on an immobilized monolayer of flavin adenine dinucleotide cofactor.

    Science.gov (United States)

    Vidal, Juan-C; Espuelas, Javier; Castillo, Juan-R

    2004-10-01

    A new amperometric biosensor for determining cholesterol based on deflavination of the enzyme cholesterol oxidase (ChOx) and subsequent reconstitution of the apo-protein with a complexed flavin adenine dinucleotide (FAD) monolayer is described. The charge transfer mediator pyrroquinoline quinone (PQQ) was covalently bound to a cystamine self-assembled monolayer (SAM) on an Au electrode. Boronic acid (BA) was then bound to PQQ using the carbodiimide procedure, and the BA ligand was complexed to the FAD molecules on which the apo-ChOx was subsequently reconstituted. The effective release of the FAD from the enzyme and the successful reconstitution were verified using molecular fluorescence and cyclic voltammetry. The optimal orientation of FAD toward the PQQ mediator and the distances between FAD and PQQ and between PQQ and electrode enhance the charge transfer, very high sensitivity (about 2,500 nAmM(-1)cm(-2)) being obtained for cholesterol determination. The biosensor is selective toward electroactive interferents (ascorbic acid and uric acid) and was tested in reference serum samples, demonstrating excellent accuracy (relative errors below 3% in all cases). The biosensor activity can be successfully regenerated in a simple process by successive reconstitution with batches of recently prepared apo-ChOx on the same immobilized Au/SAM-PQQ-BA-FAD monolayer (it was tested five times); the lifetime of the biosensor is about 45-60 days.

  10. Hydroxyl group induced adsorption of four-nitro benzoic acid on Si(100) 2x1 surface

    International Nuclear Information System (INIS)

    Ihm, K.; Kang, T.-H.; Hwang, C.C.; Kim, K.-J.; Hwang, H.-N.; Kim, H.-D.; Han, J.H.; Moon, S.; Kim, B.; POSTECH

    2004-01-01

    Full text: A number of studies have been conducted on self-assembled monolayers (SAMs) in order to study the adhesion of polymer films on various substrates. Recently, the studies on SAMs on the semiconductor substrate are more motivated because of their possible application to nanoscale devices. For the electronic and chemical properties suitable for various applications, the aromatic ring has been used as a building block of various molecules forming SAMs. Here, we used four-nitro benzoic acid (4-NBA) as a model planar aromatic compound, in which the phenyl ring, the carboxylic functional group, and NO2 are on the same plane. The adsorption mechanism of 4-NBA on the in-situ prepared OH/Si(100) 2x1 surface was investigated using x-ray photoelectron spectroscopy and near-edge x-ray absorption e structure. The results revealed that the 4-NBA molecule reacts with the hydroxyl group on the Si(100) 2x1 surface through deprotonation of the carboxyl group. The saturation coverage of 4-NBA estimated by the O 1s ratio is 1/2 ML. Additionally, we could observe the desorption of the oxygen atom from the NO2 moiety of the 4-NBA upon irradiating the surface by photons of 500 eV

  11. Characterization of iron surface modified by 2-mercaptobenzothiazole self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Feng Yuanyuan [Department of Chemistry, Shandong University, Jinan 250100 (China); Chen Shenhao [Department of Chemistry, Shandong University, Jinan 250100 (China) and State Key Laboratory for Corrosion and Protection, Shenyang 110016 (China)]. E-mail: shchen@sdu.edu.cn; Zhang Honglin [Department of Chemistry, Qufu Normal University, Qufu 273165 (China); Li Ping [Department of Chemistry, Shandong University, Jinan 250100 (China); Wu Ling [Department of Chemistry, Shandong University, Jinan 250100 (China); Guo Wenjuan [Department of Chemistry, Shandong University, Jinan 250100 (China)

    2006-12-30

    A self-assembled monolayer of 2-mercaptobenzothiazole (MBT) adsorbed on the iron surface was prepared. The films were characterized by electrochemical impedance spectroscopy (EIS), X-ray photoelectron spectroscopy (XPS), Fourier transform infrared reflection spectroscopy (FT-IR) and scanning electron microscopy (SEM). Besides, the microcalorimetry method was utilized to study the self-assembled process on iron surface and the adsorption mechanism was discussed from the power-time curve. The results indicated that MBT was able to form a film spontaneously on iron surface and the presence of it could protect iron from corrosion effectively. However, the assembling time and the concentration influence the protection efficiency. Quantum chemical calculations, according to which adsorption mechanism was discussed, could explain the experimental results to some extent.

  12. Microcontact printing technology as a method of fabrication of patterned self-assembled monolayers for application in nanometrology

    Science.gov (United States)

    Pałetko, Piotr; Moczała, Magdalena; Janus, Paweł; Grabiec, Piotr; Gotszalk, Teodor

    2013-07-01

    This paper is focused on manufacture technology of molecular self-assembled monolayers (SAM) using microcontact printing (μCP) techniqe. This technique, due to its low-cost and simplicity, is a very attractive one for further development of molecular electronics and nanotechnology. The SAM can be produced on gold or silicon oxide using thiol and silane based chemistry respectively[1]. The μCP techniques allow the imposition of molecular structures in specific areas. The chemical properties of the fabricated layers depend on the functional groups of tail molecules. Such structures can be used as chemical receptors or as interface between the substrate and the biosensor receptors [2]. Architecture of the tail molecule determines the chemical reactivity and hydrophilic or hydrophobic properties. In addition it modifies the tribological properties [4] and electrical structure parameters, such as contact potential diference (CPD) [5]. The height of the SAM structure containing carbon chain is highly dependent on the length and type of binding molecules to the substrate, which enables application of the μCP SAM structures in height metrology. The results of these studies will be presented in the work.

  13. Evidence for quantum interference in sams of arylethynylene thiolates in tunneling junctions with eutectic Ga-In (EGaIn) top-contacts : The influence of π-conjugation on the single-molecule conductance at a solid/liquid interface

    NARCIS (Netherlands)

    Fracasso, Davide; Valkenier, Hennie; Hummelen, Jan C.; Solomon, Gemma C.; Chiechi, Ryan C.; Hong, Wenjing; Mészáros, Gábor; Zsolt Manrique, David; Mishchenko, Artem; Putz, Alexander; Moreno García, Pavel; Lambert, Colin J.; Wandlowski, Thomas; Ruitenbeek, J.M. van

    2011-01-01

    This paper compares the current density (J) versus applied bias (V) of self-assembled monolayers (SAMs) of three different ethynylthiophenol-functionalized anthracene derivatives of approximately the same thickness with linear-conjugation (AC), cross-conjugation (AQ), and broken-conjugation (AH)

  14. Crystal structures of the SAM-III/S[subscript MK] riboswitch reveal the SAM-dependent translation inhibition mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Lu, C.; Smith, A.M.; Fuchs, R.T.; Ding, F.; Rajashankar, K.; Henkin, T.M.; Ke, A. (Cornell); (OSU)

    2010-01-07

    Three distinct classes of S-adenosyl-L-methionine (SAM)-responsive riboswitches have been identified that regulate bacterial gene expression at the levels of transcription attenuation or translation inhibition. The SMK box (SAM-III) translational riboswitch has been identified in the SAM synthetase gene in members of the Lactobacillales. Here we report the 2.2-{angstrom} crystal structure of the Enterococcus faecalis SMK box riboswitch. The Y-shaped riboswitch organizes its conserved nucleotides around a three-way junction for SAM recognition. The Shine-Dalgarno sequence, which is sequestered by base-pairing with the anti-Shine-Dalgarno sequence in response to SAM binding, also directly participates in SAM recognition. The riboswitch makes extensive interactions with the adenosine and sulfonium moieties of SAM but does not appear to recognize the tail of the methionine moiety. We captured a structural snapshot of the SMK box riboswitch sampling the near-cognate ligand S-adenosyl-L-homocysteine (SAH) in which SAH was found to adopt an alternative conformation and fails to make several key interactions.

  15. Redox Behavior of the S-Adenosylmethionine (SAM)-Binding Fe-S Cluster in Methylthiotransferase RimO, toward Understanding Dual SAM Activity.

    Science.gov (United States)

    Molle, Thibaut; Moreau, Yohann; Clemancey, Martin; Forouhar, Farhad; Ravanat, Jean-Luc; Duraffourg, Nicolas; Fourmond, Vincent; Latour, Jean-Marc; Gambarelli, Serge; Mulliez, Etienne; Atta, Mohamed

    2016-10-18

    RimO, a radical-S-adenosylmethionine (SAM) enzyme, catalyzes the specific C 3 methylthiolation of the D89 residue in the ribosomal S 12 protein. Two intact iron-sulfur clusters and two SAM cofactors both are required for catalysis. By using electron paramagnetic resonance, Mössbauer spectroscopies, and site-directed mutagenesis, we show how two SAM molecules sequentially bind to the unique iron site of the radical-SAM cluster for two distinct chemical reactions in RimO. Our data establish that the two SAM molecules bind the radical-SAM cluster to the unique iron site, and spectroscopic evidence obtained under strongly reducing conditions supports a mechanism in which the first molecule of SAM causes the reoxidation of the reduced radical-SAM cluster, impeding reductive cleavage of SAM to occur and allowing SAM to methylate a HS - ligand bound to the additional cluster. Furthermore, by using density functional theory-based methods, we provide a description of the reaction mechanism that predicts the attack of the carbon radical substrate on the methylthio group attached to the additional [4Fe-4S] cluster.

  16. MURI Center for Materials Chemistry in the Space Environment

    Science.gov (United States)

    2006-11-30

    ionic species in relevant reaction environments, surface photochemistry expertise, synchrotron-based measurement and irradiation, synthesis of structural...and Ne+ ions with dodecanethiolate and semifluorinated dodecanethiolate self-assembled monolayers (SAM), polyhedral oligomeric silsesquioxane (POSS...POSS/Kapton models as gas phase species, and with alkane thiol self assembled monolayers on gold surfaces, and with liquid squalane. We have also

  17. Advanced chemistry of monolayers at interfaces trends in methodology and technology

    CERN Document Server

    Imae, Toyoko

    2007-01-01

    Advanced Chemistry of Monolayers at Interfaces describes the advanced chemistry of monolayers at interfaces. Focusing on the recent trends of methodology and technology, which are indispensable in monolayer science. They are applied to monolayers of surfactants, amphiphiles, polymers, dendrimers, enzymes, and proteins, which serve many uses.Introduces the methodologies of scanning probe microscopy, surface force instrumentation, surface spectroscopy, surface plasmon optics, reflectometry, and near-field scanning optical microscopy. Modern interface reaction method, lithographic tech

  18. Understanding the effects of packing and chemical terminations on the optical excitations of azobenzene-functionalized self-assembled monolayers

    Science.gov (United States)

    Cocchi, Caterina; Draxl, Claudia

    2017-10-01

    In a first-principles study based on many-body perturbation theory, we analyze the optical excitations of azobenzene-functionalized self-assembled monolayers (SAMs) with increasing packing density and different terminations, considering for comparison the corresponding gas-phase molecules and dimers. Intermolecular coupling increases with the density of the chromophores independently of the functional groups. The intense π → π* resonance that triggers photo-isomerization is present in the spectra of isolated dimers and diluted SAMs, but it is almost completely washed out in tightly packed architectures. Intermolecular coupling is partially inhibited by mixing differently functionalized azobenzene derivatives, in particular when large groups are involved. In this way, the excitation band inducing the photo-isomerization process is partially preserved and the effects of dense packing partly counterbalanced. Our results suggest that a tailored design of azobenzene-functionalized SAMs which optimizes the interplay between the packing density of the chromophores and their termination can lead to significant improvements in the photo-switching efficiency of these systems.

  19. Simulations of molecular self-assembled monolayers on surfaces: packing structures, formation processes and functions tuned by intermolecular and interfacial interactions.

    Science.gov (United States)

    Wen, Jin; Li, Wei; Chen, Shuang; Ma, Jing

    2016-08-17

    Surfaces modified with a functional molecular monolayer are essential for the fabrication of nano-scale electronics or machines with novel physical, chemical, and/or biological properties. Theoretical simulation based on advanced quantum chemical and classical models is at present a necessary tool in the development, design, and understanding of the interfacial nanostructure. The nanoscale surface morphology, growth processes, and functions are controlled by not only the electronic structures (molecular energy levels, dipole moments, polarizabilities, and optical properties) of building units but also the subtle balance between intermolecular and interfacial interactions. The switchable surfaces are also constructed by introducing stimuli-responsive units like azobenzene derivatives. To bridge the gap between experiments and theoretical models, opportunities and challenges for future development of modelling of ferroelectricity, entropy, and chemical reactions of surface-supported monolayers are also addressed. Theoretical simulations will allow us to obtain important and detailed information about the structure and dynamics of monolayer modified interfaces, which will guide the rational design and optimization of dynamic interfaces to meet challenges of controlling optical, electrical, and biological functions.

  20. Non-invasive SFG spectroscopy: a tool to reveal the conformational change of grafted chains due to bacterial adhesion

    Science.gov (United States)

    Bulard, Emilie; Dubost, Henri; Fontaine-Aupart, Marie-Pierre; Zheng, Wanquan; Herry, Jean-Marie; Bellon-Fontaine, Marie-No"lle; Briandet, Romain; Bourguignon, Bernard

    2011-07-01

    In many fields such as biomedical or food industry, surface colonization by micro-organisms leads to biofilms formation that are tridimentional biostructures highly resistant to the action of antimicrobials, by mechanisms still unclear. In order to deepen our understanding of the initial interaction of bacteria cells with a solid surface, we analyze by in situ vibrational Sum Frequency Generation (SFG) spectroscopy the effect of the adhesion of hydrophilic Lactoccocus lactis bacteria and its hydrophobic mutants in distilled water on a self-assembled monolayer (SAM) of octadecanethiol (ODT) on a gold film. When a homogeneous bacterial monolayer is deposited on this ordered surface, SFG spectrum of the ODT SAM shows significant intensity changes from that in air or in water. Its modelling as a function of conformation allows to distinguish optical effects due to the water solution surrounding bacteria from conformational changes of the ODT SAM due to the presence of the bacteria cells. Futhermore, bacterial adhesion induces different measurable effects on the ODT SAM conformation, depending on the hydrophobic / hydrophilic character of the bacterial surface. Such a result deserves to be taken into account for the design of new materials with improved properties or to control biofilm formation.

  1. Sum frequency generation (SFG) vibrational spectroscopy of planar phosphatidylethanolamine hybrid bilayer membranes under water.

    Science.gov (United States)

    Kett, Peter J N; Casford, Michael T L; Davies, Paul B

    2010-06-15

    Sum frequency generation (SFG) spectroscopy has been used to study the structure of phosphatidylethanolamine hybrid bilayer membranes (HBMs) under water at ambient temperatures. The HBMs were formed using a modified Langmuir-Schaefer technique and consisted of a layer of dipalmitoyl phosphatidylethanolamine (DPPE) physisorbed onto an octadecanethiol (ODT) self-assembled monolayer (SAM) at a series of surface pressures from 1 to 40 mN m(-1). The DPPE and ODT were selectively deuterated so that the contributions to the SFG spectra from the two layers could be determined separately. SFG spectra in both the C-H and C-D stretching regions confirmed that a monolayer of DPPE had been adsorbed to the ODT SAM and that there were gauche defects within the alkyl chains of the phospholipid. On adsorption of a layer of DPPE, methylene modes from the ODT SAM were detected, indicating that the phospholipid had partially disordered the alkanethiol monolayer. SFG spectra recorded in air indicated that removal of water from the surface of the HBM resulted in disruption of the DPPE layer and the formation of phospholipid bilayers.

  2. An Nd:YLF laser Q-switched by a monolayer-graphene saturable-absorber mirror

    International Nuclear Information System (INIS)

    Matía-Hernando, Paloma; Guerra, José Manuel; Weigand, Rosa

    2013-01-01

    We demonstrate Q-switched operation of a transversely diode-pumped Nd:YLF (yttrium lithium fluoride) laser using chemical vapour deposition-grown large-area monolayer graphene transferred to a dielectric saturable-absorber mirror (G-SAM). The resulting compact design operates at 1047 nm with 2.5 μs pulses in a 100% modulation Q-switch regime with an average and very stable output power of 0.5 W. Different cavity lengths have been employed and the results are compared against a theoretical model based on rate equations, evidencing the role of transverse pumping in the system. The model also reveals that monolayer graphene effectively leads to shorter and more powerful pulses compared to those with multilayer graphene. These results establish the potential of single-layer graphene for providing a reliable and efficient Q-switch mechanism in solid-state lasers. (paper)

  3. Surface functional group characterization using chemical derivatization X-ray photoelectron spectroscopy (CD-XPS)

    Energy Technology Data Exchange (ETDEWEB)

    Jagst, Eda

    2011-03-18

    Chemical derivatization - X-ray photolectron spectroscopy (CD-XPS) was applied successfully in order to determine different functional groups on thin film surfaces. Different amino group carrying surfaces, prepared by spin coating, self-assembly and plasma polymerization, were successfully investigated by (XPS) and near edge X-ray absorption fine structure (NEXAFS) spectroscopy. Amino groups were derivatized with the widely used primary amino group tags, pentafluorobenzaldehyde (PFB) and 4-(trifluoromethyl)-benzaldehyde (TFBA), prior to analysis. Primary amino group quantification was then carried out according to the spectroscopical data. Self-assembled monolayers (SAMs) of different terminal groups were prepared and investigated with XPS and spectra were compared with reference surfaces. An angle resolved NEXAFS measurement was applied to determine the orientation of SAMs. Plasma polymerized allylamine samples with different duty cycle, power and pressure values were prepared in order to study the effects of external plasma parameters on the primary amino group retention. CD-XPS was used to quantify the amino groups and experiments show, that the milder plasma conditions promote the retention of amino groups originating from the allylamine monomer. An interlaboratory comparison of OH group determination on plasma surfaces of polypropylene treated with oxygen plasma, was studied. The surfaces were investigated with XPS and the [OH] amount on the surfaces was calculated. (orig.)

  4. NOx Binding and Dissociation: Enhanced Ferroelectric Surface Chemistry by Catalytic Monolayers

    Science.gov (United States)

    Kakekhani, Arvin; Ismail-Beigi, Sohrab

    2013-03-01

    NOx molecules are regulated air pollutants produced during automotive combustion. As part of an effort to design viable catalysts for NOx decomposition operating at higher temperatures that would allow for improved fuel efficiency, we examine NOx chemistry on ferroelectric perovskite surfaces. Changing the direction of ferroelectric polarization can modify surface electronic properties and may lead to switchable surface chemistry. Here, we describe our recent work on potentially enhanced surface chemistry using catalytic RuO2 monolayers on perovskite ferroelectric substrates. In addition to thermodynamic stabilization of the RuO2 layer, we present results on the polarization-dependent binding of NO, O2, N2, and atomic O and N. We present results showing that one key problem with current catalysts, involving the difficulty of releasing dissociation products (especially oxygen), can be ameliorated by this method. Primary support from Toyota Motor Engineering and Manufacturing, North America, Inc.

  5. Linear and Star Poly(ionic liquid) Assemblies: Surface Monolayers and Multilayers.

    Science.gov (United States)

    Erwin, Andrew J; Xu, Weinan; He, Hongkun; Matyjaszewski, Krzysztof; Tsukruk, Vladimir V

    2017-04-04

    The surface morphology and organization of poly(ionic liquid)s (PILs), poly[1-(4-vinylbenzyl)-3-butylimidazolium bis(trifluoromethylsulfonyl)imide] are explored in conjunction with their molecular architecture, adsorption conditions, and postassembly treatments. The formation of stable PIL Langmuir and Langmuir-Blodgett (LB) monolayers at the air-water and air-solid interfaces is demonstrated. The hydrophobic bis(trifluoromethylsulfonyl)imide (Tf 2 N - ) is shown to be a critical agent governing the assembly morphology, as observed in the reversible condensation of LB monolayers into dense nanodroplets. The PIL is then incorporated as an unconventional polyelectrolyte component in the layer-by-layer (LbL) films of hydrophobic character. We demonstrate that the interplay of capillary forces, macromolecular mobility, and structural relaxation of the polymer chains influence the dewetting mechanisms in the PIL multilayers, thereby enabling access to a diverse set of highly textured, porous, and interconnected network morphologies for PIL LbL films that would otherwise be absent in conventional LbL films. Their compartmentalized internal structure is relevant to molecular separation membranes, ultrathin hydrophobic coatings, targeted cargo delivery, and highly conductive films.

  6. From Thin Films to Monolayer, A Systematic Approach for BTBT Based Organic Field Effect Transistors

    OpenAIRE

    Yousefi Amin, Atefeh

    2013-01-01

    This work focuses on theoretical and experimental understanding of how low-voltage organic field effect transistors based on BTBT ([1] benzothieno[3,2-b][1]benzothiophene) operate. The focus is in deducing the electrical and interfacial landscape in the device, while using ultra-thin hybrid layers of AlOx/SAM (Self-Assembled Monolayer) as a dielectric. This thesis proposes a systematic study on an optimum solution for facing challenges in molecular and device properties. It first focuses on d...

  7. Monolayer collapse regulating process of adsorption-desorption of palladium nanoparticles at fatty acid monolayers at the air-water interface.

    Science.gov (United States)

    Goto, Thiago E; Lopez, Ricardo F; Iost, Rodrigo M; Crespilho, Frank N; Caseli, Luciano

    2011-03-15

    In this paper, we investigate the affinity of palladium nanoparticles, stabilized with glucose oxidase, for fatty acid monolayers at the air-water interface, exploiting the interaction between a planar system and spheroids coming from the aqueous subphase. A decrease of the monolayer collapse pressure in the second cycle of interface compression proved that the presence of the nanoparticles causes destabilization of the monolayer in a mechanism driven by the interpenetration of the enzyme into the bilayer/multilayer structure formed during collapse, which is not immediately reversible after monolayer expansion. Surface pressure and surface potential-area isotherms, as well as infrared spectroscopy [polarization modulation infrared reflection adsorption spectroscopy (PM-IRRAS)] and deposition onto solid plates as Langmuir-Blodgett (LB) films, were employed to construct a model in which the nanoparticle has a high affinity for the hydrophobic core of the structure formed after collapse, which provides a slow desorption rate from the interface after monolayer decompression. This may have important consequences on the interaction between the metallic particles and fatty acid monolayers, which implies the regulation of the multifunctional properties of the hybrid material.

  8. Use of piezoelectric-excited millimeter-sized cantilever sensors to measure albumin interaction with self-assembled monolayers of alkanethiols having different functional headgroups.

    Science.gov (United States)

    Campbell, Gossett A; Mutharasan, Raj

    2006-04-01

    In this paper, we describe a new modality of measuring human serum albumin (HSA) adsorption continuously on CH3-, COOH-, and OH-terminated self-assembled monolayers (SAMs) of C11-alkanethiols and the direct quantification of the adsorbed amount. A gold-coated piezoelectric-excited millimeter-sized cantilever (PEMC) sensor of 6-mm2 sensing area was fabricated, where resonant frequency decreases upon mass increase. The resonant frequency in air of the detection peak was 45.5 +/- 0.01 kHz. SAMs of C11-thiols (in absolute ethanol) with different end groups was prepared on the PEMC sensor and then exposed to buffer solution containing HSA at 10 microg/mL. The resonant frequency decreased exponentially and reached a steady-state value within 30 min. The decrease in resonant frequency indicates that the mass of the sensor increased due to HSA adsorption onto the SAM layer. The frequency change obtained for the HSA adsorption on CH3-, COOH-, and OH-terminated SAM were 520.8 +/- 8.6 (n = 3), 290.4 +/- 6.1 (n = 2), and 210.6 +/- 8.1 Hz (n = 3), respectively. These results confirm prior conclusions that albumin adsorption decreased in the order, CH(3) > COOH > OH. Observed binding rate constants were 0.163 +/- 0.003, 0.248 +/- 0.006, and 0.381 +/- 0.001 min(-1), for methyl, carboxylic, and hydroxyl end groups, respectively. The significance of the results reported here is that both the formation of self-assembled monolayers and adsorption of serum protein onto the formed layer can be measured continuously, and quantification of the adsorbed amount can be determined directly.

  9. Directed spatial organization of zinc oxide nanostructures

    Science.gov (United States)

    Hsu, Julia [Albuquerque, NM; Liu, Jun [Richland, WA

    2009-02-17

    A method for controllably forming zinc oxide nanostructures on a surface via an organic template, which is formed using a stamp prepared from pre-defined relief structures, inking the stamp with a solution comprising self-assembled monolayer (SAM) molecules, contacting the stamp to the surface, such as Ag sputtered on Si, and immersing the surface with the patterned SAM molecules with a zinc-containing solution with pH control to form zinc oxide nanostructures on the bare Ag surface.

  10. Mimicking the properties of antifreeze glycoproteins: synthesis and characterization of a model system for ice nucleation and antifreeze studies.

    Science.gov (United States)

    Hederos, Markus; Konradsson, Peter; Borgh, Annika; Liedberg, Bo

    2005-08-25

    Synthesis of beta-D-Gal-(1 --> 3)-beta-D-GalNAc coupled to HOC2H4NHCOC15H30SH is described. This compound was coadsorbed at various proportions with C2H5OC2H4NHCOC15H30SH to form statistically mixed self-assembled monolayers (SAMs) on gold in an attempt to mimic the properties of the active domain in antifreeze glycoproteins (AFGPs). The monolayers were characterized by null ellipsometry, contact angle goniometry, X-ray photoelectron spectroscopy, and infrared reflection-absorption spectroscopy. The disaccharide compound adsorbed preferentially, and SAMs prepared at a solution molar ratio >0.3 displayed total wetting. The mixed SAMs showed well-organized alkyl chains up to a disaccharide surface fraction of 0.8. The amount of gauche conformers in the alkyls increased rapidly above this point, and the monolayers became disordered and less densely packed. Furthermore, the generated mixed SAMs were subjected to water vapor at constant relative humidity and the subsequent ice crystallization on a cooled substrate was monitored via an optical microscope. Interestingly, rapid crystallization occurred within a narrow range of temperatures on mixed SAMs with a high disaccharide content, surface fraction >0.3. The reported crystallization temperatures and the ice layer topography were compared with results obtained for a much simpler reference system composed of -OH/-CH3 terminated n-alkanethiols in order to account for changes in topography of the water/ice layer with surface energy. Although preliminary, the obtained results can be useful in the search for the molecular mechanism behind the antifreeze activity of AFGPs.

  11. Affinity of serum apolipoproteins for lipid monolayers

    International Nuclear Information System (INIS)

    Ibdah, J.A.

    1987-01-01

    The effects of lipid composition and packing as well as the structure of the protein on the affinities of apolipoproteins for lipid monolayers have been investigated. The adsorption of 14 C-reductively methylated human apolipoproteins A-I and A-II at saturating subphase concentrations to monolayers prepared with synthetic lipids or lipoprotein surface lipids spread at various initial surface pressures has been studied. The adsorption of apolipoproteins is monitored by following the surface radioactivity using a gas flow counter and Wilhelmy plate, respectively. The physical states of the lipid monolayers are evaluated by measurement of the surface pressure-molecular area isotherms using a Langmuir-Adam surface balance. The probable helical regions in various apolipoproteins have been predicted using a secondary structure analysis computer program. The mean residue hydrophobicity and mean residue hydrophobic moment for the predicted helical segments have been calculated. The surface properties of synthetic peptides which are amphipathic helix analogs have been investigated at the air-water and lipid-water interfaces

  12. Surface cleaning and adsorbate layer formation: Dual role of alkylamines in the formation of self-assembled monolayers on cuprate superconductors

    International Nuclear Information System (INIS)

    Ritchie, J.E.; Murray, W.R.; Kershan, K.; Diaz, V.; Tran, L.; McDevitt, J.T.

    1999-01-01

    The development of monolayer adsorption chemistry for superconductor surfaces is particularly important for a number of practical and fundamental reasons. As high-T c superconductors begin to approach the marketplace in areas of communications, power industries, medical applications, and scientific instrumentation, the development of new soft chemistry approaches for the surface modification of these technologically relevant electronic materials becomes increasingly important. Monolayer adsorption chemistry has been developed extensively for electronic materials such as metals, semiconductors, and insulators. These methodologies have been expanded recently to include a variety of high-temperature superconductors (HTSCs). The authors describe a series of new X-ray photoelectron spectroscopy (XPS), four-point conductivity, critical current, atomic absorption spectroscopy (AAS), grazing angle infrared spectroscopy, and GE--MS experiments, which lead the suggestion that an entirely different mechanism is involved in the formation of HTSC-localized monolayers. According to the new model, the amine reagents serve two chemically distinct roles. In the initial phase, the degraded superconductor exteriors is etched away with the help of the amine compounds. The etching process proceeds to the point where fresh YBa 2 Cu 3 O 7 is exposed, and only at this point do the amines adsorb and remain at the YBa 2 Cu 3 O 7 surface. As the adsorption process continues, there is an accumulation of an organized monolayer at the surface, which prevents further etching of the YBa 2 Cu 3 O 7 material

  13. Protonation of octadecylamine Langmuir monolayer by adsorption of halide counterions

    Science.gov (United States)

    Sung, Woongmo; Avazbaeva, Zaure; Lee, Jonggwan; Kim, Doseok

    Langmuir monolayer consisting of octadecylamine (C18H37NH2, ODA) was investigated by heterodyne vibrational sum-frequency generation (HD-VSFG) spectroscopy in conjunction with surface pressure-area (π- A) isotherm, and the result was compared with that from cationic-lipid (DPTAP) Langmuir monolayer. In case of ODA monolayer on pure water, both SF intensity of water OH band and the surface pressure were significantly smaller than those of the DPTAP monolayer implying that only small portion of the amine groups (-NH3+ is protonated in the monolayer. In the presence of sodium halides (NaCl and NaI) in the subphase water, it was found that the sign of Imχ (2) of water OH band remained the same as that of the ODA monolayer on pure water, but there was a substantial increase in the SF amplitude. From this, we propose that surface excess of the halide counterions (Cl- and I-) makes the solution condition near the ODA monolayer/water interface more acidic so that ODA molecules in the monolayer are more positively charged, which works to align the water dipoles at the interface.

  14. Background of SAM atom-fraction profiles

    International Nuclear Information System (INIS)

    Ernst, Frank

    2017-01-01

    Atom-fraction profiles acquired by SAM (scanning Auger microprobe) have important applications, e.g. in the context of alloy surface engineering by infusion of carbon or nitrogen through the alloy surface. However, such profiles often exhibit an artifact in form of a background with a level that anti-correlates with the local atom fraction. This article presents a theory explaining this phenomenon as a consequence of the way in which random noise in the spectrum propagates into the discretized differentiated spectrum that is used for quantification. The resulting model of “energy channel statistics” leads to a useful semi-quantitative background reduction procedure, which is validated by applying it to simulated data. Subsequently, the procedure is applied to an example of experimental SAM data. The analysis leads to conclusions regarding optimum experimental acquisition conditions. The proposed method of background reduction is based on general principles and should be useful for a broad variety of applications. - Highlights: • Atom-fraction–depth profiles of carbon measured by scanning Auger microprobe • Strong background, varies with local carbon concentration. • Needs correction e.g. for quantitative comparison with simulations • Quantitative theory explains background. • Provides background removal strategy and practical advice for acquisition

  15. Background of SAM atom-fraction profiles

    Energy Technology Data Exchange (ETDEWEB)

    Ernst, Frank

    2017-03-15

    Atom-fraction profiles acquired by SAM (scanning Auger microprobe) have important applications, e.g. in the context of alloy surface engineering by infusion of carbon or nitrogen through the alloy surface. However, such profiles often exhibit an artifact in form of a background with a level that anti-correlates with the local atom fraction. This article presents a theory explaining this phenomenon as a consequence of the way in which random noise in the spectrum propagates into the discretized differentiated spectrum that is used for quantification. The resulting model of “energy channel statistics” leads to a useful semi-quantitative background reduction procedure, which is validated by applying it to simulated data. Subsequently, the procedure is applied to an example of experimental SAM data. The analysis leads to conclusions regarding optimum experimental acquisition conditions. The proposed method of background reduction is based on general principles and should be useful for a broad variety of applications. - Highlights: • Atom-fraction–depth profiles of carbon measured by scanning Auger microprobe • Strong background, varies with local carbon concentration. • Needs correction e.g. for quantitative comparison with simulations • Quantitative theory explains background. • Provides background removal strategy and practical advice for acquisition.

  16. Removal of dangling bonds and surface states on silicon (001) with a monolayer of selenium

    International Nuclear Information System (INIS)

    Tao Meng; Udeshi, Darshak; Basit, Nasir; Maldonado, Eduardo; Kirk, Wiley P.

    2003-01-01

    Dangling bonds and surface states are inherent to semiconductor surfaces. By passivating dangling bonds on the silicon (001) surface with a monolayer of selenium, surface states are removed from the band gap. Magnesium contacts on selenium-passivated silicon (001) behave ohmically, as expected from the work function of magnesium and the electron affinity of silicon. After rapid thermal annealing and hot-plate annealing, magnesium contacts on selenium-passivated silicon (001) show better thermal stability than on hydrogen-passivated silicon (001), which is attributed to the suppression of silicide formation by selenium passivation

  17. Study of the helium cross-section of unsymmetric disulfide self-assembled monolayers on Au(111)

    Energy Technology Data Exchange (ETDEWEB)

    Albayrak, Erol [Department of Materials and Metallurgical Engineering, Ahi Evran University, Kırşehir 40000 (Turkey); Karabuga, Semistan [Department of Chemistry, Kahramanmaraş Sütçü İmam University, Kahramanmaraş 46030 (Turkey); Bracco, Gianangelo [CNR-IMEM and Department of Physics, University of Genoa, Via Dodecaneso 33, Genoa 16146 (Italy); Danışman, M. Fatih, E-mail: danisman@metu.edu.tr [Department of Chemistry, Middle East Technical University, Ankara 06800 (Turkey)

    2016-12-30

    Highlights: • Unsymmetrtic disulfide (HDD and HOD) self assembled monolayers were grown on Au(111) by supersonic molecular beam deposition. • Helium scattering cross sections for these two different unsymmetric disulfides were determined. • A common low temperature film phase was observed for the studied disulfides. - Abstract: We have investigated the formation of self-assembled monolayers (SAMs) of 11-hydroxyundecyl decyl disulfide (CH{sub 3}-(CH{sub 2}){sub 9}-S-S-(CH{sub 2}){sub 11}-OH, HDD) and 11-hydroxyundecyl octadecyl disulfide (CH{sub 3}-(CH{sub 2}){sub 17}-S-S-(CH{sub 2}){sub 11}-OH, HOD) produced by supersonic molecular beam deposition (SMBD). The study has been carried out by means of helium diffraction at very low film coverage. In this regime helium single molecule cross sections have been estimated in a temperature range between 100 K and 450 K. The results show a different behavior above 300 K that has been interpreted as the starting of mobility with the formation of two thiolate moieties either linked by a gold adatom or distant enough to prevent cross section overlapping. Finally, helium diffraction patterns measured at 80 K for the SAMs grown at 200 K are discussed and the results support the proposed hypothesis of molecular dissociation based on the cross section data.

  18. Surface analysis of gold nanoparticles functionalized with thiol-modified glucose SAMs for biosensor applications.

    Directory of Open Access Journals (Sweden)

    Valentina eSpampinato

    2016-02-01

    Full Text Available In this work, Time of Flight Secondary Ion Mass Spectrometry (ToF-SIMS, Principal Component Analysis (PCA and X-ray Photoelectron Spectroscopy (XPS have been used to characterize the surface chemistry of gold substrates before and after functionalization with thiol-modified glucose self-assembled monolayers and subsequent biochemical specific recognition of maltose binding protein (MBP.The results indicate that the surface functionalization is achieved both on flat and nanoparticles gold substrates thus showing the potential of the developed system as biodetection platform. Moreover, the method presented here has been found to be a sound and valid approach to characterize the surface chemistry of nanoparticles functionalized with large molecules.Both techniques were proved to be very useful tools for monitoring all the functionalization steps, including the investigation of the biological behaviour of the glucose-modified particles in presence of the maltose binding protein.

  19. SAM International Case Studies: DPV Analysis in Mexico

    Energy Technology Data Exchange (ETDEWEB)

    McCall, James D [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2018-03-28

    Presentation demonstrates the use of the System Advisor Model (SAM) in international analyses, specifically Mexico. Two analyses are discussed with relation to SAM modelling efforts: 1) Customer impacts from changes to net metering and billing agreements and 2) Potential benefits of PV for Mexican solar customers, the Mexican Treasury, and the environment. Along with the SAM analyses, integration of the International Utility Rate Database (I-URDB) with SAM and future international SAM work are discussed. Presentation was created for the International Solar Energy Society's (ISES) webinar titled 'International use of the NREL System Advisor Model (SAM) with case studies'.

  20. Laterally enhanced growth of electrodeposited Au to form ultrathin films on nonconductive surfaces

    International Nuclear Information System (INIS)

    Kobayashi, Chiaki; Saito, Mikiko; Homma, Takayuki

    2012-01-01

    We investigated the laterally enhanced growth of electrodeposited Au for fabricating nanogap electrodes. To enhance the lateral growth, we carried out electrodeposition over patterned electrodes onto a SiO 2 surface modified with self-assembled monolayers (SAMs) or dendrimers with amine groups. The morphology and thickness of the Au films were controlled by adjusting deposition conditions such as duration, applied potential, and Au ion concentration in the bath. To investigate the mechanism of the laterally enhanced growth, the surface states of SAM- or dendrimer-modified SiO 2 were analyzed by X-ray photoelectron spectroscopy (XPS). The XPS results indicate the existence of organic molecules and Au ions on the SiO 2 surface, which suggests that laterally enhanced growth is induced by the Au ions coordinated on the amine groups of the organic molecules. To further analyze the mechanism of the laterally enhanced growth, we investigated the relationship between the morphology of the laterally enhanced growth of Au and the amount of Au ions on organic molecules. The laterally enhanced growth of Au is expected to be useful for fabricating thin film nanogap electrodes.

  1. Comparative study of normal and branched alkane monolayer films adsorbed on a solid surface. I. Structure

    DEFF Research Database (Denmark)

    Enevoldsen, Ann Dorrit; Hansen, Flemming Yssing; Diama, A.

    2007-01-01

    their backbone and squalane has, in addition, six methyl side groups. Upon adsorption, there are significant differences as well as similarities in the behavior of these molecular films. Both molecules form ordered structures at low temperatures; however, while the melting point of the two-dimensional (2D......The structure of a monolayer film of the branched alkane squalane (C30H62) adsorbed on graphite has been studied by neutron diffraction and molecular dynamics (MD) simulations and compared with a similar study of the n-alkane tetracosane (n-C24H52). Both molecules have 24 carbon atoms along...... temperature. The neutron diffraction data show that the translational order in the squalane monolayer is significantly less than in the tetracosane monolayer. The authors' MD simulations suggest that this is caused by a distortion of the squalane molecules upon adsorption on the graphite surface. When...

  2. Monolayer alignment on azobenzene surfaces during UV light irradiation: Analysis of optical polarized absorption measurement results and theoretical treatment

    International Nuclear Information System (INIS)

    Zakharov, A.V.; Taguchi, Dai; Manaka, Takaaki; Iwamoto, Mitsumasa

    2006-01-01

    The influence of the charge separation during the trans-cis conformational change on the surface of azobenzene 6Az10PVA monolayer on the polar liquid-crystal monolayer film, such as 4-n-pentyl-4 ' -cyanobiphenyl(5CB), is investigated. The effective anchoring energy (in the Rapini-Papolar form) is phenomenologically described in the framework of the molecular model, which takes into account the interaction between the surface polarization and surface electric field, for number of conformational states of the boundary surface. It is shown, using the experimental data for the voltage across the 6Az10PVA+5CB film, provided by the surface-potential technique, that the charge separation during the conformational changing, caused by the UV irradiation, may lead to changing of the surface alignment of liquid-crystalline molecules. The influence of the photoisomerization process on the orientational order parameter S 2 (t) using the optical polarized absorption measurement is also investigated

  3. Microchannel-flowed-plasma modification of octadecyltrichlorosilane self-assembled-monolayers for liquid crystal alignment

    International Nuclear Information System (INIS)

    Zheng, W.; Chiang, C.-Y.; Underwood, I.

    2013-01-01

    We report that a chemical patterning technique based on local plasma modification of self-assembled monolayers has been utilized to fabricate surfaces for domain liquid crystal alignment. Highly hydrophobic octadecyltrichlorosilane monolayers deposited on glass substrates coated with Indium-Tin-Oxide were brought into contact with elastomeric stamps comprising trenches on a micro scale, and then exposed to an oxygen plasma. In the regions exposed to the plasma the monolayer was etched away leaving a patterned surface that exhibited surface energy differences between surface domains. The surfaces that bear the micropatterns have been shown to be capable of producing patterned alignment of nematic liquid crystal. - Highlights: • Chemical surface-patterning is used to fabricate liquid crystal alignment surface. • Highly hydrophobic octadecyltrichlorosilane monolayer is deposited on substrate. • O 2 plasma flow is used to etch the monolayer to form patterned surface. • The patterned surface exhibits surface energy differences between surface domains. • The surface borne the micropatterns is capable of domain liquid crystal alignment

  4. Tribology and hydrophobicity of a biocompatible GPTMS/PFPE coating on Ti6Al4V surfaces.

    Science.gov (United States)

    Panjwani, Bharat; Sinha, Sujeet K

    2012-11-01

    Tribological properties of perfluoropolyether (PFPE) coated 3-glycidoxypropyltrimethoxy silane (GPTMS) SAMs (self-assembled monolayers) onto Ti6Al4V alloy substrate were studied using ball-on-disk experiments. GPTMS SAMs deposition onto a Ti6Al4V alloy surface was carried out using solution phase method. Ultra-thin layer of PFPE was dip-coated onto SAMs modified specimens. Tribological tests were carried out at 0.2 N normal load and rotational speed of 200 rpm using track radius of 2 mm. Wear track and counterface surface conditions were investigated using optical microscopy. PFPE modified specimens were baked at 150 °C for 1h to investigate the effect of thermal treatment on tribological properties. Surface characterization tests such as contact angle measurement, AFM morphology and X-ray photoelectron spectroscopy were carried out for differently modified specimens. PFPE overcoat meets the requirements of cytotoxicity test using the ISO 10993-5 elution method. PFPE top layer lowered the coefficient of friction and increased wear durability for different specimens (with and without GPTMS intermediate layer). PFPE overcoat onto GPTMS showed significant increase in the wear resistance compared with overcoat onto bare Ti6Al4V specimens. The observed improvement in the tribological properties can be attributed to the change in the interaction of PFPE molecules with the substrate surface due to the GPTMS intermediate layer. Copyright © 2012 Elsevier Ltd. All rights reserved.

  5. Direct patterning of negative nanostructures on self-assembled monolayers of 16-mercaptohexadecanoic acid on Au(111) substrate via dip-pen nanolithography

    International Nuclear Information System (INIS)

    Zheng Zhikun; Yang Menglong; Liu Yaqing; Zhang Bailin

    2006-01-01

    Both bare and self-assembled monolayer (SAM) protected gold substrate could be etched by allyl bromide according to atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS) and inductively coupled plasma mass spectrometric (ICPMS) analysis results. With this allyl bromide ink material, negative nanopatterns could be fabricated directly by dip-pen nanolithography (DPN) on SAMs of 16-mercaptohexadecanoic acid (MHA) on Au(111) substrate. A tip-promoted etching mechanism was proposed where the gold-reactive ink could penetrate the MHA resist film through tip-induced defects resulting in local corrosive removal of the gold substrate. The fabrication mechanism was also confirmed by electrochemical characterization, energy dispersive spectroscopy (EDS) analysis and fabrication of positive nanopatterns via a used DPN tip

  6. Direct patterning of negative nanostructures on self-assembled monolayers of 16-mercaptohexadecanoic acid on Au(111) substrate via dip-pen nanolithography

    Science.gov (United States)

    Zheng, Zhikun; Yang, Menglong; Liu, Yaqing; Zhang, Bailin

    2006-11-01

    Both bare and self-assembled monolayer (SAM) protected gold substrate could be etched by allyl bromide according to atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS) and inductively coupled plasma mass spectrometric (ICPMS) analysis results. With this allyl bromide ink material, negative nanopatterns could be fabricated directly by dip-pen nanolithography (DPN) on SAMs of 16-mercaptohexadecanoic acid (MHA) on Au(111) substrate. A tip-promoted etching mechanism was proposed where the gold-reactive ink could penetrate the MHA resist film through tip-induced defects resulting in local corrosive removal of the gold substrate. The fabrication mechanism was also confirmed by electrochemical characterization, energy dispersive spectroscopy (EDS) analysis and fabrication of positive nanopatterns via a used DPN tip.

  7. Photochemical properties and sensor applications of modified yellow fluorescent protein (YFP) covalently attached to the surfaces of etched optical fibers (EOFs).

    Science.gov (United States)

    Veselov, Alexey A; Abraham, Bobin George; Lemmetyinen, Helge; Karp, Matti T; Tkachenko, Nikolai V

    2012-01-01

    Fluorescent proteins have the inherent ability to act as sensing components which function both in vitro and inside living cells. We describe here a novel study on a covalent site-specific bonding of fluorescent proteins to form self-assembled monolayers (SAMs) on the surface of etched optical fibers (EOFs). Deposition of fluorescent proteins on EOFs gives the opportunity to increase the interaction of guided light with deposited molecules relative to plane glass surfaces. The EOF modification is carried out by surface activation using 3-aminopropylthrimethoxysilane (APTMS) and bifunctional crosslinker sulfosuccinimidyl 4-[N-maleimidomethyl]cyclohexane-1-carboxylate (sulfo-SMCC) which exposes sulfhydryl-reactive maleimide groups followed by covalent site-specific coupling of modified yellow fluorescent protein (YFP). Steady-state and fluorescence lifetime measurements confirm the formation of SAM. The sensor applications of YPF SAMs on EOF are demonstrated by the gradual increase of emission intensity upon addition of Ca(2+) ions in the concentration range from a few tens of micromolars up to a few tens of millimolars. The studies on the effect of pH, divalent cations, denaturing agents, and proteases reveal the stability of YFP on EOFs at normal physiological conditions. However, treatments with 0.5% SDS at pH 8.5 and protease trypsin are found to denaturate or cleave the YFP from fiber surfaces.

  8. Unobstructed electron transfer on porous polyelectrolyte nanostructures and its characterization by electrochemical surface plasmon resonance

    Energy Technology Data Exchange (ETDEWEB)

    Davis, Bryce W.; Linman, Matthew J.; Linley, Kamara S.; Hare, Christopher D. [Department of Chemistry, University of California, Riverside, CA 92521 (United States); Cheng Quan, E-mail: quan.cheng@ucr.ed [Department of Chemistry, University of California, Riverside, CA 92521 (United States)

    2010-06-01

    Thin organic films with desirable redox properties have long been sought in biosensor research. We report here the development of a polymer thin film interface with well-defined hierarchical nanostructure and electrochemical behavior, and its characterization by electrochemical surface plasmon resonance (ESPR) spectroscopy. The nano-architecture build-up is monitored in real time with SPR, while the redox response is characterized by cyclic voltammetry in the same flow cell. The multilayer assembly is built on a self-assembled monolayer (SAM) of 1:1 (molar ratio) 11-ferrocenyl-1-undecanethiolate (FUT) and mercaptoundecanoic acid (MUA), and constructed using a layer-by-layer deposition of cationic poly(allylamine hydrochloride) (PAH) and anionic poly(sodium 4-styrenesulfonate) (PSS). Electron transfer (ET) on the mixed surface and the effect of the layer structures on ET are systematically studied. Under careful control, multiple layers can be deposited onto the 1:1 FUT/MUA SAM that presents unobstructed redox chemistry, indicating a highly ordered, extensively porous structure obtained under this condition. The use of SPR to trace the minute change during the electrochemical process offers neat characterization of local environment at the interface, in particular double layer region, allowing for better control over the redox functionality of the multilayers. The 1:1 SAM has a surface coverage of 4.1 +- 0.3 x 10{sup -10} mol cm{sup -2} for ferrocene molecules and demonstrates unperturbed electrochemistry activity even in the presence of a 13 nm polymer film adhered to the electrode surface. This thin layer possesses some desirable properties similar to those on a SAM while presenting approx15 nm exceedingly porous structure for high loading capacity. The high porosity allows perchlorate to freely partition into the film, leading to high current density that is useful for sensitive electrochemical measurements.

  9. Samsø Energy Vision 2030

    DEFF Research Database (Denmark)

    Mathiesen, Brian Vad; Hansen, Kenneth; Ridjan, Iva

    The purpose of this report is to investigate potential scenarios for converting Samsø into 100% renewable energy supply in 2030 with focus on local electricity and biomass resources. Firstly, a 2013 reference scenario is established to investigate whether Samsø is 100% renewable today. Next, scen...

  10. Toward control of the metal-organic interfacial electronic structure in molecular electronics: a first-principles study on self-assembled monolayers of pi-conjugated molecules on noble metals.

    Science.gov (United States)

    Heimel, Georg; Romaner, Lorenz; Zojer, Egbert; Brédas, Jean-Luc

    2007-04-01

    Self-assembled monolayers (SAMs) of organic molecules provide an important tool to tune the work function of electrodes in plastic electronics and significantly improve device performance. Also, the energetic alignment of the frontier molecular orbitals in the SAM with the Fermi energy of a metal electrode dominates charge transport in single-molecule devices. On the basis of first-principles calculations on SAMs of pi-conjugated molecules on noble metals, we provide a detailed description of the mechanisms that give rise to and intrinsically link these interfacial phenomena at the atomic level. The docking chemistry on the metal side of the SAM determines the level alignment, while chemical modifications on the far side provide an additional, independent handle to modify the substrate work function; both aspects can be tuned over several eV. The comprehensive picture established in this work provides valuable guidelines for controlling charge-carrier injection in organic electronics and current-voltage characteristics in single-molecule devices.

  11. System for Award Management (SAM) API

    Data.gov (United States)

    General Services Administration — The SAM API is a RESTful method of retrieving public information about the businesses, organizations, or individuals (referred to as entities) within the SAM entity...

  12. Structural properties of oligonucleotide monolayers on gold surfaces probed by fluorescence investigations.

    Science.gov (United States)

    Rant, Ulrich; Arinaga, Kenji; Fujita, Shozo; Yokoyama, Naoki; Abstreiter, Gerhard; Tornow, Marc

    2004-11-09

    We present optical investigations on the conformation of oligonucleotide layers on Au surfaces. Our studies concentrate on the effect of varying surface coverage densities on the structural properties of layers of 12- and 24mer single-stranded DNA, tethered to the Au surface at one end while being labeled with a fluorescent marker at the opposing end. The distance-dependent energy transfer from the marker dye to the metal surface, which causes quenching of the observed fluorescence, is used to provide information on the orientation of the DNA strands relative to the surface. Variations in the oligonucleotide coverage density, as determined from electrochemical quantification, over 2 orders of magnitude are achieved by employing different preparation conditions. The observed enhancement in fluorescence intensity with increasing DNA coverage can be related to a model involving mutual steric interactions of oligonucleotides on the surface, as well as fluorescence quenching theory. Finally, the applicability of the presented concepts for investigations of heterogeneous monolayers is demonstrated by means of studying the coadsorption of mercaptohexanol onto DNA-modified Au surfaces.

  13. 78 FR 62627 - Sam Rayburn Dam Rate

    Science.gov (United States)

    2013-10-22

    ..., Wholesale Rates for Hydro Power and Energy Sold to Sam Rayburn Dam Electric Cooperative, Inc. (Contract No... Schedule SRD-08, Wholesale Rates for Hydro Power and Energy Sold to Sam Rayburn Dam Electric Cooperative... ADMINISTRATION RATE SCHEDULE SRD-13 \\1\\ WHOLESALE RATES FOR HYDRO POWER AND ENERGY SOLD TO SAM RAYBURN DAM...

  14. Growth of pentacene on clean and modified gold surfaces

    International Nuclear Information System (INIS)

    Kaefer, Daniel; Ruppel, Lars; Witte, Gregor

    2007-01-01

    The growth and evolution of pentacene films on gold substrates have been studied. By combining complementary techniques including scanning tunneling microscopy, atomic force microscopy, scanning electron microscopy, near-edge x-ray-absorption fine structure, and x-ray diffraction, the molecular orientation, crystalline structure, and morphology of the organic films were characterized as a function of film thickness and growth parameters (temperature and rate) for different gold substrates ranging from Au(111) single crystals to polycrystalline gold. Moreover, the influence of precoating the various gold substrates with self-assembled monolayers (SAM's) of organothiols with different chemical terminations has been studied. On bare gold the growth of pentacene films is characterized by a pronounced dewetting while the molecular orientation within the resulting crystalline three-dimensional islands depends distinctly on the roughness and cleanliness of the substrate surface. After completion of the first wetting layer where molecules adopt a planar orientation parallel to the surface the molecules continue to grow in a tilted fashion: on Au(111) the long molecular axis is oriented parallel to the surface while on polycrystalline gold it is upstanding oriented and thus parallels the crystalline orientation of pentacene films grown on SiO 2 . On SAM pretreated gold substrates the formation of a wetting layer is effectively suppressed and pentacene grows in a quasi-layer-by-layer fashion with an upstanding orientation leading to rather smooth films. The latter growth mode is observed independently of the chemical termination of the SAM's and the roughness of the gold substrate. Possible reasons for the different growth mechanism as well as consequences for the assignment of spectroscopic data of thin pentacene film are discussed

  15. Immobilization of azurin with retention of its native electrochemical properties at alkylsilane self-assembled monolayer modified indium tin oxide

    International Nuclear Information System (INIS)

    Ashur, Idan; Jones, Anne K.

    2012-01-01

    Highlights: ► Immobilization of azurin at indium tin oxide causes modification of the native redox properties. ► Azurin was immobilized at alkylsilane self-assembled monolayer on indium tin oxide. ► Native, solution redox properties are retained for the immobilized protein on the SAM. ► Technique should be widely applicable to other redox proteins. - Abstract: Indium tin oxide (ITO) is a promising material for developing spectroelectrochemical methods due to its combination of excellent transparency in the visible region and high conductivity over a broad range of potential. However, relatively few examples of immobilization of redox proteins at ITO with retention of the ability to transfer electrons with the underlying material with native characteristics have been reported. In this work, we utilize an alkylsilane functionalized ITO surface as a biocompatible interface for immobilization of the blue copper protein azurin. Adsorption of azurin at ITO as well as ITO coated with self-assembled monolayers of (3-mercaptopropyl)trimethoxysilane (MPTMS) and n-decyltrimethoxysilane (DTMS) was achieved, and immobilized protein probed using protein film electrochemistry. The native redox properties of the protein were perturbed by adsorption directly to ITO or to the MPTMS layer on an ITO surface. However, azurin adsorbed at a DTMS covered ITO surface retained native electrochemical properties (E 1/2 = 122 ± 5 mV vs. Ag/AgCl) and could exchange electrons directly with the underlying ITO layer without need for an intervening chemical mediator. These results open new opportunities for immobilizing functional redox proteins at ITO and developing spectroelectrochemical methods for investigating them.

  16. Assembly of CdSe onto mesoporous TiO{sub 2} films induced by a self-assembled monolayer for quantum dot-sensitized solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Lai-Wan; Chien, Huei-Ting; Lee, Yuh-Lang [Department of Chemical Engineering, National Cheng Kung University, No. 1 University Road, Tainan 70101 (China)

    2010-08-01

    A self-assembled monolayer (SAM) of 3-mercaptopropyl-trimethyoxysilane (MPTMS) is pre-assembled onto a mesoporous TiO{sub 2} film and is used as a surface-modified layer to induce the growth of CdSe QDs in the successive ionic layer adsorption and reaction (SILAR) process. Due to the specific interaction of the terminal thiol groups to CdSe, the MPTMS SAM is found to increase the nucleation and growth rates of CdSe in the SILAR process, leading to a well covering and higher uniform CdSe layer which has a superior ability, compared with the electrode without MPTMS, in inhibiting the charge recombination at the electrode/electrolyte interface. Furthermore, the performance of the CdSe-sensitized TiO{sub 2} electrode can further be improved by an additional heat annealing after film deposition, attributable to a better interfacial connection between CdSe and TiO{sub 2}, as well as a better connection among CdSe QDs. The CdSe-sensitized solar cell prepared by the present strategy can achieve an energy conversion efficiency of 2.65% under the illumination of one sun (AM 1.5, 100 mW cm{sup -2}). (author)

  17. Glucose oxidase immobilization on different modified surfaces of platinum nanowire for application in glucose detection

    International Nuclear Information System (INIS)

    Le, Thi Thanh Tuyen; Tran, Phu Duy; Pham, Xuan Tung; Tong, Duy Hien; Dang, Mau Chien

    2010-01-01

    In this work, the surface of platinum (Pt) nanowires was modified by using several chemicals, including a compound of gelatin gel with SiO 2 , polyvinyl alcohol (PVA) with Prussian blue (PB) mediator and cysteamine self-assembled monolayers (SAM). Then, glucose oxidase (GOD) enzyme was immobilized on the modified surfaces of Pt nanowire electrodes by using techniques of electrochemical adsorption and chemical binding. The GOD immobilized Pt nanowires were used for application in glucose detection by performing a cyclic voltammetry measurement. The detection results showed that GOD was immobilized on all of the tested surfaces and the highest glucose detection sensitivity of 60 μM was obtained when the Pt nanowires were modified by PVA with PB mediator. Moreover, the sensors showed very high current response when the Pt nanowires were modified with the cysteamine SAM. The stability and catalyst activity of GOD are also reported here. For instance, the catalyst activity of GOD retained about 60% of its initial value after it was stored at 4 °C in a 100 mM PBS buffer solution with a pH of 7.2 for a period of 30 days

  18. Taking Orders from Light: Photo-Switchable Working/Inactive Smart Surfaces for Protein and Cell Adhesion.

    Science.gov (United States)

    Zhang, Junji; Ma, Wenjing; He, Xiao-Peng; Tian, He

    2017-03-15

    Photoresponsive smart surfaces are promising candidates for a variety of applications in optoelectronics and sensing devices. The use of light as an order signal provides advantages of remote and noninvasive control with high temporal and spatial resolutions. Modification of the photoswitches with target biomacromolecules, such as peptides, DNA, and small molecules including folic acid derivatives and sugars, has recently become a popular strategy to empower the smart surfaces with an improved detection efficiency and specificity. Herein, we report the construction of photoswitchable self-assembled monolayers (SAMs) based on sugar (galactose/mannose)-decorated azobenzene derivatives and determine their photoswitchable, selective protein/cell adhesion performances via electrochemistry. Under alternate UV/vis irradiation, interconvertible high/low recognition and binding affinity toward selective lectins (proteins that recognize sugars) and cells that highly express sugar receptors are achieved. Furthermore, the cis-SAMs with a low binding affinity toward selective proteins and cells also exhibit minimal response toward unselective protein and cell samples, which offers the possibility in avoiding unwanted contamination and consumption of probes prior to functioning for practical applications. Besides, the electrochemical technique used facilitates the development of portable devices based on the smart surfaces for on-demand disease diagnosis.

  19. Glucose oxidase immobilization on different modified surfaces of platinum nanowire for application in glucose detection

    Science.gov (United States)

    Thanh Tuyen Le, Thi; Duy Tran, Phu; Pham, Xuan Tung; Hien Tong, Duy; Chien Dang, Mau

    2010-09-01

    In this work, the surface of platinum (Pt) nanowires was modified by using several chemicals, including a compound of gelatin gel with SiO2, polyvinyl alcohol (PVA) with Prussian blue (PB) mediator and cysteamine self-assembled monolayers (SAM). Then, glucose oxidase (GOD) enzyme was immobilized on the modified surfaces of Pt nanowire electrodes by using techniques of electrochemical adsorption and chemical binding. The GOD immobilized Pt nanowires were used for application in glucose detection by performing a cyclic voltammetry measurement. The detection results showed that GOD was immobilized on all of the tested surfaces and the highest glucose detection sensitivity of 60 μM was obtained when the Pt nanowires were modified by PVA with PB mediator. Moreover, the sensors showed very high current response when the Pt nanowires were modified with the cysteamine SAM. The stability and catalyst activity of GOD are also reported here. For instance, the catalyst activity of GOD retained about 60% of its initial value after it was stored at 4 °C in a 100 mM PBS buffer solution with a pH of 7.2 for a period of 30 days.

  20. Photopatterning of self assembled monolayers on oxide surfaces for the selective attachment of biomolecules.

    Science.gov (United States)

    Hazarika, Pompi; Behrendt, Jonathan M; Petersson, Linn; Wingren, Christer; Turner, Michael L

    2014-03-15

    The immobilization of functional biomolecules to surfaces is a critical process for the development of biosensors for disease diagnostics. In this work we report the patterned attachment of single chain fragment variable (scFv) antibodies to the surface of metal oxides by the photodeprotection of self-assembled monolayers, using near-UV light. The photodeprotection step alters the functionality at the surface; revealing amino groups that are utilized to bind biomolecules in the exposed regions of the substrate only. The patterned antibodies are used for the detection of specific disease biomarker proteins in buffer and in complex samples such as human serum. © 2013 Elsevier B.V. All rights reserved.

  1. Effect of lipid composition and packing on the adsorption of apolipoproteins to lipid monolayers

    International Nuclear Information System (INIS)

    Ibdah, J.A.; Lund-Katz, S.; Phillips, M.C.

    1987-01-01

    The monolayer system has been used to study the effects of lipoprotein surface lipid composition and packing on the affinities of apolipoproteins for the surfaces of lipoprotein particles. The adsorption of apolipoproteins injected beneath lipid monolayers prepared with pure lipids or lipoprotein surface lipids is evaluated by monitoring the surface pressure of the film and the surface concentration (Gamma) of 14 C-labelled apolipoprotein. At a given initial film pressure (π/sub i/) there is a higher adsorption of human apo A-I to unsaturated phosphatidylcholine (PC) monolayers compared to saturated PC monolayers (e.g., at π/sub i/ = 10 mN/m, Gamma = 0.35 and 0.06 mg/m 2 for egg PC and distearoyl PC, respectively, with 3 x 10 -4 mg/ml apo A-I in the subphase). In addition, adsorption of apo A-I is less to an egg sphingomyelin monolayer than to an egg PC monolayer. The adsorption of apo A-I to PC monolayers is decreased by addition of cholesterol. Generally, apo A-I adsorption diminishes as the lipid molecular area decreases. Apo A-I adsorbs more to monolayers prepared with HDL 3 surface lipids than with LDL surface lipids. These studies suggest that lipoprotein surface lipid composition and packing are crucial factors influencing the transfer and exchange of apolipoproteins among various lipoprotein classes during metabolism of lipoprotein particles

  2. Tribological investigations of the applicability of surface functionalization for dry extrusion processes

    Science.gov (United States)

    Teller, Marco; Prünte, Stephan; Ross, Ingo; Temmler, André; Schneider, Jochen M.; Hirt, Gerhard

    2017-10-01

    Cold extrusion processes are characterized by large relative contact stresses combined with a severe surface enlargement of the workpiece. Under these process conditions a high risk for galling of workpiece material to the tool steel occurs especially in processing of aluminum and aluminum alloys. In order to reduce adhesive wear lubricants for separation of workpiece and tool surfaces are used. As a consequence additional process steps (e.g. preparation and cleaning of workpieces) are necessary. Thus, the realization of a dry forming process is aspired from an environmental and economic perspective. In this paper a surface functionalization with self-assembled-monolayers (SAM) of the tool steels AISI D2 (DIN 1.2379) and AISI H11 (DIN 1.2343) is evaluated by a process-oriented tribological test. The tribological experiment is able to resemble and scale the process conditions of cold extrusion related to relative contact stress and surface enlargement for the forming of pure aluminum (Al99.5). The effect of reduced relative contact stress, surface enlargement and relative velocity on adhesive wear and tool lifetime is evaluated. Similar process conditions are achievable by different die designs with decreased extrusion ratios and adjusted die angles. The effect of surface functionalization critically depends on the substrate material. The different microstructure and the resulting differences in surface chemistry of the two tested tool steels appear to affect the performance of the tool surface functionalization with SAM.

  3. Nonlinear optical studies of organic monolayers

    International Nuclear Information System (INIS)

    Shen, Y.R.

    1988-02-01

    Second-order nonlinear optical effects are forbidden in a medium with inversion symmetry, but are necessarily allowed at a surface where the inversion summary is broken. They are often sufficiently strong so that a submonolayer perturbation of the surface can be readily detected. They can therefore be used as effective tools to study monolayers adsorbed at various interfaces. We discuss here a number of recent experiments in which optical second harmonic generation (SHG) and sum-frequency generation (SFG) are employed to probe and characterize organic monolayers. 15 refs., 5 figs

  4. An Electrochemical Immunosensor for Detection of Staphylococcus aureus Bacteria Based on Immobilization of Antibodies on Self-Assembled Monolayers-Functionalized Gold Electrode

    Directory of Open Access Journals (Sweden)

    Abderrazak Maaref

    2012-10-01

    Full Text Available The detection of pathogenic bacteria remains a challenge for the struggle against biological weapons, nosocomial diseases, and for food safety. In this research, our aim was to develop an easy-to-use electrochemical immunosensor for the detection of pathogenic Staphylococcus aureus ATCC25923. The biosensor was elaborated by the immobilization of anti-S. aureus antibodies using a self-assembled monolayer (SAMs of 3-Mercaptopropionic acid (MPA. These molecular assemblies were spontaneously formed by the immersion of the substrate in an organic solvent containing the SAMs that can covalently bond to the gold surface. The functionalization of the immunosensor was characterized using two electrochemical techniques: cyclic voltammetry (CV and electrochemical impedance spectroscopy (EIS. Here, the analysis was performed in phosphate buffer with ferro/ferricyanide as the redox probe. The EIS technique was used for affinity assays: antibody-cell binding. A linear relationship between the increment in the electron transfer resistance (RCT and the logarithmic value of S. aureus concentration was observed between 10 and 106 CFU/mL. The limit of detection (LOD was observed at 10 CFU/mL, and the reproducibility was calculated to 8%. Finally, a good selectivity versus E. coli and S. epidermidis was obtained for our developed immunosensor demonstrating its specificity towards only S. aureus.

  5. Plasmon-enhanced photocurrent generation from self-assembled monolayers of phthalocyanine by using gold nanoparticle films.

    Science.gov (United States)

    Sugawa, Kosuke; Akiyama, Tsuyoshi; Kawazumi, Hirofumi; Yamada, Sunao

    2009-04-09

    The effect of localized electric fields on the photocurrent responses of phthalocyanine that was self-assembled on a gold nanoparticle film was investigated by comparing the conventional and the total internal reflection (TIR) experimental systems. In the case of photocurrent measurements, self-assembled monolayers (SAMs) of a thiol derivative of palladium phthalocyanine (PdPc) were prepared on the surface of gold-nanoparticle film that was fixed on the surface of indium-tin-oxide (ITO) substrate via a polyion (PdPc/AuP/polyion/ITO) or on the ITO surface (PdPc/ITO). Photocurrent action spectra from the two samples were compared by using the conventional spectrometer, and were found that PdPc/AuP/polyion/ITO gave considerably larger photocurrent signals than PdPc/ITO under the identical concentration of PdPc. In the case of the TIR experiments for the PdPc/AuP/polyion/ITO and the PdPc/AuP/Glass systems, incident-angle profiles of photocurrent and emission signals were correlated with each other, and they were different from that of the PdPc/ITO system. Accordingly, it was demonstrated that the photocurrent signals were certainly enhanced by the localized electric fields of the gold-nanoparticle film.

  6. Targeting EphA2-Sam and Its Interactome: Design and Evaluation of Helical Peptides Enriched in Charged Residues.

    Science.gov (United States)

    Mercurio, Flavia A; Marasco, Daniela; Di Natale, Concetta; Pirone, Luciano; Costantini, Susan; Pedone, Emilia M; Leone, Marilisa

    2016-11-17

    The EphA2 receptor controls diverse physiological and pathological conditions and its levels are often upregulated in cancer. Targeting receptor overexpression, through modulation of endocytosis and consequent degradation, appears to be an appealing strategy for attacking tumor malignancy. In this scenario, the Sam domain of EphA2 plays a pivotal role because it is the site where protein regulators of endocytosis and stability are recruited by means of heterotypic Sam-Sam interactions. Because EphA2-Sam heterotypic complexes are largely based on electrostatic contacts, we have investigated the possibility of attacking these interactions with helical peptides enriched in charged residues. Several peptide sequences with high predicted helical propensities were designed, and detailed conformational analyses were conducted by diverse techniques including NMR, CD, and molecular dynamics (MD) simulations. Interaction studies were also performed by NMR, surface plasmon resonance (SPR), and microscale thermophoresis (MST) and led to the identification of two peptides capable of binding to the first Sam domain of Odin. These molecules represent early candidates for the generation of efficient Sam domain binders and antagonists of Sam-Sam interactions involving EphA2. © 2016 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. System Advisor Model, SAM 2014.1.14: General Description

    Energy Technology Data Exchange (ETDEWEB)

    Blair, Nate [National Renewable Energy Lab. (NREL), Golden, CO (United States); Dobos, Aron P. [National Renewable Energy Lab. (NREL), Golden, CO (United States); Freeman, Janine [National Renewable Energy Lab. (NREL), Golden, CO (United States); Neises, Ty [National Renewable Energy Lab. (NREL), Golden, CO (United States); Wagner, Michael [National Renewable Energy Lab. (NREL), Golden, CO (United States); Ferguson, Tom [Global Resources, Northbrook, IL (United States); Gilman, Paul [National Renewable Energy Lab. (NREL), Golden, CO (United States); Janzou, Steven [Janzou Consulting, Idaho Springs, CO (United States)

    2014-02-01

    This document describes the capabilities of the U.S. Department of Energy and National Renewable Energy Laboratory's System Advisor Model (SAM), Version 2013.9.20, released on September 9, 2013. SAM is a computer model that calculates performance and financial metrics of renewable energy systems. Project developers, policy makers, equipment manufacturers, and researchers use graphs and tables of SAM results in the process of evaluating financial, technology, and incentive options for renewable energy projects. SAM simulates the performance of photovoltaic, concentrating solar power, solar water heating, wind, geothermal, biomass, and conventional power systems. The financial model can represent financial structures for projects that either buy and sell electricity at retail rates (residential and commercial) or sell electricity at a price determined in a power purchase agreement (utility). SAM's advanced simulation options facilitate parametric and sensitivity analyses, and statistical analysis capabilities are available for Monte Carlo simulation and weather variability (P50/P90) studies. SAM can also read input variables from Microsoft Excel worksheets. For software developers, the SAM software development kit (SDK) makes it possible to use SAM simulation modules in their applications written in C/C++, C#, Java, Python, and MATLAB. NREL provides both SAM and the SDK as free downloads at http://sam.nrel.gov. Technical support and more information about the software are available on the website.

  8. Self assembled monolayers of octadecyltrichlorosilane for dielectric materials

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Vijay, E-mail: cirivijaypilani@gmail.com [Centre for Nanoscience and Engineering, Indian Institute of Science-Bangalore (India); Mechanical Engineering Department, Birla Institute of Technology and Science-Pilani (India); Puri, Paridhi; Nain, Shivani [Mechanical Engineering Department, Birla Institute of Technology and Science-Pilani (India); Bhat, K. N. [Centre for Nanoscience and Engineering, Indian Institute of Science-Bangalore (India); Sharma, N. N. [Mechanical Engineering Department, Birla Institute of Technology and Science-Pilani (India); School of Automobile, Mechanical & Mechatronics, Manipal University-Jaipur (India)

    2016-04-13

    Treatment of surfaces to change the interaction of fluids with them is a critical step in constructing useful microfluidics devices, especially those used in biological applications. Selective modification of inorganic materials such as Si, SiO{sub 2} and Si{sub 3}N{sub 4} is of great interest in research and technology. We evaluated the chemical formation of OTS self-assembled monolayers on silicon substrates with different dielectric materials. Our investigations were focused on surface modification of formerly used common dielectric materials SiO{sub 2}, Si{sub 3}N{sub 4} and a-poly. The improvement of wetting behaviour and quality of monolayer films were characterized using Atomic force microscope, Scanning electron microscope, Contact angle goniometer, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) monolayer deposited oxide surface.

  9. Electrochemistry of 2-dimethylaminoethanethiol SAM on gold electrode: Interaction with SWCNT-poly(m-aminobenzene sulphonic acid), electric field-induced protonation-deprotonation, and surface pKa

    CSIR Research Space (South Africa)

    Pillay, J

    2009-06-01

    Full Text Available -called electric field induced protonation-deprotonation process, hitherto observed for the -COOH terminated SAMs, is also observed for the -N(H)+(CH3)2 terminated. The surface pKa of DMAET was estimated as 7.6, smaller than its solution pKa of 10.8. It is also...

  10. Infrared beam-steering using acoustically modulated surface plasmons over a graphene monolayer

    KAUST Repository

    Chen, Paiyen

    2014-09-01

    We model and design a graphene-based infrared beamformer based on the concept of leaky-wave (fast traveling wave) antennas. The excitation of infrared surface plasmon polaritons (SPPs) over a \\'one-atom-thick\\' graphene monolayer is typically associated with intrinsically \\'slow light\\'. By modulating the graphene with elastic vibrations based on flexural waves, a dynamic diffraction grating can be formed on the graphene surface, converting propagating SPPs into fast surface waves, able to radiate directive infrared beams into the background medium. This scheme allows fast on-off switching of infrared emission and dynamic tuning of its radiation pattern, beam angle and frequency of operation, by simply varying the acoustic frequency that controls the effective grating period. We envision that this graphene beamformer may be integrated into reconfigurable transmitter/receiver modules, switches and detectors for THz and infrared wireless communication, sensing, imaging and actuation systems.

  11. 核蛋白Sam68的原核表达及鉴定%Prokaryotic Expression and Identification of Nuclear Protein Sam68

    Institute of Scientific and Technical Information of China (English)

    张华; 陈宁; 丁筠; 邹德华; 潘子夜; 李鹏飞; 李丽阳; 肖丽杰; 曹宏伟

    2017-01-01

    为了构建pGEX-4T-1-Sam68原核表达载体,表达并鉴定GST-Sam68融合蛋白,采用PCR扩增Sam68基因,插入pGEX-4T-1的EcoR I和Sal I位点,并转化Rosetta(DE3)大肠杆菌,IPTG诱导表达,SDS-PAGE和Western Blot验证蛋白表达,GST pull-down技术验证Sam68的结合活性.酶切和测序结果证实Sam68基因正确插入pGEX-4T-1载体中,载体能够在Rosetta(DE3)细胞中正确表达,且纯化的GST-Sam68蛋白具有与PI3K p85特异结合的活性,说明成功构建了原核表达载体pGEX-4T-1-Sam68.

  12. FET immunosensor for hemoglobin A1c using a gold nanofilm grown by a seed-mediated technique and covered with mixed self-assembled monolayers

    International Nuclear Information System (INIS)

    Xue, Q.; Bian, C.; Tong, J.; Sun, J.; Zhang, H.; Xia, S.

    2012-01-01

    A micro FET-based immunosensor was developed for the determination of hemoglobin-A1c (HbA1c). The HbA1c/hemoglobin ratio is an important index in diabetes control. The sensor was fabricated by Complementary Metal-Oxide-Semiconductor Transistor (CMOS) and Micro Electronic Mechanical System (MEMS) techniques. The antibodies were immobilized via mixed self-assembled monolayers (SAMs) on a gold nanofilm. The nanofilm was deposited on a gold electrode by seed-mediated growth and gave a uniform and well distributed coverage. Nonspecific sites and interferences by noise were eliminated by covering the AuNPs with mixed SAMs. Compared to the immunosensor fabricated via the mixed SAMs method without gold nanofilm, the immunosensor displays a more than 2-fold sensitivity. The immunosensor is capable of detecting HbA1c and hemoglobin in hemolyzed and diluted whole blood, and results showed good agreement with the established clinical method. (author)

  13. The Au-S bond and SAM-protein contact in long-range electron transfer of pure and biomimetic metalloproteins via functionalized alkanethiol linkers

    DEFF Research Database (Denmark)

    Chi, Qijin; Ford, Michael J.; Halder, Arnab

    disentangled a wealth of data to identify the nature of the crucial Au-S contact, all suggesting prevalence of a Au(0)-thiyl radical unit. Molecular packing is further determined by the SAM molecular structure and involves binding either to Au-atoms mined out of the surface or directly to a flat surface. We...... functionalized alkanethiols have emerged as core linkers. We have studied molecular linking in the long-range ET (LRET) processes in detail using electrochemistry, in situ STM and AFM, and electronic structure computations. A focus is the electronic structure of the Au-S link and the SAM packing. We have...... is exceedingly sensitive to the structure of the thiol-based SAM molecules, testifying to the crucial importance of SAM packing and Au-S binding, and of the SAM link to the protein. Some of the subtleties are illustrated simpler by similar size (5-6 nm) nanoparticles (NPs). Biomimetic NPs must possess a certain...

  14. Morphology and magnetism of Fe monolayers and small Fen clusters (n 2-19) supported on the Ni(111) surface

    International Nuclear Information System (INIS)

    Longo, R C; MartInez, E; Dieguez, O; Vega, A; Gallego, L J

    2007-01-01

    Using the modified embedded atom model in conjunction with a self-consistent tight-binding method, we investigated the lowest-energy structures of Fe monolayers and isolated Fe n clusters (n = 2-19) supported on the Ni(111) surface. In keeping with experimental findings, our calculations predict that the atoms of the monolayer occupy face-centred cubic (fcc) rather than hexagonal close-packed (hcp) sites. Likewise in agreement with experiment we found that Fe layers stack with a pseudomorphic fcc structure up to two monolayers, beyond which they stack as bcc(110). The structures of supported Fe clusters are predicted to be two-dimensional islands maximizing the number of nearest-neighbour bonds among the adsorbed Fe atoms, and their average magnetic moments per atom decrease towards that of the supported Fe monolayer almost monotonically as n increases. Finally, a pair of Fe 3 clusters on Ni(111) were found to exhibit virtually no interaction with each other even when separated by only one atomic row, i.e. so long as they do not coalesce they retain their individual magnetic properties

  15. Interactions of phospholipid monolayer with single-walled carbon nanotube wrapped by lysophospholipid

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Siwool; Kim, Hyungsu, E-mail: hkim@dku.edu

    2012-10-01

    In this study, we prepared single-walled carbon nanotubes (SWNTs) wrapped by 1-stearoyl-2-hydroxy-sn-glycero-3-phospho-(1 Prime -rac-glycerol) (LPG), leading to a complex of SWNT-LPG. In an attempt to investigate the interactions of SWNT-LPG with a mimicked cell surface, SWNT-LPG solution was injected into the sub-phase of Langmuir trough to form a mixed monolayer with dipalmitoylphosphatidylcholine (DPPC) and dipalmitoylphosphatidylglycerol (DPPG), respectively. In addition to the measurement of typical surface pressure-area isotherms under compression mode, area changes occurring during insertion of SWNT-LPG into the monolayer were recorded at various surface pressures. Changes in surface potential were also measured for evident tracing of the degree of interactions between sub-phase and monolayer. A systematic comparison of relaxation patterns and insertion behavior along with surface potential data provided a rational basis to distinguish the degree of interactions between SWNT-LPG and the designated monolayer. The observed tendencies were found to be in accordance with the surface topography as revealed by the tapping mode atomic force microscopy. It was consistently observed that SWNT-LPG interacted with DPPC to a greater extent than with DPPG, when the sufficient coverage of nanotube surface by LPG molecules was assured. - Highlights: Black-Right-Pointing-Pointer Complex of single-walled carbon nanotubes and lysophospholipid (SWNT-LPG) is formed. Black-Right-Pointing-Pointer Composite monolayer is formed by inserting SWNT-LPG into the phospholipid monolayer. Black-Right-Pointing-Pointer We measure area-pressure responses and dipole potentials during the insertion process. Black-Right-Pointing-Pointer Properties of composite monolayer depend on the kind of phospholipid and LPG content.

  16. On the lipid head group hydration of floating surface monolayers bound to self-assembled molecular protein layers

    DEFF Research Database (Denmark)

    Lösche, M.; Erdelen, C.; Rump, E.

    1994-01-01

    kept at low surface pressure before protein adsorption. The introduction of dipole moments at the interface by the admixture of phospholipids or the application of lateral pressure on the lipid monolayer before protein adsorption were found to impose an extension of the spacer moieties. The biotin...

  17. Self-assembling siloxane bilayer directly on SiO2 surface of micro-cantilevers for long-term highly repeatable sensing to trace explosives.

    Science.gov (United States)

    Chen, Ying; Xu, Pengcheng; Li, Xinxin

    2010-07-02

    This paper presents a novel sensing layer modification technique for static micro-cantilever sensors that detect trace explosives by measuring specific adsorption-induced surface stress. For the first time, a method of directly modifying a siloxane sensing bilayer on an SiO(2) surface is proposed to replace the conventional self-assembled monolayers (SAMs) of thiols on Au to avoid the trouble from long-term unstable Au-S bonds. For modifying the long-term reliable sensing bilayer on the piezoresistor-integrated micro-cantilevers, a siloxane-head bottom layer is self-assembled directly on the SiO(2) cantilever surface, which is followed by grafting another explosive-sensing-group functionalized molecule layer on top of the siloxane layer. The siloxane-modified sensor has experimentally exhibited a highly resoluble response to 0.1 ppb TNT vapor. More importantly, the repeated detection results after 140 days show no obvious attenuation in sensing signal. Also observed experimentally, the specific adsorption of the siloxane sensing bilayer to TNT molecules causes a tensile surface stress on the cantilever. Herein the measured tensile surface stress is in contrast to the compressive surface stress normally measured from conventional cantilever sensors where the sensitive thiol-SAMs are modified on an Au surface. The reason for this newly observed phenomenon is discussed and preliminarily analyzed.

  18. Self-assembling siloxane bilayer directly on SiO2 surface of micro-cantilevers for long-term highly repeatable sensing to trace explosives

    International Nuclear Information System (INIS)

    Chen Ying; Xu Pengcheng; Li Xinxin

    2010-01-01

    This paper presents a novel sensing layer modification technique for static micro-cantilever sensors that detect trace explosives by measuring specific adsorption-induced surface stress. For the first time, a method of directly modifying a siloxane sensing bilayer on an SiO 2 surface is proposed to replace the conventional self-assembled monolayers (SAMs) of thiols on Au to avoid the trouble from long-term unstable Au-S bonds. For modifying the long-term reliable sensing bilayer on the piezoresistor-integrated micro-cantilevers, a siloxane-head bottom layer is self-assembled directly on the SiO 2 cantilever surface, which is followed by grafting another explosive-sensing-group functionalized molecule layer on top of the siloxane layer. The siloxane-modified sensor has experimentally exhibited a highly resoluble response to 0.1 ppb TNT vapor. More importantly, the repeated detection results after 140 days show no obvious attenuation in sensing signal. Also observed experimentally, the specific adsorption of the siloxane sensing bilayer to TNT molecules causes a tensile surface stress on the cantilever. Herein the measured tensile surface stress is in contrast to the compressive surface stress normally measured from conventional cantilever sensors where the sensitive thiol-SAMs are modified on an Au surface. The reason for this newly observed phenomenon is discussed and preliminarily analyzed.

  19. Enhancement of seeding for electroless Cu plating of metallic barrier layers by using alkyl self-assembled monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Sung-Te [Department of Electronic Engineering, Hsiuping University of Science and Technology, Dali 412, Taichung, Taiwan (China); Chung, Yu-Cheng [Department of Materials Science and Engineering, Feng Chia University, Taichung 407, Taiwan (China); Fang, Jau-Shiung [Department of Materials Science and Engineering, National Formosa University, Huwei 632, Taiwan (China); Cheng, Yi-Lung [Department of Electrical Engineering, National Chi-Nan University, Puli, Nantou 545, Taiwan (China); Chen, Giin-Shan, E-mail: gschen@fcu.edu.tw [Department of Materials Science and Engineering, Feng Chia University, Taichung 407, Taiwan (China)

    2017-05-31

    Highlights: • Ta barrier layers are used as model substrates for seeding of electroless plating. • Ta layers seeded with Ta-OH yield seeds with limited density and large size (>10 nm). • Substantial improvement of seeding is obtained with functionalized SAMs. • The mechanism of seeding improvement by functionalized SAMs is clearly clarified. - Abstract: Tethering a self-assembled monolayer (SAM) on ultralow-k (porous) dielectric materials as a seed-trapping layer for electroless Cu plating has been extensively studied. By contrast, literature on direct electroless Cu plating of metallic barrier layers assisted by SAMs is scarce. Therefore, Ta, a crucial component of barrier materials for Cu interconnect metallization, was investigated as a model substrate for a new seeding (Ni catalyst formation) process of electroless Cu plating. Transmission and scanning electron microscopies indicated that catalytic particles formed on Ta films through Ta−OH groups tend to become aggregates with an average size of 14 nm and density of 2 × 10{sup 15} m{sup −2}. By contrast, Ta films with a plasma-functionalized SAM tightly bound catalytic particles without agglomeration, thus yielding a markedly smaller size (3 nm) and higher density (3 × 10{sup 16} m{sup −2}; one order greater than those formed by other novel methods). X-ray photoelectron spectroscopy clearly identified the types of material species and functional groups induced at each step of the seeding process. Moreover, the phase of the catalytic particles, either nickel alkoxide, Ni(OH){sub 2}, or metallic Ni, along with the seed-bonding mechanism, was also unambiguously distinguished. The enhancement of film-formation quality of Cu by the new seeding process was thus demonstrated.

  20. Self-assembled monolayers from biphenyldithiol derivatives: optimization of the deprotection procedure and effect of the molecular conformation.

    Science.gov (United States)

    Shaporenko, Andrey; Elbing, Mark; Błaszczyk, Alfred; von Hänisch, Carsten; Mayor, Marcel; Zharnikov, Michael

    2006-03-09

    A series of biphenyl-derived dithiol (BDDT) compounds with terminal acetyl-protected sulfur groups and different structural arrangements of both phenyl rings have been synthesized and fully characterized. The different arrangements were achieved by introducing hydrocarbon substituents in the 2 and 2' positions of the biphenyl backbone. The presented model compounds enable the investigation of the correlation between the intramolecular conformation and other physical properties of interest, like, e.g., molecular assembly or electronic transport properties. Here, the ability of these model compounds to form self-assembled monolayers (SAMs) on Au(111) and Ag(111) is investigated in details. The deprotection of the target molecules was performed in situ using either NH4OH or triethylamine (TEA) deprotection agent. The fabricated films were characterized by synchrotron-based high-resolution photoelectron spectroscopy and near-edge absorption fine structure spectroscopy. Whereas the deprotection by NH4OH was found to result in the formation of multilayer films, the deprotection by TEA allowed the preparation of densely packed BDDT SAMs with a noticeably higher orientational order and smaller molecular inclination on Ag than on Au. Introduction of the alkyl bridge between the individual rings of the biphenyl backbone did not lead to a noticeable change in the structure and packing density of the BDDT SAMs as long as the molecule had a planar conformation in the respective SAM. The deviation from this conformation resulted in the deterioration of the film quality and a decrease of the orientational order.

  1. Determination of the bonding of alkyl monolayers to the Si(111) surface using chemical-shift, scanned-energy photoelectron diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.; Linford, M.R.; Wigren, C.; Cao, R.; Pianetta, P.; Chidsey, C.E. [Stanford University, Stanford, California 94309 (United States)

    1997-08-01

    The bonding of alkyl monolayers to Si(111) surfaces has been studied by conventional x-ray photoelectron spectroscopy (XPS) and chemical-shift, scanned-energy photoelectron diffraction (PED) using synchrotron radiation. Two very different wet-chemical methods have been used to prepare the alkyl monolayers: (i) olefin insertion into the H{endash}Si bond on the H{endash}Si(111) surface, and (ii) replacement of Cl on the Cl{endash}Si(111) surface by an alkyl group from an alkyllithium reagent. In both cases, XPS has revealed a C 1s signal chemically shifted to lower binding energy, which we have assigned to carbon bonded to silicon. PED has shown that both preparative methods result in carbon bonded in an atop site with the expected C{endash}Si bond length of 1.85{plus_minus}0.05{Angstrom}. Chemical-shift, scanned-energy photoelectron diffraction is a particularly valuable probe of local structure at surfaces that contain the same element in multiple, chemically distinct environments. {copyright} {ital 1997 American Institute of Physics.}

  2. Determination of the bonding of alkyl monolayers to the Si(111) surface using chemical-shift, scanned-energy photoelectron diffraction

    International Nuclear Information System (INIS)

    Terry, J.; Linford, M.R.; Wigren, C.; Cao, R.; Pianetta, P.; Chidsey, C.E.

    1997-01-01

    The bonding of alkyl monolayers to Si(111) surfaces has been studied by conventional x-ray photoelectron spectroscopy (XPS) and chemical-shift, scanned-energy photoelectron diffraction (PED) using synchrotron radiation. Two very different wet-chemical methods have been used to prepare the alkyl monolayers: (i) olefin insertion into the H endash Si bond on the H endash Si(111) surface, and (ii) replacement of Cl on the Cl endash Si(111) surface by an alkyl group from an alkyllithium reagent. In both cases, XPS has revealed a C 1s signal chemically shifted to lower binding energy, which we have assigned to carbon bonded to silicon. PED has shown that both preparative methods result in carbon bonded in an atop site with the expected C endash Si bond length of 1.85±0.05 Angstrom. Chemical-shift, scanned-energy photoelectron diffraction is a particularly valuable probe of local structure at surfaces that contain the same element in multiple, chemically distinct environments. copyright 1997 American Institute of Physics

  3. Nonequilibrium 2-hydroxyoctadecanoic acid monolayers: effect of electrolytes.

    Science.gov (United States)

    Lendrum, Conrad D; Ingham, Bridget; Lin, Binhua; Meron, Mati; Toney, Michael F; McGrath, Kathryn M

    2011-04-19

    2-Hydroxyacids display complex monolayer phase behavior due to the additional hydrogen bonding afforded by the presence of the second hydroxy group. The placement of this group at the position α to the carboxylic acid functionality also introduces the possibility of chelation, a utility important in crystallization including biomineralization. Biomineralization, like many biological processes, is inherently a nonequilibrium process. The nonequilibrium monolayer phase behavior of 2-hydroxyoctadecanoic acid was investigated on each of pure water, calcium chloride, sodium bicarbonate and calcium carbonate crystallizing subphases as a precursor study to a model calcium carbonate biomineralizing system, each at a pH of ∼6. The role of the bicarbonate co-ion in manipulating the monolayer structure was determined by comparison with monolayer phase behavior on a sodium chloride subphase. Monolayer phase behavior was probed using surface pressure/area isotherms, surface potential, Brewster angle microscopy, and synchrotron-based grazing incidence X-ray diffraction and X-ray reflectivity. Complex phase behavior was observed for all but the sodium chloride subphase with hydrogen bonding, electrostatic and steric effects defining the symmetry of the monolayer. On a pure water subphase hydrogen bonding dominates with three phases coexisting at low pressures. Introduction of calcium ions into the aqueous subphase ensures strong cation binding to the surfactant head groups through chelation. The monolayer becomes very unstable in the presence of bicarbonate ions within the subphase due to short-range hydrogen bonding interactions between the monolayer and bicarbonate ions facilitated by the sodium cation enhancing surfactant solubility. The combined effects of electrostatics and hydrogen bonding are observed on the calcium carbonate crystallizing subphase. © 2011 American Chemical Society

  4. Surface structure and stereochemical properties of self-assembled monolayer materials. Final Report

    International Nuclear Information System (INIS)

    Scoles, Giacinto

    2006-01-01

    This document reports the progress the authors have made in support of their proposal to generate well-characterized, well-ordered organic surfaces and to impinge upon the array of oriented organic molecules a well-collimated beam of radical atoms at a well-defined angle of incidence. Using the intensity of helium atom diffraction from the organic surface as a measure of the number of unreacted molecules at the surface, the authors will measure the rate of the reaction. They will then vary the angle of incidence of the reactive atom beam and repeat the measurement. In this manner they plan to map out the reactivity of the molecules on the surface as a function of the angle of incidence of the reactive moiety. To carry out this experiment requires that two fields of research be brought together: (1) molecular beam technology and (2) the science/art of growing well-ordered organic surfaces. The first half of this report describes recent helium diffraction results from molecular beam deposited organic monolayers (structural layer characterization work). The second half reports progress in constructing and characterizing the reactive atom (oxygen) beam source.

  5. Effects of Oriented Surface Dipole on Photoconversion Efficiency in an Alkane/Lipid-Hybrid-Bilayer-Based Photovoltaic Model System

    KAUST Repository

    Liu, Lixia

    2013-06-21

    When a phospholipid monolayer containing a zinc-coordinated porphyrin species formed atop a self-assembled monolayer of heptadecafluoro-1-decanethiol (CF3(CF2)7(CH2)2SH) is subjected to photoelectrochemical current generation, a significant modulation effect is observed. Compared with devices that contain similar photoactive lipid monolayers but formed on 1-dodecanethiol SAMs, these fluorinated hybrid bilayers produce a >60 % increase in cathodic currents and a similar decrease in anodic currents. Photovoltages recorded from these hybrid bilayers are found to vary in the same fashion. The modulation of photovoltaic responses in these hybrid-bilayer-based devices is explained by the opposite surface dipoles associated with the thiols employed in this study, which in one case (fluorothiol) increase and in another (alkanethiol) decrease the work function of the underlying gold substrates. A similar trend of photovoltage/photocurrent modulation is also observed if fullerene is used as the photoagent in these devices. Our results reveal the intricacy of orientated surface dipole in influencing the photovoltaic processes, and its subtle interplay with other factors related to the photoagents, such as their location and orientation within the organic matrix. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Effects of Oriented Surface Dipole on Photoconversion Efficiency in an Alkane/Lipid-Hybrid-Bilayer-Based Photovoltaic Model System

    KAUST Repository

    Liu, Lixia; Xie, Hong; Bostic, Heidi E.; Jin, Limei; Best, Michael D.; Zhang, X. Peter; Zhan, Wei

    2013-01-01

    When a phospholipid monolayer containing a zinc-coordinated porphyrin species formed atop a self-assembled monolayer of heptadecafluoro-1-decanethiol (CF3(CF2)7(CH2)2SH) is subjected to photoelectrochemical current generation, a significant modulation effect is observed. Compared with devices that contain similar photoactive lipid monolayers but formed on 1-dodecanethiol SAMs, these fluorinated hybrid bilayers produce a >60 % increase in cathodic currents and a similar decrease in anodic currents. Photovoltages recorded from these hybrid bilayers are found to vary in the same fashion. The modulation of photovoltaic responses in these hybrid-bilayer-based devices is explained by the opposite surface dipoles associated with the thiols employed in this study, which in one case (fluorothiol) increase and in another (alkanethiol) decrease the work function of the underlying gold substrates. A similar trend of photovoltage/photocurrent modulation is also observed if fullerene is used as the photoagent in these devices. Our results reveal the intricacy of orientated surface dipole in influencing the photovoltaic processes, and its subtle interplay with other factors related to the photoagents, such as their location and orientation within the organic matrix. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. The titration of carboxyl-terminated monolayers revisited: in situ calibrated fourier transform infrared study of well-defined monolayers on silicon.

    Science.gov (United States)

    Aureau, D; Ozanam, F; Allongue, P; Chazalviel, J-N

    2008-09-02

    The acid-base equilibrium at the surface of well-defined mixed carboxyl-terminated/methyl-terminated monolayers grafted on silicon (111) has been investigated using in situ calibrated infrared spectroscopy (attenuated total reflectance (ATR)) in the range of 900-4000 cm (-1). Spectra of surfaces in contact with electrolytes of various pH provide a direct observation of the COOH COO (-) conversion process. Quantitative analysis of the spectra shows that ionization of the carboxyl groups starts around pH 6 and extends over more than 6 pH units: approximately 85% ionization is measured at pH 11 (at higher pH, the layers become damaged). Observations are consistently accounted for by a single acid-base equilibrium and discussed in terms of change in ion solvation at the surface and electrostatic interactions between surface charges. The latter effect, which appears to be the main limitation, is qualitatively accounted for by a simple model taking into account the change in the Helmholtz potential associated with the surface charge. Furthermore, comparison of calculated curves with experimental titration curves of mixed monolayers suggests that acid and alkyl chains are segregated in the monolayer.

  8. Reaction kinetics of metal deposition via surface limited red-ox replacement of underpotentially deposited metal monolayers

    International Nuclear Information System (INIS)

    Gokcen, Dincer; Bae, Sang-Eun; Brankovic, Stanko R.

    2011-01-01

    The study of the kinetics of metal deposition via surface limited red-ox replacement of underpotentially deposited metal monolayers is presented. The model system was Pt submonolayer deposition on Au(1 1 1) via red-ox replacement of Pb and Cu UPD monolayers on Au(1 1 1). The kinetics of a single replacement reaction was studied using the formalism of the comprehensive analytical model developed to fit the open circuit potential transients from deposition experiments. The practical reaction kinetics parameters like reaction half life, reaction order and reaction rate constant are determined and discussed with their relevance to design and control of deposition experiments. The effects of transport limitation and the role of the anions/electrolyte on deposition kinetics are investigated and their significance to design of effective deposition process is discussed.

  9. X-Ray Reflectometry of DMPS Monolayers on a Water Substrate

    Science.gov (United States)

    Tikhonov, A. M.; Asadchikov, V. E.; Volkov, Yu. O.; Roshchin, B. S.; Ermakov, Yu. A.

    2017-12-01

    The molecular structure of dimyristoyl phosphatidylserine (DMPS) monolayers on a water substrate in different phase states has been investigated by X-ray reflectometry with a photon energy of 8 keV. According to the experimental data, the transition from a two-dimensional expanded liquid state to a solid gel state (liquid crystal) accompanied by the ordering of the hydrocarbon tails C14H27 of the DMPS molecule occurs in the monolayer as the surface pressure rises. The monolayer thickness is 20 ± 3 and 28 ± 2 Å in the liquid and solid phases, respectively, with the deflection angle of the molecular tail axis from the normal to the surface in the gel phase being 26° ± 8°. At least a twofold decrease in the degree of hydration of the polar lipid groups also occurs under two-dimensional monolayer compression. The reflectometry data have been analyzed using two approaches: under the assumption about the presence of two layers with different electron densities in the monolayer and without any assumptions about the transverse surface structure. Both approaches demonstrate satisfactory agreement between themselves in describing the experimental results.

  10. Electrochemistry of Single Metalloprotein and DNA‐Based Molecules at Au(111) Electrode Surfaces

    DEFF Research Database (Denmark)

    Salvatore, Princia; Zeng, Dongdong; Karlsen, Kasper Kannegård

    2013-01-01

    We have briefly overviewed recent efforts in the electrochemistry of single transition metal complex, redox metalloprotein, and redox‐marked oligonucleotide (ON) molecules. We have particularly studied self‐assembled molecular monolayers (SAMs) of several 5′‐C6‐SH single‐ (ss) and double‐strand (...

  11. Storifying Samsøs Renewable Energy Transition

    DEFF Research Database (Denmark)

    Papazu, Irina

    2018-01-01

    Through a joint community effort Denmark’s Renewable Energy Island Samsø became self-sufficient with renewable energy over a period of 10 years from 1997 to 2007. Today, the story about Samsø’s successful energy transition has become a global export and a widely known model of community building...... the effects of such well-crafted transition narratives. This tendency toward the ‘storification’ of transition processes is not restricted to Samsø; it is employed as a tactics by environmental organizations operating globally....

  12. Replacing -CH2CH2- with -CONH- does not significantly change rates of charge transport through Ag(TS)-SAM//Ga2O3/EGaIn junctions.

    Science.gov (United States)

    Thuo, Martin M; Reus, William F; Simeone, Felice C; Kim, Choongik; Schulz, Michael D; Yoon, Hyo Jae; Whitesides, George M

    2012-07-04

    This paper describes physical-organic studies of charge transport by tunneling through self-assembled monolayers (SAMs), based on systematic variations of the structure of the molecules constituting the SAM. Replacing a -CH(2)CH(2)- group with a -CONH- group changes the dipole moment and polarizability of a portion of the molecule and has, in principle, the potential to change the rate of charge transport through the SAM. In practice, this substitution produces no significant change in the rate of charge transport across junctions of the structure Ag(TS)-S(CH(2))(m)X(CH(2))(n)H//Ga(2)O(3)/EGaIn (TS = template stripped, X = -CH(2)CH(2)- or -CONH-, and EGaIn = eutectic alloy of gallium and indium). Incorporation of the amide group does, however, increase the yields of working (non-shorting) junctions (when compared to n-alkanethiolates of the same length). These results suggest that synthetic schemes that combine a thiol group on one end of a molecule with a group, R, to be tested, on the other (e.g., HS~CONH~R) using an amide-based coupling provide practical routes to molecules useful in studies of molecular electronics.

  13. Studies of the structure and properties of organic monolayers, multilayers and superlattices

    International Nuclear Information System (INIS)

    Dutta, P.; Ketterson, J.B.

    1990-01-01

    Organic monolayers and multilayers are both scientifically fascinating and technologically promising; they are, however, both complex systems and relatively inaccessible to experimental probes. In this progress report, we describe our x-ray diffraction studies, which have given us substantial new information about the structures and phase transitions in monolayers on the surface of water; our use of these monolayers as a unique probe of the dynamics of wetting and spreading; and our studies of monolayer mechanical properties using a simple but effective technique available to anyone using the Wilhelmy method to measure surface tension. 20 refs., 11 figs

  14. Conformation, orientation and interaction in molecular monolayers

    International Nuclear Information System (INIS)

    Superfine, R.; Huang, J.Y.; Shen, Y.R.

    1989-01-01

    Knowledge of the conformation and ordering of molecular monolayers is essential for a detailed understanding of a wide variety of surface and interfacial phenomena. Over the past several years, surface second harmonic generation (SHG) has proven to be a valuable and versatile probe of monolayer systems. Our group has recently extended the technique to infrared-visible sum frequency generation (SFG) which has unique capabilities for surface vibrational spectroscopy. Like second harmonic generation, SFG is highly surface specific with submonolayer sensitivity at all interfaces accessible by light. The orientation of individual groups within an adsorbate molecule can be deduced by a polarization analysis of the SFG signal from the vibrational modes of the groups. The authors have used SHG and SFG to study orientations and conformations of surfactant and liquid crystal (LC) monolayers and their interaction on a substrate. The interfacial properties of LC are of great interest to many researchers for both basic science understanding and practical application to LC devices. It is well known that the bulk alignment of a liquid crystal in a cell is strongly affected by the surface treatment of the cell walls. The reason behind it is not yet clear. The theoretical background and experimental arrangement of SHG and SFG have been described elsewhere. In the setup, a 30 psec. Nd:YAG mode-locked laser system together with nonlinear accessories generates a visible beam at .532μm and an infrared beam tunable about 3.4μm. Both beams are focused to a common spot of 300μm dia. The typical signal off the surface from a compact ordered alkyl chain monolayer is ∼500 photons per pulse, easily detected with a photomultiplier tube

  15. The surface pressure dynamics and appearance of mixed monolayers of cholesterol and different sized polystyrenes at an air-water interface.

    Science.gov (United States)

    Mudgil, Poonam; Dennis, Gary R; Millar, Thomas J

    2005-02-15

    Synthetic polymers are increasingly being used in situations where they are designed to interact with biological systems. As a result, it is important to investigate the interactions of the polymers with biochemicals. We have used cholesterol, as an example of an important biological surfactant component, to study its interactions with polystyrene. Mixed monolayers of cholesterol and one of two different molecular weight polystyrenes were formed at an air-water interface to investigate their interactions and to determine whether the size of the polystyrene affected the interaction. The pressure-area (pi-A) isocycles of mixed monolayers of cholesterol and polystyrene MW 2700 or polystyrene MW32700 showed that strongest attractive interactions occur at high surface pressures and in polystyrene rich films. The excess area and excess free energy of mixing were most negative at high surface pressures and at high mole fraction of polystyrene. The most stable mixed monolayers were formed with X(PS2700) = 0.9 and X(PS32700) = 0.09. Microscopic observation of the mixed monolayers of cholesterol and polystyrene showed the formation of stable islands in the cholesterol/polystyrene mixtures. These observations, the nature of the inflection points in the isocycles, and the anomalous changes in free energy lead us to conclude that there is a stable rearrangement of polystyrene into compact islands when it is mixed with cholesterol. Any excess cholesterol is excluded from these islands and remains as a separate film surrounding the islands.

  16. Enhancing the Properties of Carbon and Gold Substrates by Surface Modification

    Energy Technology Data Exchange (ETDEWEB)

    Harnisch, Jennifer Anne [Iowa State Univ., Ames, IA (United States)

    2001-01-01

    The properties of both carbon and gold substrates are easily affected by the judicious choice of a surface modification protocol. Several such processes for altering surface composition have been published in literature. The research presented in this thesis primarily focuses on the development of on-column methods to modify carbon stationary phases used in electrochemically modulated liquid chromatography (EMLC). To this end, both porous graphitic carbon (PGC) and glassy carbon (GC) particles have been modified on-column by the electroreduction of arenediazonium salts and the oxidation of arylacetate anions (the Kolbe reaction). Once modified, the carbon stationary phases show enhanced chromatographic performance both in conventional liquid chromatographic columns and EMLC columns. Additionally, one may also exploit the creation of aryl films to by electroreduction of arenediazonium salts in the creation of nanostructured materials. The formation of mercaptobenzene film on the surface of a GC electrode provides a linking platform for the chemisorption of gold nanoparticles. After deposition of nanoparticles, the surface chemistry of the gold can be further altered by self-assembled monolayer (SAM) formation via the chemisorption of a second thiol species. Finally, the properties of gold films can be altered such that they display carbon-like behavior through the formation of benzenehexathiol (BHT) SAMs. BHT chemisorbs to the gold surface in a previously unprecedented planar fashion. Carbon and gold substrates can be chemically altered by several methodologies resulting in new surface properties. The development of modification protocols and their application in the analytical arena is considered herein.

  17. Surface interactions, thermodynamics and topography of binary monolayers of Insulin with dipalmitoylphosphatidylcholine and 1-palmitoyl-2-oleoylphosphatidylcholine at the air/water interface.

    Science.gov (United States)

    Grasso, E J; Oliveira, R G; Maggio, B

    2016-02-15

    The molecular packing, thermodynamics and surface topography of binary Langmuir monolayers of Insulin and DPPC (dipalmitoylphosphatidylcholine) or POCP (1-palmitoyl-2-oleoylphosphatidylcholine) at the air/water interface on Zn(2+) containing solutions were studied. Miscibility and interactions were ascertained by the variation of surface pressure-mean molecular area isotherms, surface compressional modulus and surface (dipole) potential with the film composition. Brewster Angle Microscopy was used to visualize the surface topography of the monolayers. Below 20mN/m Insulin forms stable homogenous films with DPPC and POPC at all mole fractions studied (except for films with XINS=0.05 at 10mN/m where domain coexistence was observed). Above 20mN/m, a segregation process between mixed phases occurred in all monolayers without squeezing out of individual components. Under compression the films exhibit formation of a viscoelastic or kinetically trapped organization leading to considerable composition-dependent hysteresis under expansion that occurs with entropic-enthalpic compensation. The spontaneously unfavorable interactions of Insulin with DPPC are driven by favorable enthalpy that is overcome by unfavorable entropic ordering; in films with POPC both the enthalpic and entropic effects are unfavorable. The surface topography reveals domain coexistence at relatively high pressure showing a striped appearance. The interactions of Insulin with two major membrane phospholipids induces composition-dependent and long-range changes of the surface organization that ought to be considered in the context of the information-transducing capabilities of the hormone for cell functioning. Copyright © 2015 Elsevier Inc. All rights reserved.

  18. Indirect photopatterning of functionalized organic monolayers via copper-catalyzed "click chemistry"

    Science.gov (United States)

    Williams, Mackenzie G.; Teplyakov, Andrew V.

    2018-07-01

    Solution-based lithographic surface modification of an organic monolayer on a solid substrate is attained based on selective area photo-reduction of copper (II) to copper (I) to catalyze the azide-alkyne dipolar cycloaddition "click" reaction. X-ray photoelectron spectroscopy is used to confirm patterning, and spectroscopic results are analyzed and supplemented with computational models to confirm the surface chemistry. It is determined that this surface modification approach requires irradiation of the solid substrate with all necessary components present in solution. This method requires only minutes of irradiation to result in spatial and temporal control of the covalent surface functionalization of a monolayer and offers the potential for wavelength tunability that may be desirable in many applications utilizing organic monolayers.

  19. Solvent-mediated self-assembly of hexadecanethiol on GaAs (0 0 1)

    International Nuclear Information System (INIS)

    Huang, Xiaohuan; Dubowski, Jan J.

    2014-01-01

    Graphical abstract: - Highlights: • Outstanding quality hexadecanethiol self-assembled monolayers (HDT SAM) produced on GaAs (0 0 1) due to the mediated role of water in an alcoholic environment. • HDT SAM formed in chloroform exhibit excellent electronic passivation properties in contrast to their structural characteristics. • Low dielectric constant solvents do not necessary provide conditions advantageous for the formation of high quality alkanethiol SAM. • Photoluminescence emitting materials allow to investigate the mechanisms of both electronic and chemical passivation and, thus, they are an excellent platform for studying the mechanisms of SAM formation on solid substrates. - Abstract: We have investigated the influence of solvents on the quality of hexadecanethiol (HDT) self-assembled monolayers (SAM) formed on GaAs (0 0 1) in chloroform, ethanol and ethanol/water 1:1 characterized by their increasing dielectric constants from 4.8 (chloroform) to 24.5 (ethanol) and water (80.1). Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) data show that the incubation in ethanol/water 1:1 solution creates conditions favouring inter-molecular interaction leading to the formation of an outstanding quality HDT SAM on GaAs (0 0 1). Incubation in low-dielectric constant solvents is not offering advantageous conditions for growing HDT SAM on GaAs. The chloroform environment, while weakening the thiol–thiol interaction, induces the oxidation of the GaAs surface and, in particular, formation of Ga 2 O 3 . This reduces the concentration of surface defects responsible for non-radiative recombination and leads to an enhanced photoluminescence emission, despite the fact that HDT SAM formed in chloroform are highly disordered, exhibiting the worst chemical passivation among the investigated samples

  20. Large-area and bright pulsed electroluminescence in monolayer semiconductors

    KAUST Repository

    Lien, Der-Hsien; Amani, Matin; Desai, Sujay B.; Ahn, Geun Ho; Han, Kevin; He, Jr-Hau; Ager, Joel W.; Wu, Ming C.; Javey, Ali

    2018-01-01

    Transition-metal dichalcogenide monolayers have naturally terminated surfaces and can exhibit a near-unity photoluminescence quantum yield in the presence of suitable defect passivation. To date, steady-state monolayer light-emitting devices suffer from Schottky contacts or require complex heterostructures. We demonstrate a transient-mode electroluminescent device based on transition-metal dichalcogenide monolayers (MoS, WS, MoSe, and WSe) to overcome these problems. Electroluminescence from this dopant-free two-terminal device is obtained by applying an AC voltage between the gate and the semiconductor. Notably, the electroluminescence intensity is weakly dependent on the Schottky barrier height or polarity of the contact. We fabricate a monolayer seven-segment display and achieve the first transparent and bright millimeter-scale light-emitting monolayer semiconductor device.

  1. Large-area and bright pulsed electroluminescence in monolayer semiconductors

    KAUST Repository

    Lien, Der-Hsien

    2018-04-04

    Transition-metal dichalcogenide monolayers have naturally terminated surfaces and can exhibit a near-unity photoluminescence quantum yield in the presence of suitable defect passivation. To date, steady-state monolayer light-emitting devices suffer from Schottky contacts or require complex heterostructures. We demonstrate a transient-mode electroluminescent device based on transition-metal dichalcogenide monolayers (MoS, WS, MoSe, and WSe) to overcome these problems. Electroluminescence from this dopant-free two-terminal device is obtained by applying an AC voltage between the gate and the semiconductor. Notably, the electroluminescence intensity is weakly dependent on the Schottky barrier height or polarity of the contact. We fabricate a monolayer seven-segment display and achieve the first transparent and bright millimeter-scale light-emitting monolayer semiconductor device.

  2. Friction mechanisms of silicon wafer and silicon wafer coated with diamond-like carbon film and two monolayers

    International Nuclear Information System (INIS)

    Singh, R. Arvind; Yoon, Eui Sung; Han, Hung Gu; Kong, Ho Sung

    2006-01-01

    The friction behaviour of Si-wafer, Diamond-Like Carbon (DLC) and two Self-Assembled Monolayers(SAMs) namely DiMethylDiChlorosilane (DMDC) and DiPhenyl-DiChlorosilane (DPDC) coated on Si-wafer was studied under loading conditions in milli-Newton (mN) range. Experiments were performed using a ball-on-flat type reciprocating micro-tribo tester. Glass balls with various radii 0.25 mm, 0.5 mm and 1 mm were used. The applied normal load was in the range of 1.5 mN to 4.8 mN. Results showed that the friction increased with the applied normal load in the case of all the test materials. It was also observed that friction was affected by the ball size. Friction increased with the increase in the ball size in the case of Si-wafer. The SAMs also showed a similar trend, but had lower values of friction than those of Si-wafer. Interestingly, for DLC it was observed that friction decreased with the increase in the ball size. This distinct difference in the behavior of friction in DLC was attributed to the difference in the operating mechanism. It was observed that Si-wafer and DLC exhibited wear, whereas wear was absent in the SAMs. Observations showed that solid-solid adhesion was dominant in Si-wafer, while plowing in DLC. The wear in these two materials significantly influenced their friction. In the case of SAMs their friction behaviour was largely influenced by the nature of their molecular chains

  3. Samâ’ dalam Tradisi Tasawuf

    Directory of Open Access Journals (Sweden)

    Said Aqil Siradj

    2014-01-01

    Full Text Available Samâ‘ in Tasawuf has been a very important element in the dissemination of this spiritual dimension of Islam. Yet, it has received very little both from the practitioners of Tasawuf and its intellectuals. This paper tries to expose this simply in a hope to make it heard in the academic and popular circle. Here, samâ‘ is not only understood as a form of music, as many would do, but also as an art of listening of which music is certainly part. The paper will explore the meaning and definition of this term, putting emphasis on its many-faceted function in the formation and development of one’s soul and spirituality. It is argued that soul is musical and artistic. Using art and music to talk to soul is therefore the proper way and means. The paper will also try to show that samâ‘ is also an indispensable part of spiritual method to reach and know God. Knowledge of God in other words, can be gained through this practice. Hence, samâ‘ is treated not only as a form of entertainment, but also a kind of practical epistemology.

  4. Computational studies at the density functional theory (DFT) level about the surface functionalization of hexagonal monolayers by chitosan monomer

    Science.gov (United States)

    Ebrahimi, Javad; Ahangari, Morteza Ghorbanzadeh; Jahanshahi, Mohsen

    2018-05-01

    Theoretical investigations based on density functional theory have been carried out to understand the underlying interactions between the chitosan monomer and several types of hexagonal monolayers consisting of pristine and defected graphene and boron-nitride nanosheets. Based on the obtained results, it was found that the type of the interaction for all the systems is of non-covalent nature and the chitosan monomer physically interacts with the surface of mentioned nanostructures. The interaction strength was evaluated by calculating the adsorption energies for the considered systems and it was found that the adsorption of chitosan monomer accompanies by the release of about -0.67 and -0.66 eV energy for pristine graphene and h-BN monolayer, respectively. The role of structural defect has also been considered by embedding a Stone-Wales defect within the structure of mentioned monolayers and it was found that the introduced defect enhances the interactions between the chitosan monomer and nanostructures. The role of dispersion interactions has also been taken into account and it was found that these long-range interactions play the dominating role in the attachment of chitosan monomer onto the graphene sheet, while having strong contribution together with the electrostatic interactions for the stabilization of chitosan onto the surface of h-BN monolayer. For all the cases, the adsorption of chitosan monomer did not change the inherent electronic properties of the nanostructures based on the results of charge transfer analysis and energy gap calculations. The findings of the present work would be very useful in future investigations to explore the potential applications of these hybrid materials in materials science and bio-related fields.

  5. Soft and probe lithography without ink transfer

    NARCIS (Netherlands)

    Huskens, Jurriaan; Li, X.; Péter, M.; Reinhoudt, David

    2004-01-01

    Microcontact printing (mCP) and dip-pen nanolithography (DPN) are versatile techniques for the creation of patterned surfaces. They commonly employ the transfer of an ink (e.g. a thiol) onto a surface (e.g. a gold-coated substrate) thus forming a self-assembled monolayer (SAM). Resolution of these

  6. Evaluation of the fluorinated antisticking layer by using photoemission and NEXAFS spectroscopies

    Energy Technology Data Exchange (ETDEWEB)

    Haruyama, Yuichi; Nakai, Yasuki; Matsui, Shinji [University of Hyogo, Graduate School of Science, Laboratory of Advanced Science and Technology for Industry, Ako, Hyogo (Japan)

    2015-11-15

    The electronic structures of four kinds of fluorinated self-assembled monolayers (F-SAMs) with different chain length, which were used for an antisticking layer, were investigated by the photoemission and the near-edge X-ray absorption fine structure (NEXAFS) spectroscopies. From the photoemission spectra in the wide and in the C 1s core-level regions, chemical compositions and components of the F-SAMs with different chain length were evaluated. By using the curve fitting analysis of the photoemission spectra in C 1s core-level region, it was found that the CF{sub 3} site is located at the top of the surface in the C sites of the F-SAM. From the C K-edge NEXAFS spectra of the F-SAMs as a function of the incidence angle of the excitation photon, it was shown that the σ*(C-F) and σ*(C-C) orbitals in the F-SAMs are parallel and perpendicular to the surface, respectively. This indicates that the C-C chain in (CF{sub 2}){sub n} part of the F-SAMs is perpendicular to the surface. Based on these results, the electronic structures of the F-SAMs are discussed. (orig.)

  7. Potential-induced structural transitions of DL-homocysteine monolayers on Au(111) electrode surfaces

    DEFF Research Database (Denmark)

    Zhang, Jingdong; Demetriou, Anna; Welinder, Anne Christina

    2005-01-01

    Monolayers of homocysteine on Au(111)-surfaces have been investigated by voltammetry, in situ scanning tunnelling microscopy (STM) and subtractively normalised interfacial Fourier transform spectroscopy (SNIFTIRS). A pair of sharp voltammetric peaks build up in the potential range 0 to -0.1 V (vs...... potentials at pH 7.7. The molecules pack into highly ordered domains around the peak potential. High-resolution in situ STM reveals a (root 3 x 5) R30 degrees lattice with three homocysteine molecules in each unit cell. The adlayer changes into disordered structures on either side of the peak potential...

  8. Effects of Immersion Solvent on Photovoltaic and Photophysical Properties of Porphyrin-Sensitized Solar Cells.

    Science.gov (United States)

    Hayashi, Hironobu; Higashino, Tomohiro; Kinjo, Yuriko; Fujimori, Yamato; Kurotobi, Kei; Chabera, Pavel; Sundström, Villy; Isoda, Seiji; Imahori, Hiroshi

    2015-08-26

    Memory effects in self-assembled monolayers (SAMs) of zinc porphyrin carboxylic acid on TiO2 electrodes have been demonstrated for the first time by evaluating the photovoltaic and electron transfer properties of porphyrin-sensitized solar cells prepared by using different immersion solvents sequentially. The structure of the SAM of the porphyrin on the TiO2 was maintained even after treating the porphyrin monolayer with different neat immersion solvents (memory effect), whereas it was altered by treatment with solutions containing different porphyrins (inverse memory effect). Infrared spectroscopy shows that the porphyrins in the SAM on the TiO2 could be exchanged with the same or analogous porphyrin, leading to a change in the structure of the porphyrin SAM. The memory and inverse memory effects are well correlated with a change in porphyrin geometry, mainly the tilt angle of the porphyrin along the long molecular axis from the surface normal on the TiO2, as well as with kinetics of electron transfer between the porphyrin and TiO2. Such a new structure-function relationship for DSSCs will be very useful for the rational design and optimization of photoelectrochemical and photovoltaic properties of molecular assemblies on semiconductor surfaces.

  9. The nuclear protein Sam68 is cleaved by the FMDV 3C protease redistributing Sam68 to the cytoplasm during FMDV infection of host cells

    International Nuclear Information System (INIS)

    Lawrence, Paul; Schafer, Elizabeth A.; Rieder, Elizabeth

    2012-01-01

    Picornavirus infection can lead to disruption of nuclear pore traffic, shut-off of cell translation machinery, and cleavage of proteins involved in cellular signal transduction and the innate response to infection. Here, we demonstrated that the FMDV 3C pro induced the cleavage of nuclear RNA-binding protein Sam68 C-terminus containing the nuclear localization sequence (NLS). Consequently, it stimulated the redistribution of Sam68 to the cytoplasm. The siRNA knockdown of Sam68 resulted in a 1000-fold reduction in viral titers, which prompted us to study the effect of Sam68 on FMDV post-entry events. Interestingly, Sam68 interacts with the internal ribosomal entry site within the 5′ non-translated region of the FMDV genome, and Sam68 knockdown decreased FMDV IRES-driven activity in vitro suggesting that it could modulate translation of the viral genome. The results uncover a novel role for Sam68 in the context of picornaviruses and the proteolysis of a new cellular target of the FMDV 3C pro .

  10. Silane surface modification for improved bioadhesion of esophageal stents

    Science.gov (United States)

    Karakoy, Mert; Gultepe, Evin; Pandey, Shivendra; Khashab, Mouen A.; Gracias, David H.

    2014-08-01

    Stent migration occurs in 10-40% of patients who undergo placement of esophageal stents, with higher migration rates seen in those treated for benign esophageal disorders. This remains a major drawback of esophageal stent therapy. In this paper, we propose a new surface modification method to increase the adhesion between self-expandable metallic stents (SEMS) and tissue while preserving their removability. Taking advantage of the well-known affinity between epoxide and amine terminated silane coupling agents with amine and carboxyl groups that are abundant in proteins and related molecules in the human body; we modified the surfaces of silicone coated esophageal SEMS with these adhesive self-assembled monolayers (SAMs). We utilized vapor phase silanization to modify the surfaces of different substrates including PDMS strips and SEMS, and measured the force required to slide these substrates on a tissue piece. Our results suggest that surface modification of esophageal SEMS via covalent attachment of protein-binding coupling agents improves adhesion to tissue and could offer a solution to reduce SEMS migration while preserving their removability.

  11. Technical Manual for the SAM Physical Trough Model

    Energy Technology Data Exchange (ETDEWEB)

    Wagner, M. J.; Gilman, P.

    2011-06-01

    NREL, in conjunction with Sandia National Lab and the U.S Department of Energy, developed the System Advisor Model (SAM) analysis tool for renewable energy system performance and economic analysis. This paper documents the technical background and engineering formulation for one of SAM's two parabolic trough system models in SAM. The Physical Trough model calculates performance relationships based on physical first principles where possible, allowing the modeler to predict electricity production for a wider range of component geometries than is possible in the Empirical Trough model. This document describes the major parabolic trough plant subsystems in detail including the solar field, power block, thermal storage, piping, auxiliary heating, and control systems. This model makes use of both existing subsystem performance modeling approaches, and new approaches developed specifically for SAM.

  12. A surprising way to control the charge transport in molecular electronics: the subtle impact of the coverage of self-assembled monolayers of floppy molecules adsorbed on metallic electrodes.

    Science.gov (United States)

    Bâldea, Ioan

    2017-10-26

    Inspired by earlier attempts in organic electronics aiming at controlling charge injection from metals into organic materials by manipulating the Schottky energy barrier using self-assembled monolayers (SAMs), recent experimental and theoretical work in molecular electronics showed that metal-organic interfaces can be controlled via changes in the metal work function that are induced by SAMs. In this paper we indicate a different route to achieve interface-driven control over the charge transfer/transport at the molecular scale. It is based on the fact that, in floppy molecule based SAMs, the molecular conformation can be tuned by varying the coverage of the adsorbate. We demonstrate this effect with the aid of benchmark molecules that are often used to fabricate nanojunctions and consist of two rings that can easily rotate relative to each other. We show that, by varying the coverage of the SAM, the twisting angle φ of the considered molecular species can be modified by a factor of two. Given the fact that the low bias conductance G scales as cos 2  φ, this results in a change in G of over one order of magnitude for the considered molecular species. Tuning the twisting angle by controlling the SAM coverage may be significant, e.g., for current efforts to fabricate molecular switches. Conversely, the lack of control over the local SAM coverage may be problematic for the reproducibility and interpretation of the STM (scanning tunneling microscope) measurements on repeatedly forming single molecule break junctions.

  13. Direct measurements of intermolecular forces by chemical force microscopy

    Science.gov (United States)

    Vezenov, Dmitri Vitalievich

    1999-12-01

    Detailed description of intermolecular forces is key to understanding a wide range of phenomena from molecular recognition to materials failure. The unique features of atomic force microscopy (AFM) to make point contact force measurements with ultra high sensitivity and to generate spatial maps of surface topography and forces have been extended to include measurements between well-defined organic molecular groups. Chemical modification of AFM probes with self-assembled monolayers (SAMs) was used to make them sensitive to specific molecular interactions. This novel chemical force microscopy (CFM) technique was used to probe forces between different molecular groups in a range of environments (vacuum, organic liquids and aqueous solutions); measure surface energetics on a nanometer scale; determine pK values of the surface acid and base groups; measure forces to stretch and unbind a short synthetic DNA duplex and map the spatial distribution of specific functional groups and their ionization state. Studies of adhesion forces demonstrated the important contribution of hydrogen bonding to interactions between simple organic functionalities. The chemical identity of the tip and substrate surfaces as well as the medium had a dramatic effect on adhesion between model monolayers. A direct correlation between surface free energy and adhesion forces was established. The adhesion between epoxy polymer and model mixed SAMs varied with the amount of hydrogen bonding component in the monolayers. A consistent interpretation of CFM measurements in polar solvents was provided by contact mechanics models and intermolecular force components theory. Forces between tips and surfaces functionalized with SAMs terminating in acid or base groups depended on their ionization state. A novel method of force titration was introduced for highly local characterization of the pK's of surface functional groups. The pH-dependent changes in friction forces were exploited to map spatially the

  14. Step-height standards based on the rapid formation of monolayer steps on the surface of layered crystals

    Energy Technology Data Exchange (ETDEWEB)

    Komonov, A.I. [Rzhanov Institute of Semiconductor Physics, Siberian Branch of the Russian Academy of Sciences (ISP SBRAS), pr. Lavrentieva 13, Novosibirsk 630090 (Russian Federation); Prinz, V.Ya., E-mail: prinz@isp.nsc.ru [Rzhanov Institute of Semiconductor Physics, Siberian Branch of the Russian Academy of Sciences (ISP SBRAS), pr. Lavrentieva 13, Novosibirsk 630090 (Russian Federation); Seleznev, V.A. [Rzhanov Institute of Semiconductor Physics, Siberian Branch of the Russian Academy of Sciences (ISP SBRAS), pr. Lavrentieva 13, Novosibirsk 630090 (Russian Federation); Kokh, K.A. [Sobolev Institute of Geology and Mineralogy, Siberian Branch of the Russian Academy of Sciences (IGM SB RAS), pr. Koptyuga 3, Novosibirsk 630090 (Russian Federation); Shlegel, V.N. [Nikolaev Institute of Inorganic Chemistry, Siberian Branch of the Russian Academy of Sciences (NIIC SB RAS), pr. Lavrentieva 3, Novosibirsk 630090 (Russian Federation)

    2017-07-15

    Highlights: • Easily reproducible step-height standard for SPM calibrations was proposed. • Step-height standard is monolayer steps on the surface of layered single crystal. • Long-term change in surface morphology of Bi{sub 2}Se{sub 3} and ZnWO{sub 4} was investigated. • Conducting surface of Bi{sub 2}Se{sub 3} crystals appropriate for calibrating STM. • Ability of robust SPM calibrations under ambient conditions were demonstrated. - Abstract: Metrology is essential for nanotechnology, especially for structures and devices with feature sizes going down to nm. Scanning probe microscopes (SPMs) permits measurement of nanometer- and subnanometer-scale objects. Accuracy of size measurements performed using SPMs is largely defined by the accuracy of used calibration measures. In the present publication, we demonstrate that height standards of monolayer step (∼1 and ∼0.6 nm) can be easily prepared by cleaving Bi{sub 2}Se{sub 3} and ZnWO{sub 4} layered single crystals. It was shown that the conducting surface of Bi{sub 2}Se{sub 3} crystals offers height standard appropriate for calibrating STMs and for testing conductive SPM probes. Our AFM study of the morphology of freshly cleaved (0001) Bi{sub 2}Se{sub 3} surfaces proved that such surfaces remained atomically smooth during a period of at least half a year. The (010) surfaces of ZnWO{sub 4} crystals remained atomically smooth during one day, but already two days later an additional nanorelief of amplitude ∼0.3 nm appeared on those surfaces. This relief, however, did not further grow in height, and it did not hamper the calibration. Simplicity and the possibility of rapid fabrication of the step-height standards, as well as their high stability, make these standards available for a great, permanently growing number of users involved in 3D printing activities.

  15. Langmuir monolayer formation of metal complexes from polymerizable amphiphilic ligands

    NARCIS (Netherlands)

    Werkman, P.J; Schouten, A.J.

    1996-01-01

    The monolayer behaviour of 4-(10,12-pentacosadiynoicamidomethyl)-pyridine at the air-water interface was studied by measuring the surface pressure-area isotherms. The amphiphile formed stable monolayers with a clear liquid-expanded (LE) to liquid-condensed phase transition at various temperatures.

  16. Deposition of metal-organic frameworks by liquid-phase epitaxy: The influence of substrate functional group density on film orientation

    KAUST Repository

    Liu, J.

    2012-09-05

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4\\'-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  17. Deposition of metal-organic frameworks by liquid-phase epitaxy: The influence of substrate functional group density on film orientation

    KAUST Repository

    Liu, J.; Shekhah, O.; Stammer, X.; Arslan, H.K.; Liu, B.; Schupbach, B.; Terfort, A.; Woll, C.

    2012-01-01

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4'-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  18. Deposition of Metal-Organic Frameworks by Liquid-Phase Epitaxy: The Influence of Substrate Functional Group Density on Film Orientation

    Science.gov (United States)

    Liu, Jinxuan; Shekhah, Osama; Stammer, Xia; Arslan, Hasan K.; Liu, Bo; Schüpbach, Björn; Terfort, Andreas; Wöll, Christof

    2012-01-01

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4’-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  19. Self-assembling siloxane bilayer directly on SiO{sub 2} surface of micro-cantilevers for long-term highly repeatable sensing to trace explosives

    Energy Technology Data Exchange (ETDEWEB)

    Chen Ying; Xu Pengcheng; Li Xinxin, E-mail: xxli@mail.sim.ac.cn [State Key Lab of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2010-07-02

    This paper presents a novel sensing layer modification technique for static micro-cantilever sensors that detect trace explosives by measuring specific adsorption-induced surface stress. For the first time, a method of directly modifying a siloxane sensing bilayer on an SiO{sub 2} surface is proposed to replace the conventional self-assembled monolayers (SAMs) of thiols on Au to avoid the trouble from long-term unstable Au-S bonds. For modifying the long-term reliable sensing bilayer on the piezoresistor-integrated micro-cantilevers, a siloxane-head bottom layer is self-assembled directly on the SiO{sub 2} cantilever surface, which is followed by grafting another explosive-sensing-group functionalized molecule layer on top of the siloxane layer. The siloxane-modified sensor has experimentally exhibited a highly resoluble response to 0.1 ppb TNT vapor. More importantly, the repeated detection results after 140 days show no obvious attenuation in sensing signal. Also observed experimentally, the specific adsorption of the siloxane sensing bilayer to TNT molecules causes a tensile surface stress on the cantilever. Herein the measured tensile surface stress is in contrast to the compressive surface stress normally measured from conventional cantilever sensors where the sensitive thiol-SAMs are modified on an Au surface. The reason for this newly observed phenomenon is discussed and preliminarily analyzed.

  20. Two step formation of metal aggregates by surface X-ray radiolysis under Langmuir monolayers: 2D followed by 3D growth

    Directory of Open Access Journals (Sweden)

    Smita Mukherjee

    2015-12-01

    Full Text Available In order to form a nanostructured metallic layer below a Langmuir monolayer, radiolysis synthesis was carried out in an adapted geometry that we call surface X-ray radiolysis. In this procedure, an X-ray beam produced by a synchrotron beamline intercepts the surface of an aqueous metal-ion solution covered by a Langmuir monolayer at an angle of incidence below the critical angle for total internal reflection. Underneath the organic layer, the X-ray beam induces the radiolytic synthesis of a nanostructured metal–organic layer whose ultrathin thickness is defined by the vertical X-ray penetration depth. We have shown that increasing the X-ray flux on the surface, which considerably enhances the kinetics of the silver layer formation, results in a second growth regime of silver nanocrystals. Here the formation of the oriented thin layer is followed by the appearance of a 3D powder of silver clusters.

  1. Growth of cells superinoculated onto irradiated and nonirradiated confluent monolayers

    International Nuclear Information System (INIS)

    Matsuoka, H.; Ueo, H.; Sugimachi, K.

    1990-01-01

    We prepared confluent monolayers of normal BALB/c 3T3 cells and compared differences in the growth of four types of cells superinoculated onto these nonirradiated and irradiated monolayers. The test cells were normal BALB/c 3T3 A31 cells, a squamous cell carcinoma from a human esophageal cancer (KSE-1), human fetal fibroblasts, and V-79 cells from Chinese hamster lung fibroblasts. Cell growth was checked by counting the cell number, determining [3H]thymidine incorporation and assessing colony formation. We found that on nonirradiated monolayers, colony formation of human fetal fibroblasts and normal BALB/c 3T3 cells was completely inhibited. On irradiated cells, test cells did exhibit some growth. KSE-1 cells, which had a low clonogenic efficiency on plastic surfaces, formed colonies on both irradiated and nonirradiated cells. On these monolayers, the clonogenic efficiency of V-79 cells was also higher than that on plastic surfaces. We conclude that the nonirradiated monolayer of BALB/c 3T3 cells completely inhibits the growth of superinoculated normal BALB/c 3T3 and human fetal fibroblasts, while on the other hand, they facilitate the growth of neoplastic KSE-1 and V-79 cells by providing a surface for cell adherence and growth, without affecting the presence of normal cells in co-cultures

  2. Transport of surface engineered polyamidoamine (PAMAM) dendrimers across IPEC-J2 cell monolayers.

    Science.gov (United States)

    Pisal, Dipak S; Yellepeddi, Venkata K; Kumar, Ajay; Palakurthi, Srinath

    2008-11-01

    The aim of our study was to prepare arginine-and ornithine-conjugated Polyamidoamine (PAMAM) dendrimers and study their permeability across IPEC-J2 cell monolayers, a new intestinal cell line model for drug absorption studies. Arginine and ornithine were conjugated to the amine terminals of the PAMAM(G4) dendrimers by Fmoc synthesis. The apical-to-basolateral (AB) and basolateral-to-apical (BA) apparent permeability coefficients (P(app)) for the PAMAM dendrimers increased by conjugating the dendrimers with both of these polyamines. The enhancement in permeability was dependent on the dendrimer concentration and duration of incubation. Correlation between monolayer permeability and the decrease in transepithelial electrical resistance (TEER) with the PAMAM dendrimers and the polyamine-conjugated dendrimers suggests that paracellular transport is one of the mechanisms of transport across the epithelial cells. Cytotoxicity of these surface-modified dendrimers was evaluated in IPEC-J2 cells by MTT (methylthiazoletetrazolium) assay. Arginine-conjugated dendrimers were insignificantly more toxic than PAMAM dendrimer as well as ornithine-conjugated dendrimers. Though investigations on the possible involvement of other transport mechanisms are in progress, results of the present study suggest the potential of dendrimer-polyamine conjugates as the carriers for antigen/drug delivery through the oral mucosa.

  3. Information System through ANIS at CeSAM

    Science.gov (United States)

    Moreau, C.; Agneray, F.; Gimenez, S.

    2015-09-01

    ANIS (AstroNomical Information System) is a web generic tool developed at CeSAM to facilitate and standardize the implementation of astronomical data of various kinds through private and/or public dedicated Information Systems. The architecture of ANIS is composed of a database server which contains the project data, a web user interface template which provides high level services (search, extract and display imaging and spectroscopic data using a combination of criteria, an object list, a sql query module or a cone search interfaces), a framework composed of several packages, and a metadata database managed by a web administration entity. The process to implement a new ANIS instance at CeSAM is easy and fast : the scientific project has to submit data or a data secure access, the CeSAM team installs the new instance (web interface template and the metadata database), and the project administrator can configure the instance with the web ANIS-administration entity. Currently, the CeSAM offers through ANIS a web access to VO compliant Information Systems for different projects (HeDaM, HST-COSMOS, CFHTLS-ZPhots, ExoDAT,...).

  4. The Au-S bond in biomolecular adsorption and electrochemical electron transfer

    DEFF Research Database (Denmark)

    Ford, M. J.; Hush, N. S.; Marcuccio, S.

    Interfacial electrochemical electron transfer (ET) of redox metalloproteins is long established. For the proteins to retain full ET or enzyme activity, modification of the electrode surfaces, such as goldsurfaces by self-assembled molecular monolayers (SAMs), is nearly always required, where pure...

  5. Electrochemical characterization of mixed self-assembled films of water-soluble single-walled carbon nanotube-poly(m-aminobenzene sulfonic acid) and Iron(II) tetrasulfophthalocyanine

    CSIR Research Space (South Africa)

    Agboola, BO

    2010-09-01

    Full Text Available The redox activities of water-soluble iron(II) tetrasulfophthalocyanine (FeTSPc) and single-walled carbon nanotube-poly(m-aminobenzene sulfonic acid) (SWCNT-PABS) adsorbed on a gold surface precoated with a self-assembled monolayer (SAM) of 2...

  6. Cation effects on phosphatidic acid monolayers at various pH conditions.

    Science.gov (United States)

    Zhang, Ting; Cathcart, Matthew G; Vidalis, Andrew S; Allen, Heather C

    2016-10-01

    The impact of pH and cations on phase behavior, stability, and surface morphology for dipalmitoylphosphatidic acid (DPPA) monolayers was investigated. At pHCations are found to expand and stabilize the monolayer in the following order of increasing magnitude at pH 5.6: Na + >K + ∼Mg 2+ >Ca 2+ . Additionally, cation complexation is tied to the pH and protonation state of DPPA, which are the primary factors controlling the monolayer surface behavior. The binding affinity of cations to the headgroup and thus deprotonation capability of the cation, ranked in the order of Ca 2+ >Mg 2+ >Na + >K + , is found to be well explained by the law of matching water affinities. Nucleation of surface 3D lipid structures is observed from Ca 2+ , Mg 2+ , and Na + , but not from K + , consistent with the lowest binding affinity of K + . Unraveling cation and pH effects on DPPA monolayers is useful in further understanding the surface properties of complex systems such as organic-coated marine aerosols where organic films are directly influenced by the pH and ionic composition of the underlying aqueous phase. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  7. Comparison of nitric oxide binding to different pure and mixed protoporphyrin IX monolayers

    NARCIS (Netherlands)

    Knoben, W.; Crego-Calama, M.; Brongersma, S.H.

    2012-01-01

    The nitric oxide (NO) binding properties of monolayers of four different protoporphyrins IX adsorbed on aluminum oxide surfaces have been investigated. XPS and AFM results are consistent with the presence of a monolayer of porphyrins, bound to the surface by their carboxylic acid groups and with the

  8. Influence of Oxychlorine Phases During the Pyrolysis of Organic Molecules: Implications for the Quest of Organics on Mars with the SAM Experiment Onboard the Curiosity Rover

    Science.gov (United States)

    Millan, M.; Szopa, C.; Buch, A.; Belmahdi, I.; Glavin, D. P.; Freissinet, C.; Eigenbrode, J. L.; Archer, P. D., Jr,; Sutter, B.; Mahaffy, P.

    2017-01-01

    One among the main objectives of the Sample Analysis at Mars (SAM) experiment is the in situ molecular analysis of gases evolving from solid samples heated up to approximately 850 degrees Centigrade, and collected by Curiosity on Mars surface/sub-surface in Gale crater. With this aim, SAM uses a gas-chromatograph coupled to a quadrupole mass spectrometer (GC-QMS) devoted to separate, detect and identify both volatile inorganic and organic compounds. SAM detected chlorinated organic molecules produced in evolved gas analysis (EGA) experiments. Several of these were also detected by the Viking experiments in 1976. SAM also detected oxychlorine compounds that were present at the Phoenix landing site. The oxychlorines may be prevelant over much of the martian surface. The C1 to C3 aliphatic chlorohydrocarbons (chloromethane and di- and trichloromethane) detected by SAM were attributed to reaction products occurring between the oxychlorines phases and the organic compounds coming from SAM instrument background. But SAM also showed the presence of a large excess of chlorobenzene and C2 to C4 dichloroalkanes among the volatile species released by the Cumberland sample of the Sheepbed mudstone. For the first time in the history of the Mars exploration, this proved the presence of Mars indigenous organic material at the Mars' surface. However, the identification of the precursor organic compounds of these chlorohydrocarbons is difficult due to the complexity of the reactions occurring during the sample pyrolysis. Laboratory pyrolysis experiments have demonstrated that oxychlorines phases such as perchlorates and chlorates, decomposed into dioxygen and volatile chlorine bearing molecules (HCl and/or Cl2) during the pyrolysis. These chemical species can then react with the organic molecules present in the martian solid samples through oxidation, chlorination and oxychlorination processes.

  9. Monolayers and thin films of dextran hydrophobically modified

    International Nuclear Information System (INIS)

    Leiva, Angel; Munoz, Natalia; Gargallo, Ligia; Radic, Deodato; Urzua, Marcela

    2010-01-01

    A series of biodegradable graft copolymers were synthesized by grafting e-caprolactone over dextran of different molecular weights. The obtained copolymers were characterized by Fourier transform infrared spectroscopy FTIR, proton nuclear magnetic resonance 1H NMR, thermogravimetry and elemental analysis. Stable monolayers at the air-water interface and spin coated thin films were prepared and characterized by the Langmuir technique and by contact angle measurements respectively. The compressibility and static surface elasticity of the monolayers and the surface energy of copolymer thin films show dependence with the e-caprolactone content. >From these results it can be concluded that the surface properties of grafted copolymers can be modulated by their composition. Additionally, according to the obtained results, e-caprolactone grafted-dextrans show potential for being used in different applications where surface properties are important. (author)

  10. 78 FR 47695 - Sam Rayburn Dam Power Rate

    Science.gov (United States)

    2013-08-06

    ... DEPARTMENT OF ENERGY Southwestern Power Administration Sam Rayburn Dam Power Rate AGENCY: Southwestern Power Administration, DOE. ACTION: Notice of public review and comment. SUMMARY: The current Sam..., Southwestern Power Administration (Southwestern), has prepared Current and Revised 2013 Power Repayment Studies...

  11. The oriented and patterned growth of fluorescent metal–organic frameworks onto functionalized surfaces

    Directory of Open Access Journals (Sweden)

    Jinliang Zhuang

    2012-08-01

    Full Text Available A metal–organic framework (MOF material, [Zn2(adc2(dabco] (adc = anthracene-9,10-dicarboxylate, dabco = 1,4-diazabicyclo[2.2.2]­octane, the fluorescence of which depends on the loading of its nanopores, was synthesized in two forms: as free-flowing nanocrystals with different shapes and as surface-attached MOFs (SURMOFs. For the latter, we used self-assembled monolayers (SAMs bearing functional groups, such as carboxylate and pyridyl groups, capable of coordinating to the constituents of the MOF. It could be demonstrated that this directed coordination also orients the nanocrystals deposited at the surface. Using two different patterning methods, i.e., microcontact printing and electron-beam lithography, the lateral distribution of the functional groups could be determined in such a way that the highly localized deposition of the SURMOF films became possible.

  12. SAM : an experiment dedicated to the Carbon Quest at Mars

    Science.gov (United States)

    Coll, Patrice; Mahaffy, Paul; Webster, Chris; Cabane, Michel; Tan, F.; Coscia, D.; Nolan, T.; Rahen, E.; Teinturier, S.; Goutail, J. P.; Martin, D.; Montaron, C.; Galic, A.

    SAM is a suite of instruments that will be onboard the Mars Science Laboratory (MSL) rover. The SAM team consist of scientists and engineers at GSFC, U. Paris/CNRS, JPL, and Honeybee Robotics, along with many additional external partners. SAM's five science goals will address three of the most fundamental questions about the ability of Mars to support life -past, present, and future. Question 1: What does the inventory of carbon compounds near the surface of Mars tell us about its potential habitability? 1.Goal 1: Survey carbon compound sources and evaluate their possible mechanism of formation and destruction. 2.Goal 2: Search for organic compounds of biotic and prebiotic importance expecially methane. Question 2: What are the chemical and isotopic states of the lighter elements in the solids and atmosphere of Mars and what do they tell us about its potential habitability? 1.Goal 3: Reveal the chemical and isotopic state of elements (i.e., N, H, O, S and others) that are important for life as we know it. 2.Goal 4: Evaluate the habitability of Mars by studying its atmospheric chemistry and the composition of trace species that are evidence of interactions between the atmosphere and soil. Question 3: Were past habitability conditions different from today's? 1.Goal 5: Understand atmospheric and climatic evolution through measurements of noble gas and light element isotopes.

  13. Electrochemically controlled self-assembled monolayers characterized with molecular and sub-molecular resolution

    DEFF Research Database (Denmark)

    Zhang, Jingdong; Welinder, Anna Christina; Chi, Qijin

    2011-01-01

    Self-assembled organization of functional molecules on solid surfaces has developed into a powerful and sophisticated tool for surface chemistry and nanotechnology. A number of reviews on the topic have been available since the mid 1990s. This perspective article aims to focus on recent development...... structures and the experimental operating conditions. This is followed by discussion of two major high-resolution experimental methods, scanning tunnelling microscopy (STM) and single-crystal electrochemistry. In Section 3, we briefly address choice of supporting electrolytes and substrate surfaces......, we give examples of what can be offered by theoretical computations for the detailed understanding of the SAM electronic structures revealed by STM images. A brief summary of the current applications of SAMs in wiring metalloproteins, design and fabrication of sensors, and single-molecule electronics...

  14. Microstructured surfaces engineered using biological templates: a facile approach for the fabrication of superhydrophobic surfaces

    Directory of Open Access Journals (Sweden)

    DUSAN LOSIC

    2008-10-01

    Full Text Available The fabrication of microstructured surfaces using biological templates was investigated with the aim of exploring of a facile and low cost approach for the fabrication of structured surfaces with superhydrophobic properties. Two soft lithographic techniques, i.e., replica moulding and nano-imprinting, were used to replicate the surfaces of a biological substrate. Leaves of the Agave plant (Agave attenuate, a cost-free biological template, were used as a model of a biosurface with superhydrophobic properties. The replication process was performed using two polymers: an elastomeric polymer, poly(dimethylsiloxane (PDMS, and a polyurethane (PU based, UV-curable polymer (NOA 60. In the first replication step, negative polymer replicas of the surface of leaves were fabricated, which were used as masters to fabricate positive polymer replicas by moulding and soft imprinting. The pattern with micro and nanostructures of the surface of the leaf possesses superhydrophobic properties, which was successfully replicated into both polymers. Finally, the positive replicas were coated with a thin gold film and modified with self-assembled monolayers (SAMs to verify the importance of the surface chemistry on the hydrophobic properties of the fabricated structures. Wetting (contact angle and structural (light microscopy and scanning electron microscopy characterisation was performed to confirm the hydrophobic properties of the fabricated surfaces (> 150°, as well as the precision and reproducibility of the replication process.

  15. Methods of reducing non-specific adsorption in microfluidic biosensors

    International Nuclear Information System (INIS)

    Choi, Seokheun; Chae, Junseok

    2010-01-01

    Non-specific adsorption (NSA) of biomolecules is a persistent challenge in microfluidic biosensors. Microfluidic biosensors often have immobilized bioreceptors such as antibodies, enzymes, DNAs, etc, via linker molecules such as SAMs (self-assembled monolayers) to enhance immobilization. However, the linker molecules are very susceptible to NSA, causing false responses and decreasing sensitivity. In this paper, we present design methods to reduce the NSA of alkanethiol SAMs, which are popular linker molecules on microfluidic biosensors. Three design parameters were studied for two different chain-length SAMs (n = 2 and 10): (i) SAM incubation time, (ii) surface roughness [0.8 nm and 4.4 nm RMS (root mean square)] and (iii) gold crystal re-growth along (1 1 1) the target orientation. NSA was monitored by surface plasmon resonance (SPR). The results suggest that increased SAM incubation time reduces NSA, and that short-chain SAMs respond more favorably than the long-chain SAMs. Both SAMs were shown to be sensitive to surface roughness, and long-chain SAMs reduced NSA by 75%. Gold crystal re-growth along (1 1 1) the target orientation profoundly reduced NSA on the short-chain SAM. On a gold surface where surface roughness was 0.8 nm and there was strong directional alignment along the (1 1 1) gold crystal, final concentrations of nonspecifically bound proteins were 0.05 ng mm −2 (fibrinogen) and 0.075 ng mm −2 (lysozyme)—significantly lower than other known methods. The results show that optimizing three parameters (SAM incubation time, gold surface roughness and gold crystal orientation) improved SAM sensitivity for fibrinogen–anti-fibrinogen conjugates by a factor of 5 in 2.94 pM, suggesting that the methods are effective for reducing NSA in microfluidic biosensors.

  16. Collisions of polyatomic ions with surfaces: incident energy partitioning and chemical reactions

    International Nuclear Information System (INIS)

    Zabka, J.; Roithova, J.; Dolejsek, Z.; Herman, Z.

    2002-01-01

    Collision of polyatomic ions with surfaces were investigated in ion-surface scattering experiments to obtain more information on energy partitioning in ion-surface collision and on chemical reactions at surfaces. Mass spectra, translation energy and angular distributions of product ions were measured in dependence on the incident energy and the incident angle of polyatomic projectiles. From these data distributions of energy fractions resulting in internal excitation of the projectile, translation energy of the product ions, and energy absorbed by the surface were determined. The surface investigated were a standard stainless steel surface, covered by hydrocarbons, carbon surfaces at room and elevated temperatures, and several surfaces covered by a self-assembled monolayers (C 12 -hydrocarbon SAM, C 11 -perfluorohydrocarbon SAM, and C 11 hydrocarbon with terminal -COOH group SAM). The main processes observed at collision energies of 10 - 50 eV were: neutralization of the ions at surfaces, inelastic scattering and dissociations of the projectile ions, quasi elastic scattering of the projectile ions, and chemical reactions with the surface material (usually hydrogen-atom transfer reactions). The ion survival factor was estimated to be a few percent for even-electron ions (like protonated ethanol ion, C 2 H 5 O + , CD 5 + ) and about 10 - 10 2 times lower for radical ions (like ethanol and benzene molecular ions, CD 4 + ). In the polyatomic ion -surface energy transfer experiments, the ethanol molecular ion was used as a well-characterized projectile ion. The results with most of the surfaces studied showed in the collision energy range of 13 - 32 eV that most collisions were strongly inelastic with about 6 - 8 % of the incident projectile energy transformed into internal excitation of the projectile (independent of the incident angle) and led partially to its further dissociation in a unimolecular way after the interaction with the surface. The incident energy

  17. The influence of the surface composition of mixed monolayer films on the evaporation coefficient of water.

    Science.gov (United States)

    Miles, Rachael E H; Davies, James F; Reid, Jonathan P

    2016-07-20

    We explore the dependence of the evaporation coefficient of water from aqueous droplets on the composition of a surface film, considering in particular the influence of monolayer mixed component films on the evaporative mass flux. Measurements with binary component films formed from long chain alcohols, specifically tridecanol (C13H27OH) and pentadecanol (C15H31OH), and tetradecanol (C14H29OH) and hexadecanol (C16H33OH), show that the evaporation coefficient is dependent on the mole fractions of the two components forming the monolayer film. Immediately at the point of film formation and commensurate reduction in droplet evaporation rate, the evaporation coefficient is equal to a mole fraction weighted average of the evaporation coefficients through the equivalent single component films. As a droplet continues to diminish in surface area with continued loss of water, the more-soluble, shorter alkyl chain component preferentially partitions into the droplet bulk with the evaporation coefficient tending towards that through a single component film formed simply from the less-soluble, longer chain alcohol. We also show that the addition of a long chain alcohol to an aqueous-sucrose droplet can facilitate control over the degree of dehydration achieved during evaporation. After undergoing rapid gas-phase diffusion limited water evaporation, binary aqueous-sucrose droplets show a continued slow evaporative flux that is limited by slow diffusional mass transport within the particle bulk due to the rapidly increasing particle viscosity and strong concentration gradients that are established. The addition of a long chain alcohol to the droplet is shown to slow the initial rate of water loss, leading to a droplet composition that remains more homogeneous for a longer period of time. When the sucrose concentration has achieved a sufficiently high value, and the diffusion constant of water has decreased accordingly so that bulk phase diffusion arrest occurs in the monolayer

  18. Simulation studies on structural and thermal properties of alkane thiol capped gold nanoparticles.

    Science.gov (United States)

    Devi, J Meena

    2017-06-01

    The structural and thermal properties of the passivated gold nanoparticles were explored employing molecular dynamics simulation for the different surface coverage densities of the self-assembled monolayer (SAM) of alkane thiol. The structural properties of the monolayer protected gold nanoparticles such us overall shape, organization and conformation of the capping alkane thiol chains were found to be influenced by the capping density. The structural order of the thiol capped gold nanoparticles enhances with the increase in the surface coverage density. The specific heat capacity of the alkane thiol capped gold nanoparticles was found to increase linearly with the thiol coverage density. This may be attributed to the enhancement in the lattice vibrational energy. The present simulation results suggest, that the structural and thermal properties of the alkane thiol capped gold nanoparticles may be modified by the suitable selection of the SAM coverage density. Copyright © 2017 Elsevier Inc. All rights reserved.

  19. Unprecedented Self-Organized Monolayer of a Ru(II) Complex by Diazonium Electroreduction.

    Science.gov (United States)

    Nguyen, Van Quynh; Sun, Xiaonan; Lafolet, Frédéric; Audibert, Jean-Frédéric; Miomandre, Fabien; Lemercier, Gilles; Loiseau, Frédérique; Lacroix, Jean-Christophe

    2016-08-03

    A new heteroleptic polypyridyle Ru(II) complex was synthesized and deposited on surface by the diazonium electroreduction process. It yields to the covalent grafting of a monolayer. The functionalized surface was characterized by XPS, electrochemistry, AFM, and STM. A precise organization of the molecules within the monolayer is observed with parallel linear stripes separated by a distance of 3.8 nm corresponding to the lateral size of the molecule. Such organization suggests a strong cooperative process in the deposition process. This strategy is an original way to obtain well-controlled and stable functionalized surfaces for potential applications related to the photophysical properties of the grafted chromophore. As an exciting result, it is the first example of a self-organized monolayer (SOM) obtained using diazonium electroreduction.

  20. Recent Updates to the System Advisor Model (SAM)

    Energy Technology Data Exchange (ETDEWEB)

    DiOrio, Nicholas A [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2018-02-14

    The System Advisor Model (SAM) is a mature suite of techno-economic models for many renewable energy technologies that can be downloaded for free as a desktop application or software development kit. SAM is used for system-level modeling, including generating performance pro the release of the code as an open source project on GitHub. Other additions that will be covered include the ability to download data directly into SAM from the National Solar Radiation Database (NSRDB) and up- dates to a user-interface macro that assists with PV system sizing. A brief update on SAM's battery model and its integration with the detailed photovoltaic model will also be discussed. Finally, an outline of planned work for the next year will be presented, including the addition of a bifacial model, support for multiple MPPT inputs for detailed inverter modeling, and the addition of a model for inverter thermal behavior.

  1. Fluorinated alkyne-derived monolayers on oxide-free silicon nanowires via one-step hydrosilylation

    International Nuclear Information System (INIS)

    Nguyen Minh, Quyen; Pujari, Sidharam P.; Wang, Bin; Wang, Zhanhua; Haick, Hossam; Zuilhof, Han; Rijn, Cees J.M. van

    2016-01-01

    Highlights: • Oxide-free H-terminated silicon nanowires undergo efficient surface modification by reaction with fluorinated 1-alkynes (HC≡C−(CH 2 ) 6 C 8 H 17−x F x ; x = 0–17). • These surface-modified Si NWs are chemically stable under range of conditions (including acid, base). • The surface coating yields efficient electrical passivation as demonstrated by a near-zero electrochemical activity of the surface. - Abstract: Passivation of oxide-free silicon nanowires (Si NWs) by the formation of high-quality fluorinated 1-hexadecyne-derived monolayers with varying fluorine content has been investigated. Alkyl chain monolayers (C 16 H 30−x F x ) with a varying number of fluorine substituents (x = 0, 1, 3, 9, 17) were attached onto hydrogen-terminated silicon (Si−H) surfaces with an effective one-step hydrosilylation. This surface chemistry gives well-defined monolayers on nanowires that have a cylindrical core–shell structure, as characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR) and static contact angle (SCA) analysis. The monolayers were stable under acidic and basic conditions, as well as under extreme conditions (such as UV exposure), and provide excellent surface passivation, which opens up applications in the fields of field effect transistors, optoelectronics and especially for disease diagnosis.

  2. Supramolecular domains in mixed peptide self-assembled monolayers on gold nanoparticles.

    Science.gov (United States)

    Duchesne, Laurence; Wells, Geoff; Fernig, David G; Harris, Sarah A; Lévy, Raphaël

    2008-09-01

    Self-organization in mixed self-assembled monolayers of small molecules provides a route towards nanoparticles with complex molecular structures. Inspired by structural biology, a strategy based on chemical cross-linking is introduced to probe proximity between functional peptides embedded in a mixed self-assembled monolayer at the surface of a nanoparticle. The physical basis of the proximity measurement is a transition from intramolecular to intermolecular cross-linking as the functional peptides get closer. Experimental investigations of a binary peptide self-assembled monolayer show that this transition happens at an extremely low molar ratio of the functional versus matrix peptide. Molecular dynamics simulations of the peptide self-assembled monolayer are used to calculate the volume explored by the reactive groups. Comparison of the experimental results with a probabilistic model demonstrates that the peptides are not randomly distributed at the surface of the nanoparticle, but rather self-organize into supramolecular domains.

  3. Preparation of porous monolayer film by immersing the stearic acid Langmuir-Blodgett monolayer on mica in salt solution

    Energy Technology Data Exchange (ETDEWEB)

    Wang, S. [Institute of Near-Field Optics and Nano Technology, School of Physics and Optoelectronic Technology, Dalian University of Technology, Street No. 2 Linggong Road, Dalian 116024 (China); Li, Y.L.; Zhao, H.L.; Liang, H. [Institute of Photo-Biophysics, School of Physics and Electronic, Henan University, Jinming, Kaifeng 475004, Henan (China); Liu, B., E-mail: boliu@henu.edu.cn [Institute of Photo-Biophysics, School of Physics and Electronic, Henan University, Jinming, Kaifeng 475004, Henan (China); Pan, S., E-mail: span@dlut.edu.cn [Institute of Near-Field Optics and Nano Technology, School of Physics and Optoelectronic Technology, Dalian University of Technology, Street No. 2 Linggong Road, Dalian 116024 (China)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer Porous film has been prepared by immersing the stearic acid Langmuir-Blodgett monolayer on mica in salt solution. Black-Right-Pointing-Pointer The mechanism relies on the electrostatic screening effect of the cations in salt solution. Black-Right-Pointing-Pointer The factors influencing the size and area of the pores were investigated. - Abstract: Porous materials have drawn attention from scientists in many fields such as life sciences, catalysis and photonics since they can be used to induce some materials growth as expected. Especially, porous Langmuir-Blodgett (LB) film is an ideal material with controlled thickness and flat surface. In this paper, stearic acid (SA), which has been extensively explored in LB film technique, is chosen as the template material with known parameters to prepare the LB film, and then the porous SA monolayer film is obtained by means of etching in salt solution. The main etching mechanism is suggested that the cations in the solution block the electrostatic interaction between the polar carboxyl group of SA and the electronegative mica surface. The influencing factors (such as concentration of salt solution, valence of cation and surface pressure) of the porous SA film are systematically studied in this work. The novel method proposed in this paper makes it convenient to prepare porous monolayer film for designed material growth or cell culture.

  4. Deposition of Metal-Organic Frameworks by Liquid-Phase Epitaxy: The Influence of Substrate Functional Group Density on Film Orientation

    Directory of Open Access Journals (Sweden)

    Christof Wöll

    2012-09-01

    Full Text Available The liquid phase epitaxy (LPE of the metal-organic framework (MOF HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA, 4’-carboxyterphenyl-4-methanethiol (TPMTA and 9-carboxy-10-(mercaptomethyltriptycene (CMMT. The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100 direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111 direction.

  5. Chiral and herringbone symmetry breaking in water-surface monolayers

    DEFF Research Database (Denmark)

    Peterson, I.R.; Kenn, R.M.; Goudot, A.

    1996-01-01

    We report the observation from monolayers of eicosanoic acid in the L(2)' phase of three distinct out-of-plane first-order diffraction peaks, indicating molecular tilt in a nonsymmetry direction and hence the absence of mirror symmetry. At lower pressures the molecules tilt in the direction of th...

  6. Ultraflat Au nanoplates as a new building block for molecular electronics.

    Science.gov (United States)

    Jeong, Wooseok; Lee, Miyeon; Lee, Hyunsoo; Lee, Hyoban; Kim, Bongsoo; Park, Jeong Young

    2016-05-27

    We demonstrate the charge transport properties of a self-assembled organic monolayer on Au nanoplates with conductive probe atomic force microscopy (CP-AFM). Atomically flat Au nanoplates, a few hundred micrometers on each side, that have only (111) surfaces, were synthesized using the chemical vapor transport method; these nanoplates were employed as the substrates for hexadecanethiol (HDT) self-assembled monolayers (SAMs). Atomic-scale high-resolution images show (√3 x √3) R30° molecular periodicity, indicating a well-ordered structure of the HDT on the Au nanoplates. We observed reduced friction and adhesion forces on the HDT SAMs on Au nanoplates, compared with Si substrates, which is consistent with the lubricating nature of HDT SAMs. The electrical properties, such as I-V characteristics and current as a function of load, were measured using CP-AFM. We obtained a tunneling decay constant (β) of 0.57 Å(-1), including through-bond (βtb = 0.99 Å(-1)) and through-space (βts = 1.36 Å(-1)) decay constants for the two-pathway model. This indicates that the charge transport properties of HDT SAMs on Au nanoplates are consistent with those on a Au (111) film, suggesting that SAMs on nanoplates can provide a new building block for molecular electronics.

  7. Monolayer arrangement of fatty hydroxystearic acids on graphite: Influence of hydroxyl groups

    Energy Technology Data Exchange (ETDEWEB)

    Medina, S. [Laboratorio de Rayos-X, Centro de Investigación Tecnología e Innovación, de la Universidad de Sevilla (CITIUS), Universidad de Sevilla, Avenida Reina Mercedes, 4B. 41012, Sevilla (Spain); Benítez, J.J.; Castro, M.A. [Instituto de Ciencia de Materiales de Sevilla, Consejo Superior de Investigaciones Científicas-Universidad de Sevilla, Avenida Américo Vespucio, 49. 41092, Sevilla (Spain); Cerrillos, C. [Servicio de Microscopía, Centro de Investigación Tecnología e Innovación, de la Universidad de Sevilla (CITIUS), Universidad de Sevilla, Avenida Reina Mercedes, 4B. 41012, Sevilla (Spain); Millán, C. [Instituto de Ciencia de Materiales de Sevilla, Consejo Superior de Investigaciones Científicas-Universidad de Sevilla, Avenida Américo Vespucio, 49. 41092, Sevilla (Spain); Alba, M.D., E-mail: alba@icmse.csic.es [Instituto de Ciencia de Materiales de Sevilla, Consejo Superior de Investigaciones Científicas-Universidad de Sevilla, Avenida Américo Vespucio, 49. 41092, Sevilla (Spain)

    2013-07-31

    Previous studies have indicated that long-chain linear carboxylic acids form commensurate packed crystalline monolayers on graphite even at temperatures above their melting point. This study examines the effect on the monolayer formation and structure of adding one or more secondary hydroxyl, functional groups to the stearic acid skeleton (namely, 12-hydroxystearic and 9,10-dihydroxystearic acid). Moreover, a comparative study of the monolayer formation on recompressed and monocrystalline graphite has been performed through X-ray diffraction (XRD) and Scanning Tunneling Microscopy (STM), respectively. The Differential Scanning Calorimetry (DSC) and XRD data were used to confirm the formation of solid monolayers and XRD data have provided a detailed structural analysis of the monolayers in good correspondence with obtained STM images. DSC and XRD have demonstrated that, in stearic acid and 12-hydroxystearic acid adsorbed onto graphite, the monolayer melted at a higher temperature than the bulk form of the carboxylic acid. However, no difference was observed between the melting point of the monolayer and the bulk form for 9,10-dihydroxystearic acid adsorbed onto graphite. STM results indicated that all acids on the surface have a rectangular p2 monolayer structure, whose lattice parameters were uniaxially commensurate on the a-axis. This structure does not correlate with the initial structure of the pure compounds after dissolving, but it is conditioned to favor a) hydrogen bond formation between the carboxylic groups and b) formation of hydrogen bonds between secondary hydroxyl groups, if spatially permissible. Therefore, the presence of hydroxyl functional groups affects the secondary structure and behavior of stearic acid in the monolayer. - Highlights: • Hydroxyl functional groups affect structure and behavior of acids in the monolayer. • Acids on the surface have a rectangular p2 monolayer structure. • Lattice parameters of acids are uniaxially

  8. Peierls instability as the insulating origin of the Na/Si(111)-(3 × 1) surface with a Na coverage of 2/3 monolayers

    Science.gov (United States)

    Kang, Myung Ho; Kwon, Se Gab; Jung, Sung Chul

    2018-03-01

    Density functional theory (DFT) calculations are used to investigate the insulating origin of the Na/Si(111)-(3 × 1) surface with a Na coverage of 2/3 monolayers. In the coverage definition, one monolayer refers to one Na atom per surface Si atom, so this surface contains an odd number of electrons (i.e., three Si dangling-bond electrons plus two Na electrons) per 3 × 1 unit cell. Interestingly, this odd-electron surface has been ascribed to a Mott-Hubbard insulator to account for the measured insulating band structure with a gap of about 0.8 eV. Here, we instead propose a Peierls instability as the origin of the experimental band gap. The concept of Peierls instability is fundamental in one-dimensional metal systems but has not been taken into account in previous studies of this surface. Our DFT calculations demonstrate that the linear chain structure of Si dangling bonds in this surface is energetically unstable with respect to a × 2 buckling modulation, and the buckling-induced band gap of 0.79 eV explains well the measured insulating nature.

  9. Antibiotic interaction with phospholipid monolayers

    International Nuclear Information System (INIS)

    Gambinossi, F.; Mecheri, B.; Caminati, G.; Nocentini, M.; Puggelli, M.; Gabrielli, G.

    2002-01-01

    We studied the interactions of tetracycline (TC) antibiotic molecules with phospholipid monolayers with the two-fold aim of elucidating the mechanism of action and providing a first step for the realization of bio-mimetic sensors for such drugs by means of the Langmuir-Blodgett technique. We examined spreading monolayers of three phospholipids in the presence of tetracycline in the subphase by means of surface pressure-area and surface potential-area isotherms as a function of bulk pH. We selected phospholipids with hydrophobic chains of the same length but polar head groups differing either in dimensions and protonation equilibria, i.e. dipalmitoylphosphatidylcholine (DPPC), dipalmitoylphosphatidylethanolamine (DPPE) and dipalmitoylphosphatidic acid (DPPA). The interaction of tetracycline with the three phospholipids was found to be highly dependent on the electric charge of the antibiotic and on the ionization state of the lipid. Significant interactions are established between the negatively charged form of dipalmitoylphosphatidic acid and the zwitterionic form of tetracycline. The drug was found to migrate at the interface where it is adsorbed underneath or/and among the head groups, depending on the surface pressure of the film, whereas penetration through the hydrophobic layer was excluded for all the three phospholipids

  10. Antibiotic interaction with phospholipid monolayers

    Energy Technology Data Exchange (ETDEWEB)

    Gambinossi, F.; Mecheri, B.; Caminati, G.; Nocentini, M.; Puggelli, M.; Gabrielli, G

    2002-12-01

    We studied the interactions of tetracycline (TC) antibiotic molecules with phospholipid monolayers with the two-fold aim of elucidating the mechanism of action and providing a first step for the realization of bio-mimetic sensors for such drugs by means of the Langmuir-Blodgett technique. We examined spreading monolayers of three phospholipids in the presence of tetracycline in the subphase by means of surface pressure-area and surface potential-area isotherms as a function of bulk pH. We selected phospholipids with hydrophobic chains of the same length but polar head groups differing either in dimensions and protonation equilibria, i.e. dipalmitoylphosphatidylcholine (DPPC), dipalmitoylphosphatidylethanolamine (DPPE) and dipalmitoylphosphatidic acid (DPPA). The interaction of tetracycline with the three phospholipids was found to be highly dependent on the electric charge of the antibiotic and on the ionization state of the lipid. Significant interactions are established between the negatively charged form of dipalmitoylphosphatidic acid and the zwitterionic form of tetracycline. The drug was found to migrate at the interface where it is adsorbed underneath or/and among the head groups, depending on the surface pressure of the film, whereas penetration through the hydrophobic layer was excluded for all the three phospholipids.

  11. Molecular Monolayers for Electrical Passivation and Functionalization of Silicon-Based Solar Energy Devices.

    Science.gov (United States)

    Veerbeek, Janneke; Firet, Nienke J; Vijselaar, Wouter; Elbersen, Rick; Gardeniers, Han; Huskens, Jurriaan

    2017-01-11

    Silicon-based solar fuel devices require passivation for optimal performance yet at the same time need functionalization with (photo)catalysts for efficient solar fuel production. Here, we use molecular monolayers to enable electrical passivation and simultaneous functionalization of silicon-based solar cells. Organic monolayers were coupled to silicon surfaces by hydrosilylation in order to avoid an insulating silicon oxide layer at the surface. Monolayers of 1-tetradecyne were shown to passivate silicon micropillar-based solar cells with radial junctions, by which the efficiency increased from 8.7% to 9.9% for n + /p junctions and from 7.8% to 8.8% for p + /n junctions. This electrical passivation of the surface, most likely by removal of dangling bonds, is reflected in a higher shunt resistance in the J-V measurements. Monolayers of 1,8-nonadiyne were still reactive for click chemistry with a model catalyst, thus enabling simultaneous passivation and future catalyst coupling.

  12. Measurement of the Surface Dilatational Viscosity of an Insoluble Surfactant Monolayer at the Air/Water Interface Using a Pendant Drop Apparatus

    Science.gov (United States)

    Lorenzo, Jose; Couzis, Alex; Maldarelli, Charles; Singh, Bhim S. (Technical Monitor)

    2000-01-01

    When a fluid interface with surfactants is at rest, the interfacial stress is isotropic (as given by the equilibrium interfacial tension), and is described by the equation of state which relates the surface tension to the surfactant surface concentration. When surfactants are subjected to shear and dilatational flows, flow induced interaction of the surfactants; can create interfacial stresses apart from the equilibrium surface tension. The simplest relationship between surface strain rate and surface stress is the Boussinesq-Scriven constitutive equation completely characterized by three coefficients: equilibrium interfacial tension, surface shear viscosity, and surface dilatational viscosity Equilibrium interfacial tension and surface shear viscosity measurements are very well established. On the other hand, surface dilatational viscosity measurements are difficult because a flow which change the surface area also changes the surfactant surface concentration creating changes in the equilibrium interfacial tension that must be also taken into account. Surface dilatational viscosity measurements of existing techniques differ by five orders of magnitude and use spatially damped surface waves and rapidly expanding bubbles. In this presentation we introduce a new technique for measuring the surface dilatational viscosity by contracting an aqueous pendant drop attached to a needle tip and having and insoluble surfactant monolayer at the air-water interface. The isotropic total tension on the surface consists of the equilibrium surface tension and the tension due to the dilation. Compression rates are undertaken slow enough so that bulk hydrodynamic stresses are small compared to the surface tension force. Under these conditions we show that the total tension is uniform along the surface and that the Young-Laplace equation governs the drop shape with the equilibrium surface tension replaced by the constant surface isotropic stress. We illustrate this technique using

  13. Preparation of large-area molecular junctions with metallic conducting Langmuir–Blodgett films

    Energy Technology Data Exchange (ETDEWEB)

    Mochizuki, Kengo [Division of Marine Technology, Tokyo University of Marine Science and Technology, 2-1-6 Etchujima Koto-ku, Tokyo 135-8533 (Japan); Ohnuki, Hitoshi, E-mail: ohnuki@kaiyodai.ac.jp [Division of Marine Technology, Tokyo University of Marine Science and Technology, 2-1-6 Etchujima Koto-ku, Tokyo 135-8533 (Japan); Shimizu, Daisuke [Division of Marine Technology, Tokyo University of Marine Science and Technology, 2-1-6 Etchujima Koto-ku, Tokyo 135-8533 (Japan); Imakubo, Tatsuro [Department of Materials and Technology, Nagaoka University of Technology, 1603-1 Kamitomioka, Nagaoka, Niigata 940-2188 (Japan); Tsuya, Daiju [National Institute for Materials Science,1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Izumi, Mitsuru [Division of Marine Technology, Tokyo University of Marine Science and Technology, 2-1-6 Etchujima Koto-ku, Tokyo 135-8533 (Japan)

    2014-03-03

    Metallic conducting Langmuir–Blodgett (LB) films were used as soft electrodes to fabricate molecular junctions with self-assembled monolayers (SAMs) of alkanethiols (CH{sub 3}(CH{sub 2}){sub n−1}SH) on an Au surface. Alkanethiols can form highly ordered, stable dielectric SAMs on metal surfaces over large areas. However, it is difficult to establish electrical contacts on such SAMs, which has limited their application. In this work, we used metallic conducting LB films composed of bis(ethylenedioxy)tetrathiafulvalene and stearic acid as a soft electrode onto alkanethiol SAMs (C{sub n}-SAM, n = 12, 14, 16, 18) to prepare Au/SAM/metal junctions of relatively large size (∼ 15.6 × 10{sup 3} μm{sup 2}). The current density–voltage (J–V) characteristics across the junctions exhibited rectifying behavior with a ratio R of ∼ 5 (R = |J(V)|/|J(− V)| at ± 1 V). The lower transfer rate corresponding to the electron transport from Au to the LB films exhibited nonlinear J–V characteristics, while the higher transfer rate of electrons from the LB film to Au showed linear J–V characteristics. Kelvin probe force microscopy revealed that the work function of the metallic LB films was smaller than that of Au. The observed rectification behavior is probably caused by different electron transport mechanisms between the two current directions. - Highlights: • Metallic Langmuir–Blodgett (LB) films were used as soft electrodes. • Molecular junctions of metal–alkanethiol–LB films were fabricated. • The current–voltage curve across the junctions exhibited rectifying behavior. • This is the first observation for alkanethiol monolayer junctions. • The work function difference between the electrodes induces the rectification.

  14. Interactions between an anticancer drug - edelfosine - and cholesterol in Langmuir monolayers

    International Nuclear Information System (INIS)

    Wiecek, Agata; Dynarowicz-Latka, Patrycja; Minones, J.; Conde, Olga; Casas, Matilde

    2008-01-01

    Edelfosine (1-O-octadecyl-2-O-methyl-rac-glycero-3-phosphocholine, abbr. Et-18-OCH 3 ) is a new generation anticancer drug based on a phospholipids-like structure. Since its mechanism of action is believed to be related to the lipids of cellular membrane, we have investigated the interactions between edelfosine and main mammalian sterol: cholesterol, using the Langmuir monolayer technique. The interactions have been analyzed by comparing the experimental curves with theoretical ones, obtained basing on the additivity rule. The observed contraction together with negative deviations from ideality observed on the mean molecular area (A 12 ) vs film composition plots proves the existence of strong attractive forces between edelfosine and cholesterol, which have been quantified with the excess free energy of mixing (ΔG exc ) values, calculated from the surface pressure-area isotherms datapoints. The most negative values of ΔG exc have been found for the mixture of equimolar composition, proving its highest thermodynamic stability and the existence of the strongest interactions between film components. Thus, it has been postulated that at the surface edelfosine and cholesterol form stable complexes of 1:1 stoichiometry. The analysis of the collapse pressure values for the investigated mixed monolayers proves that films of edelfosine mole fraction ≤ 0.5 are miscible within the whole range of surface pressures, while monolayers richer in edelfosine mix in the pressure region below ca. 37.6 mN/m, which corresponds to the collapse of pure edelfosine monolayer. At this very surface pressure, edelfosine is expelled from the mixed monolayer and the remaining film is composed by surface complexes of high stability. The hypothesis of complex formation explains the results performed in vitro on cell cultures, indicating that the increase of cholesterol content significantly reduces the uptake of edelfosine

  15. Fluorinated alkyne-derived monolayers on oxide-free silicon nanowires via one-step hydrosilylation

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen Minh, Quyen [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Nanosens, IJsselkade 7, 7201 HB Zutphen (Netherlands); Pujari, Sidharam P. [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Wang, Bin [The Department of Chemical Engineering and Russell Berrie Nanotechnology Institute, Technion – Israel Institute of Technology, Haifa 3200003 (Israel); Wang, Zhanhua [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Haick, Hossam [The Department of Chemical Engineering and Russell Berrie Nanotechnology Institute, Technion – Israel Institute of Technology, Haifa 3200003 (Israel); Zuilhof, Han [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Rijn, Cees J.M. van, E-mail: cees.vanrijn@wur.nl [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands)

    2016-11-30

    Highlights: • Oxide-free H-terminated silicon nanowires undergo efficient surface modification by reaction with fluorinated 1-alkynes (HC≡C−(CH{sub 2}){sub 6}C{sub 8}H{sub 17−x}F{sub x}; x = 0–17). • These surface-modified Si NWs are chemically stable under range of conditions (including acid, base). • The surface coating yields efficient electrical passivation as demonstrated by a near-zero electrochemical activity of the surface. - Abstract: Passivation of oxide-free silicon nanowires (Si NWs) by the formation of high-quality fluorinated 1-hexadecyne-derived monolayers with varying fluorine content has been investigated. Alkyl chain monolayers (C{sub 16}H{sub 30−x}F{sub x}) with a varying number of fluorine substituents (x = 0, 1, 3, 9, 17) were attached onto hydrogen-terminated silicon (Si−H) surfaces with an effective one-step hydrosilylation. This surface chemistry gives well-defined monolayers on nanowires that have a cylindrical core–shell structure, as characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR) and static contact angle (SCA) analysis. The monolayers were stable under acidic and basic conditions, as well as under extreme conditions (such as UV exposure), and provide excellent surface passivation, which opens up applications in the fields of field effect transistors, optoelectronics and especially for disease diagnosis.

  16. Photoinduced electron transfer through peptide-based self-assembled monolayers chemisorbed on gold electrodes: directing the flow-in and flow-out of electrons through peptide helices.

    Science.gov (United States)

    Venanzi, Mariano; Gatto, Emanuela; Caruso, Mario; Porchetta, Alessandro; Formaggio, Fernando; Toniolo, Claudio

    2014-08-21

    Photoinduced electron transfer (PET) experiments have been carried out on peptide self-assembled monolayers (SAM) chemisorbed on a gold substrate. The oligopeptide building block was exclusively formed by C(α)-tetrasubstituted α-aminoisobutyric residues to attain a helical conformation despite the shortness of the peptide chain. Furthermore, it was functionalized at the C-terminus by a pyrene choromophore to enhance the UV photon capture cross-section of the compound and by a lipoic group at the N-terminus for linking to gold substrates. Electron transfer across the peptide SAM has been studied by photocurrent generation experiments in an electrochemical cell employing a gold substrate modified by chemisorption of a peptide SAM as a working electrode and by steady-state and time-resolved fluorescence experiments in solution and on a gold-coated glass. The results show that the electronic flow through the peptide bridge is strongly asymmetric; i.e., PET from the C-terminus to gold is highly favored with respect to PET in the opposite direction. This effect arises from the polarity of the Au-S linkage (Au(δ+)-S(δ-), junction effect) and from the electrostatic field generated by the peptide helix.

  17. Self-assembled monolayer structures of hexadecylamine on Cu surfaces: density-functional theory.

    Science.gov (United States)

    Liu, Shih-Hsien; Balankura, Tonnam; Fichthorn, Kristen A

    2016-12-07

    We used dispersion-corrected density-functional theory to probe possible structures for adsorbed layers of hexadecylamine (HDA) on Cu(100) and Cu(111). HDA forms self-assembled layers on these surfaces, analogous to alkanethiols on various metal surfaces, and it binds by donating electrons in the amine group to the Cu surface atoms, consistent with experiment. van der Waals interactions between the alkyl tails of HDA molecules are stronger than the interaction between the amine group and the Cu surfaces. Strong HDA-tail interactions lead to coverage-dependent tilting of the HDA layers, such that the tilt angle is larger for lower coverages. At full monolayer coverage, the energetically preferred binding configuration for HDA on Cu(100) is a (5 × 3) pattern - although we cannot rule out incommensurate structures - while the pattern is preferred on Cu(111). A major motivation for this study is to understand the experimentally observed capability of HDA as a capping agent for producing {100}-faceted Cu nanocrystals. Consistent with experiment, we find that HDA binds more strongly to Cu(100) than to Cu(111). This strong binding stems from the capability of HDA to form more densely packed layers on Cu(100), which leads to stronger HDA-tail interactions, as well as the stronger binding of the amine group to Cu(100). We estimate the surface energies of HDA-covered Cu(100) and Cu(111) surfaces and find that these surfaces are nearly isoenergetic. By drawing analogies to previous theoretical work, it seems likely that HDA-covered Cu nanocrystals could have kinetic shapes that primarily express {100} facets, as is seen experimentally.

  18. Spirit Pluralisme dalam Klenteng Sam Po Kong Semarang

    Directory of Open Access Journals (Sweden)

    Edi Nurwahyu Julianto

    2015-07-01

    Full Text Available Klenteng Sam Po Kong has very deep meaning as a symbol of multi cultural; multi ethnic and multi religious. Klenteng Sam Po Kong has a different function, not only used by people with background religious Tri Dharma (Budha, Tao and Konghuchu, but also used by Javanese ethnic with different religious backgrounds. Between ethnic China and Java, mutual respect and tolerance run beliefs and rituals of each. More over, Klenteng Sam Po Kong is a form of pluralism which reflected the fact Sino Javanese Muslim Culture is preserved to date both of sightings physical culture and system cultural in the form of religious rites performed by ethnic China and Java.

  19. Voltammetry and In Situ Scanning Tunnelling Microscopy of De Novo Designed Heme Protein Monolayers on Au(111)-Electrode Surfaces

    DEFF Research Database (Denmark)

    Albrecht, Tim; Li, Wu; Haehnel, Wolfgang

    2006-01-01

    to the tunnelling current, apparently due to slow electron transfer kinetics. As a consequence, STM images of heme-containing and heme-free MOP-C did not reveal any notable differences in apparent height or physical extension. The apparent height of heme-containing MOP-C did not show any dependence on the substrate...... potential being varied around the redox potential of the protein. The mere presence of an accessible molecular energy level is not sufficient to result in detectable tunnelling current modulation. (c) 2006 Elsevier B.V. All rights reserved.......In the present work, we report the electrochemical characterization and in situ scanning tunnelling microscopy (STM) studies of monolayers of an artificial de novo designed heme protein MOP-C, covalently immobilized on modified Au(111) surfaces. The protein forms closely packed monolayers, which...

  20. Liquid-Phase Exfoliation into Monolayered BiOBr Nanosheets for Photocatalytic Oxidation and Reduction

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Hongjian [Beijing; Huang, Hongwei [Beijing; Xu, Kang [Center; Hao, Weichang [Center; Guo, Yuxi [Beijing; Wang, Shuobo [Beijing; Shen, Xiulin [Beijing; Pan, Shaofeng [Beijing; Zhang, Yihe [Beijing

    2017-09-26

    Monolayered photocatalytic materials have attracted huge research interests in terms of their large specific surface area and ample active sites. Sillén-structured layered BiOX (X = Cl, Br, I) casts great prospects owing to their strong photo-oxidation ability and high stability. Fabrication of monolayered BiOX by a facile, low-cost, and scalable approach is highly challenging and anticipated. Herein, we describe the large-scale preparation of monolayered BiOBr nanosheets with a thickness of ~0.85 nm via a readily achievable liquid-phase exfoliation strategy with assistance of formamide at ambient conditions. The as-obtained monolayered BiOBr nanosheets are allowed diverse superiorities, such as enhanced specific surface area, promoted band structure, and strengthened charge separation. Profiting from these benefits, the advanced BiOBr monolayers not only show excellent adsorption and photodegradation performance for treating contaminants, but also demonstrate a greatly promoted photocatalytic activity for CO2 reduction into CO and CH4. Additionally, monolayered BiOI nanosheets have also been obtained by the same synthetic approach. Our work offers a mild and general approach for preparation of monolayered BiOX, and may have huge potential to be extended to the synthesis of other single-layer two-dimensional materials.