WorldWideScience

Sample records for microwave plasma-enhanced chemical

  1. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  2. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  3. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  4. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  5. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  6. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  7. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  8. Preparation of carbon nanotubes with different morphology by microwave plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M. [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan); Mansurov, Zulkhair [Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Tokmoldin, S.Zh. [Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan)

    2010-04-15

    In this work we present a part of our results about the preparation of carbon nanotube with different morphologies by using microwave plasma enhanced chemical vapour deposition MPECVD. Well aligned, curly, carbon nanosheets, coiled carbon sheets and carbon microcoils have been prepared. We have investigated the effect of the different growth condition parameters such as the growth temperature, pressure and the hydrogen to methane flow rate ratio on the morphology of the carbon nanotubes. The results showed that there is a great dependence of the morphology of carbon nanotubes on these parameters. The yield of the carbon microcoils was high when the growth temperature was 700 C. There is a linear relation between the growth rate and the methane to hydrogen ratio. The effect of the gas pressure on the CNTs was also studied. Our samples were investigated by scanning electron microscope and Raman spectroscopy (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  10. Microwave plasma-assisted photoluminescence enhancement in nitrogen-doped ultrananocrystalline diamond film

    Directory of Open Access Journals (Sweden)

    Yu Lin Liu

    2012-06-01

    Full Text Available Optical properties and conductivity of nitrogen-doped ultrananocrystal diamond (UNCD films were investigated following treatment with low energy microwave plasma at room temperature. The plasma also generated vacancies in UNCD films and provided heat for mobilizing the vacancies to combine with the impurities, which formed the nitrogen-vacancy defect centers. The generated color centers were distributed uniformly in the samples. The conductivity of nitrogen-doped UNCD films treated by microwave plasma was found to decrease slightly due to the reduced grain boundaries. The photoluminescence emitted by the plasma treated nitrogen-doped UNCD films was enhanced significantly compared to the untreated films.

  11. A microwave-augmented plasma torch module

    International Nuclear Information System (INIS)

    Kuo, S P; Bivolaru, Daniel; Williams, Skip; Carter, Campbell D

    2006-01-01

    A new plasma torch device which combines arc and microwave discharges to enhance the size and enthalpy of the plasma torch is described. A cylindrical-shaped plasma torch module is integrated into a tapered rectangular cavity to form a microwave adaptor at one end, which couples the microwave power injected into the cavity from the other end to the arc plasma generated by the torch module. A theoretical study of the microwave coupling from the cavity to the plasma torch, as the load, is presented. The numerical results indicate that the microwave power coupling efficiency exceeds 80%. Operational tests of the device indicate that the microwave power is coupled to the plasma torch and that the arc discharge power is increased. The addition of microwave energy enhances the height, volume and enthalpy of the plasma torch when the torch operates at a low airflow rate, and even when the flow speed is supersonic, a noticeable microwave effect on the plasma torch is observed. In addition, the present design allows the torch to be operated as both a fuel injector and igniter. Ignition of ethylene fuel injected through the centre of a tungsten carbide tube acting as the central electrode is demonstrated

  12. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  13. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  14. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  15. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  16. Fuel gas production by microwave plasma in liquid

    International Nuclear Information System (INIS)

    Nomura, Shinfuku; Toyota, Hiromichi; Tawara, Michinaga; Yamashita, Hiroshi; Matsumoto, Kenya

    2006-01-01

    We propose to apply plasma in liquid to replace gas-phase plasma because we expect much higher reaction rates for the chemical deposition of plasma in liquid than for chemical vapor deposition. A reactor for producing microwave plasma in a liquid could produce plasma in hydrocarbon liquids and waste oils. Generated gases consist of up to 81% hydrogen by volume. We confirmed that fuel gases such as methane and ethylene can be produced by microwave plasma in liquid

  17. Effects of Surface Modification of Nanodiamond Particles for Nucleation Enhancement during Its Film Growth by Microwave Plasma Jet Chemical Vapour Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available The seedings of the substrate with a suspension of nanodiamond particles (NDPs were widely used as nucleation seeds to enhance the growth of nanostructured diamond films. The formation of agglomerates in the suspension of NDPs, however, may have adverse impact on the initial growth period. Therefore, this paper was aimed at the surface modification of the NDPs to enhance the diamond nucleation for the growth of nanocrystalline diamond films which could be used in photovoltaic applications. Hydrogen plasma, thermal, and surfactant treatment techniques were employed to improve the dispersion characteristics of detonation nanodiamond particles in aqueous media. The seeding of silicon substrate was then carried out with an optimized spin-coating method. The results of both Fourier transform infrared spectroscopy and dynamic light scattering measurements demonstrated that plasma treated diamond nanoparticles possessed polar surface functional groups and attained high dispersion in methanol. The nanocrystalline diamond films deposited by microwave plasma jet chemical vapour deposition exhibited extremely fine grain and high smooth surfaces (~6.4 nm rms on the whole film. These results indeed open up a prospect of nanocrystalline diamond films in solar cell applications.

  18. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  19. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  20. Enhancement of flame development by microwave-assisted spark ignition in constant volume combustion chamber

    KAUST Repository

    Wolk, Benjamin; DeFilippo, Anthony; Chen, Jyh-Yuan; Dibble, Robert; Nishiyama, Atsushi; Ikeda, Yuji

    2013-01-01

    -thermal chemical kinetic enhancement from energy deposition to free electrons in the flame front and (2) induced flame wrinkling from excitation of flame (plasma) instability. The enhancement of flame development by microwaves diminishes as the initial pressure

  1. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  2. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  3. Microwave plasma enhanced chemical vapor deposition growth of few-walled carbon nanotubes using catalyst derived from an iron-containing block copolymer precursor

    International Nuclear Information System (INIS)

    Wang Peng; Lu, Jennifer; Zhou, Otto

    2008-01-01

    The microwave plasma enhanced chemical vapor deposition (MPECVD) method is now commonly used for directional and conformal growth of carbon nanotubes (CNTs) on supporting substrates. One of the shortcomings of the current process is the lack of control of the diameter and diameter distribution of the CNTs due to difficulties in synthesizing well-dispersed catalysts. Recently, block copolymer derived catalysts have been developed which offer the potential of fine control of both the size of and the spacing between the metal clusters. In this paper we report the successful growth of CNTs with narrow diameter distribution using polystyrene-block-polyferrocenylethylmethylsilane (PS-b-PFEMS) as the catalyst precursor. The study shows that higher growth pressure leads to better CNT growth. Besides the pressure, the effects on the growth of CNTs of the growth parameters, such as temperature and precursor gas ratio, are also studied

  4. Converting a Microwave Oven into a Plasma Reactor: A Review

    Directory of Open Access Journals (Sweden)

    Victor J. Law

    2018-01-01

    Full Text Available This paper reviews the use of domestic microwave ovens as plasma reactors for applications ranging from surface cleaning to pyrolysis and chemical synthesis. This review traces the developments from initial reports in the 1980s to today’s converted ovens that are used in proof-of-principle manufacture of carbon nanostructures and batch cleaning of ion implant ceramics. Information sources include the US and Korean patent office, peer-reviewed papers, and web references. It is shown that the microwave oven plasma can induce rapid heterogeneous reaction (solid to gas and liquid to gas/solid plus the much slower plasma-induced solid state reaction (metal oxide to metal nitride. A particular focus of this review is the passive and active nature of wire aerial electrodes, igniters, and thermal/chemical plasma catalyst in the generation of atmospheric plasma. In addition to the development of the microwave oven plasma, a further aspect evaluated is the development of methodologies for calibrating the plasma reactors with respect to microwave leakage, calorimetry, surface temperature, DUV-UV content, and plasma ion densities.

  5. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  6. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  7. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  8. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  9. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  10. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  11. Modelling of diamond deposition microwave cavity generated plasmas

    International Nuclear Information System (INIS)

    Hassouni, K; Silva, F; Gicquel, A

    2010-01-01

    Some aspects of the numerical modelling of diamond deposition plasmas generated using microwave cavity systems are discussed. The paper mainly focuses on those models that allow (i) designing microwave cavities in order to optimize the power deposition in the discharge and (ii) estimating the detailed plasma composition in the vicinity of the substrate surface. The development of hydrogen plasma models that may be used for the self-consistent simulation of microwave cavity discharge is first discussed. The use of these models for determining the plasma configuration, composition and temperature is illustrated. Examples showing how to use these models in order to optimize the cavity structure and to obtain stable process operations are also given. A transport model for the highly reactive H 2 /CH 4 moderate pressure discharges is then presented. This model makes possible the determination of the time variation of plasma composition and temperature on a one-dimensional domain located on the plasma axis. The use of this model to analyse the transport phenomena and the chemical process in diamond deposition plasmas is illustrated. The model is also utilized to analyse pulsed mode discharges and the benefit they can bring as far as diamond growth rate and quality enhancement are concerned. We, in particular, show how the model can be employed to optimize the pulse waveform in order to improve the deposition process. Illustrations on how the model can give estimates of the species density at the growing substrate surface over a wide domain of deposition conditions are also given. This brings us to discuss the implication of the model prediction in terms of diamond growth rate and quality. (topical review)

  12. Microwave plasmas generated in bubbles immersed in liquids for hydrocarbons reforming

    International Nuclear Information System (INIS)

    Levko, Dmitry; Sharma, Ashish; Raja, Laxminarayan L

    2016-01-01

    We present a computational modeling study of microwave plasma generated in cluster of atmospheric-pressure argon bubbles immersed in a liquid. We demonstrate that the use of microwaves allows the generation of a dense chemically active non-equilibrium plasma along the gas–liquid interface. Also, microwaves allow generation of overdense plasma in all the bubbles considered in the cluster which is possible because the collisional skin depth of the wave exceeds the bubble dimension. These features of microwave plasma generation in bubbles immersed in liquids are highly desirable for the large-scale liquid hydrocarbon reforming technologies. (letter)

  13. Development of microwave-enhanced spark-induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Ikeda, Yuji; Moon, Ahsa; Kaneko, Masashi

    2010-01-01

    We propose microwave-enhanced spark-induced breakdown spectroscopy with the same measurement and analysis processes as in laser-induced breakdown spectroscopy, but with a different plasma generation mechanism. The size and lifetime of the plasma generated can contribute to increased measurement accuracy and expand its applicability to industrial measurement, such as an exhaust gas analyzer for automobile engine development and its regulation, which has been hard to operate by laser at an engineering evaluation site. The use of microwaves in this application helps lower the cost, reduce the system size, and increase the ease of operation to make it commercially viable. A microwave frequency of 2.45 GHz was used to enhance the volume and lifetime of the plasma at atmospheric condition even at elevated pressure.

  14. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  15. Plasma filamentation and shock wave enhancement in microwave rockets by combining low-frequency microwaves with external magnetic field

    International Nuclear Information System (INIS)

    Takahashi, Masayuki; Ohnishi, Naofumi

    2016-01-01

    A filamentary plasma is reproduced based on a fully kinetic model of electron and ion transports coupled with electromagnetic wave propagation. The discharge plasma transits from discrete to diffusive patterns at a 110-GHz breakdown, with decrease in the ambient pressure, because of the rapid electron diffusion that occurs during an increase in the propagation speed of the ionization front. A discrete plasma is obtained at low pressures when a low-frequency microwave is irradiated because the ionization process becomes more dominant than the electron diffusion, when the electrons are effectively heated by the low-frequency microwave. The propagation speed of the plasma increases with decrease in the incident microwave frequency because of the higher ionization frequency and faster plasma diffusion resulting from the increase in the energy-absorption rate. An external magnetic field is applied to the breakdown volume, which induces plasma filamentation at lower pressures because the electron diffusion is suppressed by the magnetic field. The thrust performance of a microwave rocket is improved by the magnetic fields corresponding to the electron cyclotron resonance (ECR) and its higher-harmonic heating, because slower propagation of the ionization front and larger energy-absorption rates are obtained at lower pressures. It would be advantageous if the fundamental mode of ECR heating is coupled with a lower frequency microwave instead of combining the higher-harmonic ECR heating with the higher frequency microwave. This can improve the thrust performance with smaller magnetic fields even if the propagation speed increases because of the decrease in the incident microwave frequency.

  16. Plasma filamentation and shock wave enhancement in microwave rockets by combining low-frequency microwaves with external magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Takahashi, Masayuki, E-mail: m.takahashi@al.t.u-tokyo.ac.jp [Department of Aeronautics and Astronautics, The University of Tokyo, Bunkyo-ku 113-8656 (Japan); Ohnishi, Naofumi [Department of Aerospace Engineering, Tohoku University, Sendai 980-8579 (Japan)

    2016-08-14

    A filamentary plasma is reproduced based on a fully kinetic model of electron and ion transports coupled with electromagnetic wave propagation. The discharge plasma transits from discrete to diffusive patterns at a 110-GHz breakdown, with decrease in the ambient pressure, because of the rapid electron diffusion that occurs during an increase in the propagation speed of the ionization front. A discrete plasma is obtained at low pressures when a low-frequency microwave is irradiated because the ionization process becomes more dominant than the electron diffusion, when the electrons are effectively heated by the low-frequency microwave. The propagation speed of the plasma increases with decrease in the incident microwave frequency because of the higher ionization frequency and faster plasma diffusion resulting from the increase in the energy-absorption rate. An external magnetic field is applied to the breakdown volume, which induces plasma filamentation at lower pressures because the electron diffusion is suppressed by the magnetic field. The thrust performance of a microwave rocket is improved by the magnetic fields corresponding to the electron cyclotron resonance (ECR) and its higher-harmonic heating, because slower propagation of the ionization front and larger energy-absorption rates are obtained at lower pressures. It would be advantageous if the fundamental mode of ECR heating is coupled with a lower frequency microwave instead of combining the higher-harmonic ECR heating with the higher frequency microwave. This can improve the thrust performance with smaller magnetic fields even if the propagation speed increases because of the decrease in the incident microwave frequency.

  17. Plasma relativistic microwave electronics

    International Nuclear Information System (INIS)

    Kuzelev, M.V.; Loza, O.T.; Rukhadze, A.A.; Strelkov, P.S.; Shkvarunets, A.G.

    2001-01-01

    One formulated the principles of plasma relativistic microwave electronics based on the induced Cherenkov radiation of electromagnetic waves at interaction of a relativistic electron beam with plasma. One developed the theory of plasma relativistic generators and accelerators of microwave radiation, designed and studied the prototypes of such devices. One studied theoretically the mechanisms of radiation, calculated the efficiencies and the frequency spectra of plasma relativistic microwave generators and accelerators. The theory findings are proved by the experiment: intensity of the designed sources of microwave radiation is equal to 500 μW, the frequency of microwave radiation is increased by 7 times (from 4 up to 28 GHz), the width of radiation frequency band may vary from several up to 100%. The designed sources of microwave radiation are no else compared in the electronics [ru

  18. Microwave plasma chemical synthesis of nanocrystalline carbon film structures and study their properties

    Science.gov (United States)

    Bushuev, N.; Yafarov, R.; Timoshenkov, V.; Orlov, S.; Starykh, D.

    2015-08-01

    The self-organization effect of diamond nanocrystals in polymer-graphite and carbon films is detected. The carbon materials deposition was carried from ethanol vapors out at low pressure using a highly non-equilibrium microwave plasma. Deposition processes of carbon film structures (diamond, graphite, graphene) is defined. Deposition processes of nanocrystalline structures containing diamond and graphite phases in different volume ratios is identified. The solid film was obtained under different conditions of microwave plasma chemical synthesis. We investigated the electrical properties of the nanocrystalline carbon films and identified it's from various factors. Influence of diamond-graphite film deposition mode in non-equilibrium microwave plasma at low pressure on emission characteristics was established. This effect is justified using the cluster model of the structure of amorphous carbon. It was shown that the reduction of bound hydrogen in carbon structures leads to a decrease in the threshold electric field of emission from 20-30 V/m to 5 V/m. Reducing the operating voltage field emission can improve mechanical stability of the synthesized film diamond-graphite emitters. Current density emission at least 20 A/cm2 was obtained. Nanocrystalline carbon film materials can be used to create a variety of functional elements in micro- and nanoelectronics and photonics such as cold electron source for emission in vacuum devices, photonic devices, cathodoluminescent flat display, highly efficient white light sources. The obtained graphene carbon net structure (with a net size about 6 μm) may be used for the manufacture of large-area transparent electrode for solar cells and cathodoluminescent light sources

  19. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  20. Recent trends in atomic spectrometry with microwave-induced plasmas

    International Nuclear Information System (INIS)

    Broekaert, Jose A.C.; Siemens, Volker

    2004-01-01

    The state-of-the-art and trends of development in atomic spectrometry with microwave-induced plasmas (MIPs) since the 1998s are presented and discussed. This includes developments in devices for producing microwave plasma discharges, with reference also to miniaturized systems as well as to progress in sample introduction for microwave-induced plasmas, such as pneumatic and ultrasonic nebulization using membrane desolvation, to the further development of gaseous analyte species generation systems and to both spark and laser ablation (LA). The features of microwave-induced plasma mass spectrometry (MIP-MS) as an alternative to inductively coupled plasma (ICP)-MS are discussed. Recent work on the use of microwave-induced plasma atomic spectrometry for trace element determinations and monitoring, their use as tandem sources and for particle sizing are discussed. Recent applications of the coupling of gas chromatography and MIP atomic spectrometry for the determination of organometallic compounds of heavy metals such as Pb, Hg, Se and Sn are reviewed and the possibilities of trapping for sensitivity enhancement, as required for many applications especially in environmental work, are showed at the hand of citations from the recent literature

  1. Confluence or independence of microwave plasma bullets in atmospheric argon plasma jet plumes

    Science.gov (United States)

    Li, Ping; Chen, Zhaoquan; Mu, Haibao; Xu, Guimin; Yao, Congwei; Sun, Anbang; Zhou, Yuming; Zhang, Guanjun

    2018-03-01

    Plasma bullet is the formation and propagation of a guided ionization wave (streamer), normally generated in atmospheric pressure plasma jet (APPJ). In most cases, only an ionization front produces in a dielectric tube. The present study shows that two or three ionization fronts can be generated in a single quartz tube by using a microwave coaxial resonator. The argon APPJ plumes with a maximum length of 170 mm can be driven by continuous microwaves or microwave pulses. When the input power is higher than 90 W, two or three ionization fronts propagate independently at first; thereafter, they confluence to form a central plasma jet plume. On the other hand, the plasma bullets move independently as the lower input power is applied. For pulsed microwave discharges, the discharge images captured by a fast camera show the ionization process in detail. Another interesting finding is that the strongest lightening plasma jet plumes always appear at the shrinking phase. Both the discharge images and electromagnetic simulations suggest that the confluence or independent propagation of plasma bullets is resonantly excited by the local enhanced electric fields, in terms of wave modes of traveling surface plasmon polaritons.

  2. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  3. Microwave plasma for materials treatment; Plasmas de microondas para tratamiento de materiales

    Energy Technology Data Exchange (ETDEWEB)

    Camps, E.; Garcia, J.L.; Muhl, S.; Alvarez F, O.; Chavez C, J. [Instituto Nacional de Investigaciones Nucleares, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    1997-07-01

    The microwave discharges of the Electron Cyclotron Resonance (Ecr) type are capable to generate plasma with relatively high ionization coefficients which can vary between 1 and 10 % also they are realized in low pressures at 10 {sup -4} Torr. order generating at this time high concentrations of neutral excited chemical species which result in that the chemical processes can be realized with much greater velocity as in another systems. In this work it was studied and characterized a microwave discharge type Ecr using for this electric probes and optical emission spectroscopy. The characterization was carried out with the purpose of optimizing the plasma parameters and to establish a control over the same one doing so that the experiments have a greater reproducibility and a major work efficiency. (Author)

  4. Modelling of microwave induced plasmas : the interplay between electromagnetism, plasma chemistry and transport

    NARCIS (Netherlands)

    Jimenez-Diaz, M.

    2011-01-01

    In this thesis we report on a theoretical/numerical study that is concerned with Microwave Induced Plasmas (MIPs) in general, and the application of a MIP to the Plasma-activated Chemical Vapour Deposition (PCVD) process that is used at Draka Comteq for the production of optical fibres in

  5. Enhancement of flame development by microwave-assisted spark ignition in constant volume combustion chamber

    KAUST Repository

    Wolk, Benjamin

    2013-07-01

    The enhancement of laminar flame development using microwave-assisted spark ignition has been investigated for methane-air mixtures at a range of initial pressures and equivalence ratios in a 1.45. l constant volume combustion chamber. Microwave enhancement was evaluated on the basis of several parameters including flame development time (FDT) (time for 0-10% of total net heat release), flame rise time (FRT) (time for 10-90% of total net heat release), total net heat release, flame kernel growth rate, flame kernel size, and ignitability limit extension. Compared to a capacitive discharge spark, microwave-assisted spark ignition extended the lean and rich ignition limits at all pressures investigated (1.08-7.22. bar). The addition of microwaves to a capacitive discharge spark reduced FDT and increased the flame kernel size for all equivalence ratios tested and resulted in increases in the spatial flame speed for sufficiently lean flames. Flame enhancement is believed to be caused by (1) a non-thermal chemical kinetic enhancement from energy deposition to free electrons in the flame front and (2) induced flame wrinkling from excitation of flame (plasma) instability. The enhancement of flame development by microwaves diminishes as the initial pressure of the mixture increases, with negligible flame enhancement observed above 3. bar. © 2013 The Combustion Institute.

  6. Characterization of a microwave generated plasma

    International Nuclear Information System (INIS)

    Root, D.J.; Mahoney, L.; Asmussen, J.

    1986-01-01

    Recent experiments have demonstrated a microwave ion beam source without and with static magnetic fields in inert gases and in oxygen gases. This plasma generation configuration also has uses in the areas of plasma processing such as plasma etching, plasma assisted thin flim deposition and plasma assisted oxide growth. These ion beam and plasma processing applications have provided motivation to investigate microwave discharge properties, such as electron density, electron temperature, gas temperature, degree of ionization, etc., of the microwave generated plasma over a wide range of experimental operating conditions. This paper presents the results of experimental measurements which attempt to characterize the experimental microwave discharge in the absence of a static magnetic field. Measurements from a double probe, which is located in the plasma in a zero microwave field region, are presented in argon, xenon and oxygen gases. Variations of plasma density and electron temperature versus absorbed microwave power, gas pressure (0.2 m Torr to 200 m Torr) and discharge diffusion length are presented and compared to dc positive column discharge theory

  7. Simulated experiment for elimination of chemical and biological warfare agents by making use of microwave plasma torch

    International Nuclear Information System (INIS)

    Hong, Yong C.; Kim, Jeong H.; Uhm, Han S.

    2004-01-01

    The threat of chemical and biological warfare agents in a domestic terrorist attack and in military conflict is increasing worldwide. Elimination and decontamination of chemical and biological warfare (CBW) agents are immediately required after such an attack. Simulated experiment for elimination of CBW agents by making use of atmospheric-pressure microwave plasma torches is carried out. Elimination of biological warfare agents indicated by the vitrification or burnout of sewage sludge powders and decomposition of toluene gas as a chemical agent stimulant are presented. A detailed characterization for the elimination of the simulant chemicals using Fourier transform infrared and gas chromatography is also presented

  8. Simulated experiment for elimination of chemical and biological warfare agents by making use of microwave plasma torch

    Science.gov (United States)

    Hong, Yong C.; Kim, Jeong H.; Uhm, Han S.

    2004-02-01

    The threat of chemical and biological warfare agents in a domestic terrorist attack and in military conflict is increasing worldwide. Elimination and decontamination of chemical and biological warfare (CBW) agents are immediately required after such an attack. Simulated experiment for elimination of CBW agents by making use of atmospheric-pressure microwave plasma torches is carried out. Elimination of biological warfare agents indicated by the vitrification or burnout of sewage sludge powders and decomposition of toluene gas as a chemical agent stimulant are presented. A detailed characterization for the elimination of the simulant chemicals using Fourier transform infrared and gas chromatography is also presented.

  9. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  10. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  11. Branched carbon nanofiber network synthesis at room temperature using radio frequency supported microwave plasmas

    OpenAIRE

    Boskovic, BO; Stolojan, V; Zeze, DA; Forrest, RD; Silva, SRP; Haq, S

    2004-01-01

    Carbon nanofibers have been grown at room temperature using a combination of radio frequency and microwave assisted plasma-enhanced chemical vapor deposition. The nanofibers were grown, using Ni powder catalyst, onto substrates kept at room temperature by using a purposely designed water-cooled sample holder. Branched carbon nanofiber growth was obtained without using a template resulting in interconnected carbon nanofiber network formation on substrates held at room temperatur...

  12. Low-Pressure H2, NH3 Microwave Plasma Treatment of Polytetrafluoroethylene (PTFE) Powders: Chemical, Thermal and Wettability Analysis

    Science.gov (United States)

    Hunke, Harald; Soin, Navneet; Shah, Tahir H.; Kramer, Erich; Pascual, Alfons; Karuna, Mallampalli Sri Lakshmi; Siores, Elias

    2015-01-01

    Functionalization of Polytetrafluoroethylene (PTFE) powders of ~6 μm particle size is carried out using low-pressure 2.45 GHz H2, NH3 microwave plasmas for various durations (2.5, 10 h) to chemically modify their surface and alter their surface energy. The X-ray Photoelectron Spectroscopy (XPS) analyses reveal that plasma treatment leads to significant defluorination (F/C atomic ratio of 1.13 and 1.30 for 10 h NH3 and H2 plasma treatments, respectively vs. 1.86 for pristine PTFE), along with the incorporation of functional polar moieties on the surface, resulting in enhanced wettability. Analysis of temperature dependent XPS revealed a loss of surface moieties above 200 °C, however, the functional groups are not completely removable even at higher temperatures (>300 °C), thus enabling the use of plasma treated PTFE powders as potential tribological fillers in high temperature engineering polymers. Ageing studies carried over a period of 12 months revealed that while the surface changes degenerate over time, again, they are not completely reversible. These functionalised PTFE powders can be further used for applications into smart, high performance materials such as tribological fillers for engineering polymers and bio-medical, bio-material applications.

  13. Microwave plasma deposition of diamond like carbon coatings

    Indian Academy of Sciences (India)

    Abstract. The promising applications of the microwave plasmas have been appearing in the fields of chemical processes and semiconductor manufacturing. Applications include surface deposition of all types including diamond/diamond like carbon (DLC) coatings, etching of semiconductors, promotion of organic reactions, ...

  14. Sheath and bulk expansion induced by RF bias in atmospheric pressure microwave plasma

    Science.gov (United States)

    Lee, Jimo; Nam, Woojin; Lee, Jae Koo; Yun, Gunsu

    2017-10-01

    A large axial volume expansion of microwave-driven plasma at atmospheric pressure is achieved by applying a low power radio frequency (RF) bias at an axial location well isolated from the original plasma bulk. The evolution of the plasma plume visualized by high speed ICCD imaging suggest that the free electrons drifting toward the bias electrode cause the prodigious expansion of the sheath, creating a stable plasma stream channel between the microwave and the RF electrodes. For argon plasma in ambient air, enhanced emissions of OH and N2 spectral lines are measured in the extended plume region, supporting the acceleration of electrons and subsequent generation of radical species. The coupling of RF bias with microwave provides an efficient way of enlarging the plasma volume and enhancing the production of radicals. Work supported by the National Research Foundation of Korea under BK21+ program and Grant No. 2015R1D1A1A01061556 (Ministry of Education).

  15. Atmospheric pressure microwave plasma system with ring waveguide

    International Nuclear Information System (INIS)

    Liu Liang; Zhang Guixin; Zhu Zhijie; Luo Chengmu

    2007-01-01

    Some scientists used waveguide as the cavity to produce a plasma jet, while large volume microwave plasma was relatively hard to get in atmospheric pressure. However, a few research institutes have already developed devices to generate large volume of atmospheric pressure microwave plasma, such as CYRANNUS and SLAN series, which can be widely applied. In this paper, present a microwave plasma system with ring waveguide to excite large volume of atmospheric pressure microwave plasma, plot curves on theoretical disruption electric field of some working gases, emulate the cavity through software, measure the power density to validate and show the appearance of microwave plasma. At present, large volume of argon and helium plasma have already been generated steadily by atmospheric pressure microwave plasma system. This research can build a theoretical basis of microwave plasma excitation under atmospheric pressure and will be useful in study of the device. (authors)

  16. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  17. Determining the microwave coupling and operational efficiencies of a microwave plasma assisted chemical vapor deposition reactor under high pressure diamond synthesis operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Nad, Shreya [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States); Department of Physics and Astronomy, Michigan State University, East Lansing, Michigan 48824 (United States); Gu, Yajun; Asmussen, Jes [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States)

    2015-07-15

    The microwave coupling efficiency of the 2.45 GHz, microwave plasma assisted diamond synthesis process is investigated by experimentally measuring the performance of a specific single mode excited, internally tuned microwave plasma reactor. Plasma reactor coupling efficiencies (η) > 90% are achieved over the entire 100–260 Torr pressure range and 1.5–2.4 kW input power diamond synthesis regime. When operating at a specific experimental operating condition, small additional internal tuning adjustments can be made to achieve η > 98%. When the plasma reactor has low empty cavity losses, i.e., the empty cavity quality factor is >1500, then overall microwave discharge coupling efficiencies (η{sub coup}) of >94% can be achieved. A large, safe, and efficient experimental operating regime is identified. Both substrate hot spots and the formation of microwave plasmoids are eliminated when operating within this regime. This investigation suggests that both the reactor design and the reactor process operation must be considered when attempting to lower diamond synthesis electrical energy costs while still enabling a very versatile and flexible operation performance.

  18. Simple microwave plasma source at atmospheric pressure

    International Nuclear Information System (INIS)

    Kim, Jeong H.; Hong, Yong C.; Kim, Hyoung S.; Uhm, Han S.

    2003-01-01

    We have developed a thermal plasma source operating without electrodes. One electrodeless torch is the microwave plasma-torch, which can produce plasmas in large quantities. We can generate plasma at an atmospheric pressure by marking use of the same magnetrons used as commercial microwave ovens. Most of the magnetrons are operated at the frequency of 2.45 GHz; the magnetron power microwave is about 1kW. Electromagnetic waves from the magnetrons propagate through a shorted waveguide. Plasma was generated under a resonant condition, by an auxiliary ignition system. The plasma is stabilized by vortex stabilization. Also, a high-power and high-efficiency microwave plasma-torch has been operated in air by combining two microwave plasma sources with 1kW, 2.45 GHz. They are arranged in series to generate a high-power plasma flame. The second torch adds all its power to the plasma flame of the first torch. Basically, electromagnetic waves in the waveguide were studied by a High Frequency Structure Simulator (HFSS) code and preliminary experiments were conducted

  19. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique

    International Nuclear Information System (INIS)

    Jany, Ch.

    1998-01-01

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead η to decrease. In contrast, η was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp 2 phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  20. GYRO-INTERACTION OF MICROWAVES IN MAGNETO PLASMAS IN ATMOSPHERIC GASES

    Energy Technology Data Exchange (ETDEWEB)

    Narasinga Rao, K. V.; Goldstein, L.

    1963-05-15

    Electron cyclotron resonance absorption of microwave energy by the electron gas in decaying magneto plasmas of oxygen and nitrogen gases is investigated. The technique of interaction of microwaves of diffent frequencies is utilized to measure the enhancement in electronic energy caused by resonance absorption. The results of these experiments show that the inelastic collisions of low energy electrons introduce a barrier for rapid heating of the electron gas. The implication of these results to the control of the ionospheric plasma parameters by radio frequency EM waves is discussed. (auth)

  1. Atomic hydrogen determination in medium-pressure microwave discharge hydrogen plasmas via emission actinometry

    International Nuclear Information System (INIS)

    Geng Zicai; Xu Yong; Yang Xuefeng; Wang Weiguo; Zhu Aimin

    2005-01-01

    Atomic hydrogen plays an important role in the chemical vapour deposition of functional materials, plasma etching and new approaches to the chemical synthesis of hydrogen-containing compounds. This work reports experimental determinations of atomic hydrogen in microwave discharge hydrogen plasmas formed from the TM 01 microwave mode in an ASTeX-type reactor, via optical emission spectroscopy using Ar as an actinometer. The relative intensities of the H atom Balmer lines and Ar-750.4 nm emissions as functions of input power and gas pressure have been investigated. At an input microwave power density of 13.5 W cm -3 , the approximate hydrogen dissociation fractions calculated from electron-impact excitation and quenching cross sections in the literature, decreased from ∼0.08 to ∼0.03 as the gas pressure was increased from 5 to 25 Torr. The influences of the above cross sections, and the electron and gas temperatures of the plasmas on the determination of the hydrogen dissociation fraction data have been discussed

  2. A multifunctional microwave plasma reaction apparatus and its applications

    International Nuclear Information System (INIS)

    Wang Xizhang; Wu Qiang; Hu Zheng; Xu Hua; Miao Shui; Chen Yi

    2000-01-01

    A multifunctional apparatus for microwave plasma reaction has been set up, which can be used in the fields such as chemical synthesis, surface modification, and heterogeneous catalysis. The apparatus has laid an experimental foundation for new methods, new technologies, and new train of thoughts to be explored

  3. MICROWAVE INTERACTIONS WITH INHOMOGENEOUS PARTIALLY IONIZED PLASMA

    Energy Technology Data Exchange (ETDEWEB)

    Kritz, A. H.

    1962-11-15

    Microwave interactions with inhomogeneous plasmas are often studied by employing a simplified electromagnetic approach, i.e., by representing the effects of the plasma by an effective dielectric coefficient. The problems and approximations associated with this procedure are discussed. The equation describing the microwave field in an inhomogeneous partially ionized plasma is derived, and the method that is applied to obtain the reflected, transmitted, and absorbed intensities in inhomogeneous plasmas is presented. The interactions of microwaves with plasmas having Gaussian electron density profiles are considered. The variation of collision frequency with position is usually neglected. In general, the assumption of constant collision frequency is not justified; e.g., for a highly ionized plasma, the electron density profile determines, in part, the profile of the electron-ion collision frequency. The effect of the variation of the collision frequency profile on the interaction of microwaves with inhomogeneous plasmas is studied in order to obtain an estimate of the degree of error that may result when constant collision frequency is assumed instead of a more realistic collision frequency profile. It is shown that the degree of error is of particular importance when microwave analysis is used as a plasma diagnostic. (auth)

  4. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  5. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  6. Microwave studies of gas discharge plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Brown, S C [Department of Physics and the Research Laboratory of Electronics, Massachusetts Institute of Technology, Cambridge, MA (United States)

    1958-07-01

    The plasma diagnostics in absence and in presence of magnetic field is discussed. It is concluded that it is not possible, even for low electron densities, to obtain a general theory in a form suitable for experimental verification and use in the microwave diagnostics of magnetized plasma, and valid for all possible configurations of the microwave field. Consequently, only a few special configurations of the microwave field are analysed.

  7. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  8. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  9. Applications of microwave radiation environmental remediation technologies

    International Nuclear Information System (INIS)

    Krause, T.R.; Helt, J.E.

    1993-01-01

    A growing number of environmental remediation technologies (e.g., drying, melting, or sintering) utilize microwave radiation as an integral part of the process. An increasing number of novel applications, such as sustaining low-temperature plasmas or enhancing chemical reactivity, are also being developed. An overview of such technologies being developed by the Department of Energy is presented. A specific example being developed at Argonne National Laboratory, microwave-induced plasma reactors for the destruction of volatile organic compounds, is discussed in more detail

  10. [Experimental study on spectra of compressed air microwave plasma].

    Science.gov (United States)

    Liu, Yong-Xi; Zhang, Gui-Xin; Wang, Qiang; Hou, Ling-Yun

    2013-03-01

    Using a microwave plasma generator, compressed air microwave plasma was excited under 1 - 5 atm pressures. Under different pressures and different incident microwave power, the emission spectra of compressed air microwave plasma were studied with a spectra measuring system. The results show that continuum is significant at atmospheric pressure and the characteristic will be weakened as the pressure increases. The band spectra intensity will be reduced with the falling of the incident microwave power and the band spectra were still significant. The experimental results are valuable to studying the characteristics of compressed air microwave plasma and the generating conditions of NO active groups.

  11. Downstream microwave ammonia plasma treatment of polydimethylsiloxane

    International Nuclear Information System (INIS)

    Pruden, K.G.; Beaudoin, S.P.

    2005-01-01

    To control the interactions between surfaces and biological systems, it is common to attach polymers, proteins, and other species to the surfaces of interest. In this case, surface modification of polydimethylsiloxane (PDMS) was performed by exposing PDMS films to the effluent from a microwave ammonia plasma, with a goal of creating primary amine groups on the PDMS. These amine sites were to be used as binding sites for polymer attachment. Chemical changes to the surface of the PDMS were investigated as a function of treatment time, microwave power, and PDMS temperature during plasma treatment. Functional groups resulting from this treatment were characterized using attenuated total reflectance infrared spectroscopy. Plasma treatment resulted in the incorporation of oxygen- and nitrogen-containing groups, including primary amine groups. In general, increasing the treatment time, plasma power and substrate temperature increased the level of oxidation of the films, and led to the formation of imines and nitriles. PDMS samples treated at 100 W and 23 deg. C for 120 s were chosen for proof-of-concept dextran coating. Samples treated at this condition contained primary amine groups and few oxygen-containing groups. To test the viability of the primary amines for attachment of biopolymers, functionalized dextran was successfully attached to primary amine sites on the PDMS films

  12. On the existence of and mechanism for microwave-specific reaction rate enhancement.

    Science.gov (United States)

    Dudley, Gregory B; Richert, Ranko; Stiegman, A E

    2015-04-01

    The use of microwave radiation to drive chemical reactions has become ubiquitous in almost all fields of chemistry. In all of these areas it is principally due to rapid and convenient heating resulting in significantly higher rates of reaction, with other advantages including enhanced product selectivity and control of materials properties. Although microwave heating continues to grow as an enabling technology, fundamental research into the nature of microwave heating has not grown at the same rate. In the case of chemical reactions run in homogeneous solution, particularly synthetic organic reactions, there is considerable controversy over the origins of rate enhancement, with a fundamental question being whether there exist microwave-specific effects, distinct from what can be attained under conventional convective heating, that can accelerate a reaction rate. In this Perspective, we discuss unique aspects of microwave heating of molecules in solution and discuss the origin and nature of microwave-specific effects arising from the process of "selective heating" of reactants in solution. Integral to this discussion is work from the field of dielectric relaxation spectroscopy, which provides a model for selective heating by Debye relaxation processes. The Perspective also includes a critical discussion of hypotheses of non-thermal effects (alternatively classified here as resonant processes) and an outline of specific reaction parameters for chemical systems in which microwave-specific Debye relaxation processes can result in observable reaction rate enhancement.

  13. The Influences of H2Plasma Pretreatment on the Growth of Vertically Aligned Carbon Nanotubes by Microwave Plasma Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2008-01-01

    Full Text Available AbstractThe effects of H2flow rate during plasma pretreatment on synthesizing the multiwalled carbon nanotubes (MWCNTs by using the microwave plasma chemical vapor deposition are investigated in this study. A H2and CH4gas mixture with a 9:1 ratio was used as a precursor for the synthesis of MWCNT on Ni-coated TaN/Si(100 substrates. The structure and composition of Ni catalyst nanoparticles were investigated using scanning electron microscopy (SEM and transmission electron microscopy (TEM. The present findings showed that denser Ni catalyst nanoparticles and more vertically aligned MWCNTs could be effectively achieved at higher flow rates. From Raman results, we found that the intensity ratio of G and D bands (ID/IG decreases with an increasing flow rate. In addition, TEM results suggest that H2plasma pretreatment can effectively reduce the amorphous carbon and carbonaceous particles. As a result, the pretreatment plays a crucial role in modifying the obtained MWCNTs structures.

  14. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  15. Microwave Plasma Synthesis of Materials—From Physics and Chemistry to Nanoparticles: A Materials Scientist’s Viewpoint

    Directory of Open Access Journals (Sweden)

    Dorothée Vinga Szabó

    2014-08-01

    Full Text Available In this review, microwave plasma gas-phase synthesis of inorganic materials and material groups is discussed from the application-oriented perspective of a materials scientist: why and how microwave plasmas are applied for the synthesis of materials? First, key players in this research field will be identified, and a brief overview on publication history on this topic is given. The fundamental basics, necessary to understand the processes ongoing in particle synthesis—one of the main applications of microwave plasma processes—and the influence of the relevant experimental parameters on the resulting particles and their properties will be addressed. The benefit of using microwave plasma instead of conventional gas phase processes with respect to chemical reactivity and crystallite nucleation will be reviewed. The criteria, how to choose an appropriate precursor to synthesize a specific material with an intended application is discussed. A tabular overview on all type of materials synthesized in microwave plasmas and other plasma methods will be given, including relevant citations. Finally, property examples of three groups of nanomaterials synthesized with microwave plasma methods, bare Fe2O3 nanoparticles, different core/shell ceramic/organic shell nanoparticles, and Sn-based nanocomposites, will be described exemplarily, comprising perspectives of applications.

  16. Plasma acceleration by means of microwave radiation pressure

    International Nuclear Information System (INIS)

    Fukumura, Takashi; Takamoto, Teruo

    1977-01-01

    In the electric discharge of gas with microwaves, intense reflection waves occur simultaneously with the discharge, so the plasma ionized and formed by the microwaves is accelerated due to large radiation pressure. The basic experiment made, aiming at plasma gun, is described. In the gas electric discharge, the plasma flow velocity proportional to the reflected power is obtained. For 550 W microwave input power, the plasma velocity of 1 x 10 4 m/s was obtained. The accelerated plasma is bunched; its front as mass travels, recombines and disappears. (Mori, K.)

  17. Microwave imaging for plasma diagnostics and its applications

    International Nuclear Information System (INIS)

    Mase, A.; Kogi, Y.; Ito, N.

    2007-01-01

    Microwave to millimeter-wave diagnostic techniques such as interferometry, reflectometry, scattering, and radiometry have been powerful tools for diagnosing magnetically confined plasmas. Important plasma parameters were measured to clarify the physics issues such as stability, wave phenomena, and fluctuation-induced transport. Recent advances in microwave and millimeter-wave technology together with computer technology have enabled the development of advanced diagnostics for visualization of 2D and 3D structures of plasmas. Microwave/millimeter-wave imaging is expected to be one of the most promising diagnostic methods for this purpose. We report here on the representative microwave diagnostics and their industrial applications as well as application to magnetically-confined plasmas. (author)

  18. Microwave power coupling in a surface wave excited plasma

    Directory of Open Access Journals (Sweden)

    Satyananda Kar

    2015-01-01

    Full Text Available In recent decades, different types of plasma sources have been used for various types of plasma processing, such as, etching and thin film deposition. The critical parameter for effective plasma processing is high plasma density. One type of high density plasma source is Microwave sheath-Voltage combination Plasma (MVP. In the present investigation, a better design of MVP source is reported, in which over-dense plasma is generated for low input microwave powers. The results indicate that the length of plasma column increases significantly with increase in input microwave power.

  19. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  20. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  1. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  2. The Interaction of C-Band Microwaves with Large Plasma Sheets

    International Nuclear Information System (INIS)

    Ding Liang; Huo Wenqing; Yang Xinjie; Xu Yuemin

    2012-01-01

    A large plasma sheet 60 cm×60 cm×2 cm in size was generated using a hollow cathode, and measurements were conducted for interactions including transmission, reflection and absorption. With different discharge parameters, plasma sheets can vary and influence microwave strength. Microwave reflection decreases when the discharge current rises, and the opposite occurs in transmission. The C-band microwave is absorbed when it is propagated through large plasma sheets at higher pressure. When plasma density and collision frequency are fitted with incident microwave frequency, a large amount of microwave energy is consumed. Reflection, transmission and absorption all exist simultaneously. Plasma sheets are an attractive alternative to microwave steering at low pressure, and the microwave reflection used in receiving radar can be altered by changing the discharge parameters.

  3. Microwave Plasma System: PVA Tepla 300

    Data.gov (United States)

    Federal Laboratory Consortium — Description:CORAL Name: Microwave AsherA tool using microwave oxygen plasma to remove organics on the surfacesSpecifications / Capabilities:Frequency: 2.45 GHzPower:...

  4. Plasma Electronics. Theoretical and Experimental Investigations of Plasma Nonlinearity in the Powerful Microwave Oscillators

    International Nuclear Information System (INIS)

    Bliokh, Yu.P.

    2001-01-01

    During more than 50 years of Plasma Electronics development a great number of experimental and theoretical results have been achieved. These results allow understanding of physical processes which originate under charged particles beams interaction with a plasma. However, one essential aspect of such interaction remains insufficiently studied. The question is about a correlation between conditions of microwave excitation by a beam in plasma and plasma parameters. Each of these effects, namely the influence of plasma parameters on conditions of microwave excitation by a beam and plasma parameters variations under the influence of propagating microwave radiation are well known and investigated enough. However their common action under beam-plasma instability (BPI) development were not studied systematically, although the role of such reciprocal influence on character of these processes may be very large. The aim of this report is a review of recent theoretical and experimental investigations of such plasma nonlinearity in plasma-filled trawling-wave tubes. N.M.Zemlyansky and E.A.Kornilov have done experiments in Kharkov Institute of Physics and Technology (KhPhTI). Development of the theoretical model was started in KhPhTI (Yu.P.Bliokh, Ya.B.Fainberg, M.G.Lyubarsky, and V.O.Podobinsky) and continues by author in Technion. The developed theory takes into account two main reasons of the plasma density redistribution: high frequency pressure (HFP) force which ''push out'' plasma from the regions with increased microwave amplitude, or microwave discharge, which appears in the region where amplitude is large enough. Displaced (under HFP action) or additionally originating (under (BPD) development) plasma propagates from the disturbance source in the form of slow plasma waves (for example, ion-sound or magneto-sound waves), and the BPI develops in the nonhomogeneous plasma. It changes both magnitude and longitudinal distribution of excited microwave amplitude. As a result

  5. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  6. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  7. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  8. Microwave and plasma-assisted modification of composite fiber surface topography

    Science.gov (United States)

    Paulauskas, Felix L [Knoxville, TN; White, Terry L [Knoxville, TN; Bigelow, Timothy S [Knoxville, TN

    2003-02-04

    The present invention introduces a novel method for producing an undulated surface on composite fibers using plasma technology and microwave radiation. The undulated surface improves the mechanical interlocking of the fibers to composite resins and enhances the mechanical strength and interfacial sheer strength of the composites in which they are introduced.

  9. RF and microwave diagnostics of plasma

    International Nuclear Information System (INIS)

    Basu, J.

    1976-01-01

    A brief review of RF and microwave investigations carried out at laboratory plasma is presented. Both the immersive and non-immersive RF probes of various types are discussed, the major emphasis being laid on the work carried out in extending the scope of the immersive impedance probe and non-immersive coil probe. The standard microwave methods for plasma diagnosis are mentioned. The role of relatively new diagnostic tool, viz., a dielectric-rod waveguide, is described, and the technique of measuring the admittance of such a waveguide (or an antenna) enveloped in plasma is discussed. (K.B.)

  10. Microwave produced plasma in a Toroidal Device

    Science.gov (United States)

    Singh, A. K.; Edwards, W. F.; Held, E. D.

    2010-11-01

    A currentless toroidal plasma device exhibits a large range of interesting basic plasma physics phenomena. Such a device is not in equilibrium in a strict magneto hydrodynamic sense. There are many sources of free energy in the form of gradients in plasma density, temperature, the background magnetic field and the curvature of the magnetic field. These free energy sources excite waves and instabilities which have been the focus of studies in several devices in last two decades. A full understanding of these simple plasmas is far from complete. At Utah State University we have recently designed and installed a microwave plasma generation system on a small tokamak borrowed from the University of Saskatchewan, Saskatoon, Canada. Microwaves are generated at 2.45 GHz in a pulsed dc mode using a magnetron from a commercial kitchen microwave oven. The device is equipped with horizontal and vertical magnetic fields and a transformer to impose a toroidal electric field for current drive. Plasmas can be obtained over a wide range of pressure with and without magnetic fields. We present some preliminary measurements of plasma density and potential profiles. Measurements of plasma temperature at different operating conditions are also presented.

  11. Development of a long-slot microwave plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Kuwata, Y., E-mail: euo1304@mail4.doshisha.ac.jp; Kasuya, T.; Miyamoto, N.; Wada, M. [Graduate School of Science and Engineering, Doshisha University, Kyotanabe, Kyoto 610-0321 (Japan)

    2016-02-15

    A 20 cm long 10 cm wide microwave plasma source was realized by inserting two 20 cm long 1.5 mm diameter rod antennas into the plasma. Plasma luminous distributions around the antennas were changed by magnetic field arrangement created by permanent magnets attached to the source. The distributions appeared homogeneous in one direction along the antenna when the spacing between the antenna and the source wall was 7.5 mm for the input microwave frequency of 2.45 GHz. Plasma density and temperature at a plane 20 cm downstream from the microwave shield were measured by a Langmuir probe array at 150 W microwave power input. The measured electron density and temperature varied over space from 3.0 × 10{sup 9} cm{sup −3} to 5.8 × 10{sup 9} cm{sup −3}, and from 1.1 eV to 2.1 eV, respectively.

  12. Properties of plasma flames sustained by microwaves and burning hydrocarbon fuels

    International Nuclear Information System (INIS)

    Hong, Yong Cheol; Uhm, Han Sup

    2006-01-01

    Plasma flames made of atmospheric microwave plasma and a fuel-burning flame were presented and their properties were investigated experimentally. The plasma flame generator consists of a fuel injector and a plasma flame exit connected in series to a microwave plasma torch. The plasma flames are sustained by injecting hydrocarbon fuels into a microwave plasma torch in air discharge. The microwave plasma torch in the plasma flame system can burn a hydrocarbon fuel by high-temperature plasma and high atomic oxygen density, decomposing the hydrogen and carbon containing fuel. We present the visual observations of the sustained plasma flames and measure the gas temperature using a thermocouple device in terms of the gas-fuel mixture and flow rate. The plasma flame volume of the hydrocarbon fuel burners was more than approximately 30-50 times that of the torch plasma. While the temperature of the torch plasma flame was only 868 K at a measurement point, that of the diesel microwave plasma flame with the addition of 0.019 lpm diesel and 30 lpm oxygen increased drastically to about 2280 K. Preliminary experiments for methane plasma flame were also carried out, measuring the temperature profiles of flames along the radial and axial directions. Finally, we investigated the influence of the microwave plasma on combustion flame by observing and comparing OH molecular spectra for the methane plasma flame and methane flame only

  13. Tailoring of the morphology and chemical composition of thin organosilane microwave plasma polymer layers on metal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Grundmeier, G.; Thiemann, P.; Carpentier, J.; Shirtcliffe, N.; Stratmann, M

    2004-01-01

    The growth of thin microwave organosilicon plasma polymers on model zinc surfaces was investigated as a function of the film thickness and the oxygen partial pressure during film deposition. The evolution of the topology of the film was studied by atomic force microscopy (AFM). The nano- and micro-roughness was investigated at the inner and the outer surfaces of the plasma polymers. A special etching procedure was developed to reveal the underside of the plasma polymer and thereby its inner surface. Rough films contained voids at the interface, which reduced the polymer/metal contact area. The increase in oxygen partial pressure led to a smoother film growth with a perfect imitation of the substrate topography at the interface. The chemical structure of the films was determined by infrared reflection absorption spectroscopy (IRRAS), X-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectroscopy (ToF-SIMS). ToF-SIMS at the outer and the inner surface of the plasma polymers showed that the density of methylsilyl groups increases in the outer surface layer of the plasma polymer and depends on the oxygen partial pressure. The chemical composition of the films could be altered to pure SiO{sub 2} without changing the morphology by using oxygen-plasma post-treatment. This was proved by means of IRRAS and AFM. Chemistry and topology of the films were correlated with the apparent water contact angle. It was found that a linear relationship exists between the nanoscopic roughness of the plasma polymer and the static contact angle of water. Superposition of a nanoscopic roughness of the metal surface and the nanoscopic roughness of methylsilyl-rich films led to ultra-hydrophobic films with water contact angles up to 160 deg.

  14. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  15. Influence of Microwave Power on the Properties of Hydrogenated Diamond-Like Carbon Films Prepared by ECR Plasma Enhanced DC Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ru Lili; Huang Jianjun; Gao Liang; Qi Bing

    2010-01-01

    Electron cyclotron resonance (ECR) plasma was applied to enhance the direct current magnetron sputtering to prepare hydrogenated diamond-like carbon (H-DLC) films. For different microwave powers, both argon and hydrogen gas are introduced separately as the ECR working gas to investigate the influence of microwave power on the microstructure and electrical property of the H-DLC films deposited on P-type silicon substrates. A series of characterization methods including the Raman spectrum and atomic force microscopy are used. Results show that, within a certain range, the increase in microwave power affects the properties of the thin films, namely the sp 3 ratio, the hardness, the nanoparticle size and the resistivity all increase while the roughness decreases with the increase in microwave power. The maximum of resistivity amounts to 1.1 x 10 9 Ω · cm. At the same time it is found that the influence of microwave power on the properties of H-DLC films is more pronounced when argon gas is applied as the ECR working gas, compared to hydrogen gas.

  16. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  17. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  18. Branched carbon nanofiber network synthesis at room temperature using radio frequency supported microwave plasmas

    International Nuclear Information System (INIS)

    Boskovic, Bojan O.; Stolojan, Vlad; Zeze, Dagou A.; Forrest, Roy D.; Silva, S. Ravi P.; Haq, Sajad

    2004-01-01

    Carbon nanofibers have been grown at room temperature using a combination of radio frequency and microwave assisted plasma-enhanced chemical vapor deposition. The nanofibers were grown, using Ni powder catalyst, onto substrates kept at room temperature by using a purposely designed water-cooled sample holder. Branched carbon nanofiber growth was obtained without using a template resulting in interconnected carbon nanofiber network formation on substrates held at room temperature. This method would allow room-temperature direct synthesized nanofiber networks over relatively large areas, for a range of temperature sensitive substrates, such as organic materials, plastics, and other polymers of interest for nanoelectronic two-dimensional networks, nanoelectromechanical devices, nanoactuators, and composite materials

  19. Study of microwave emission from a dense plasma focus

    International Nuclear Information System (INIS)

    Gerdin, G.; Venneri, F.; Tanisi, M.

    1985-01-01

    Microwave emission was detected in a 12.5 kJ dense plasma focus, using microwave horns and detectors placed in various locations outside the device. The results show that the parallel plates connecting the focus to its capacitor banks act as antennas and transmission lines, rather than wave guides. Subsequent measurements were performed with a microwave detector (R-band) attached to the focus anode, directly looking into the coaxial gun region, allowing to restrict the microwave emitting region to the muzzle end of the focus. The microwave frequency spectrum, determined with a time of flight detection system, strongly suggests the lower hybrid instability as the driving mechanism of the emissions. Comparing the time sequence of the emissions with those of other observable phenomena in the focus, a model was developed, to explain the possible relationship between the generation of microwave radiation and turbulence induced resistivity in the focus pinch. According to the model, microwaves and enhanced resistivity are caused by current driven instabilities occurring in the current sheath produced at the outer boundary of the pinch during the initial compression phase. Comparisons of the model predictions with observed experimental results are presented, including time resolved measurements of the pinch resistivity

  20. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  1. [Study on the emission spectrum of microwave plasma in liquid].

    Science.gov (United States)

    Wang, Bo; Sun, Bing; Zhu, Xiao-Mei; Yan, Zhi-Yu; Liu, Yong-Jun; Liu, Hui

    2014-05-01

    After the technology of microwave discharge in liquid is realized for the first time in China, the basic physical phenomena and characteristic of microwave discharge in liquid is studied in order to lay a theoretical foundation of research on microwave discharge in liquid. In the present paper, the active particles generated by microwave discharge in liquid were detected using the emission spectrometer, and the statistical method of spectrum data of microwave discharge in liquid was also studied. The emission spectrometer and numerically controlled camera were used to detect synchronously the process of the initial discharge and stable discharge of microwave discharge in liquid. The results show that: the emission intensity of microwave plasma in liquid has a large fluctuation, and the spectrum intensity can be calculated using the average of 10 spectrum data points. The intensity of discharge is reflected by the plasma area in a certain extent, however, the variation gradient of the intensity of discharge is different from that of the plasma area. This is mainly because that, in the process of discharging, the discharge intensity is not only reflected by the plasma area, but also reflected by the brightness of the plasma.

  2. Energy distribution and transfer in flowing hydrogen microwave plasmas

    International Nuclear Information System (INIS)

    Chapman, R.A.

    1987-01-01

    This thesis is an experimental investigation of the physical and chemical properties of a hydrogen discharge in a flowing microwave plasma system. The plasma system is the mechanisms utilized in an electrothermal propulsion concept to convert electromagnetic energy into the kinetic energy of flowing hydrogen gas. The plasmas are generated inside a 20-cm ID resonant cavity at a driving frequency of 2.45 GHz. The flowing gas is contained in a coaxially positioned 22-mm ID quartz discharge tube. The physical and chemical properties are examined for absorbed powers of 20-100 W, pressures of 0.5-10 torr, and flow rates of 0-10,000 μ-moles/sec. A calorimetry system enclosing the plasma system to accurately measure the energy inputs and outputs has been developed. The rate of energy that is transferred to the hydrogen gas as it flows through the plasma system is determined as a function of absorbed power, pressure, and flow rate to +/-1.8 W from an energy balance around the system. The percentage of power that is transferred to the gas is found to increase with increasing flow rate, decrease with increasing pressure, and to be independent of absorbed power

  3. Experimental investigation of gas heating and dissociation in a microwave plasma torch at atmospheric pressure

    International Nuclear Information System (INIS)

    Su, Liu; Kumar, Rajneesh; Ogungbesan, Babajide; Sassi, Mohamed

    2014-01-01

    Highlights: • Atmospheric-pressure microwave plasma torch. • Gas heating and dissociation. • Parametric studies of plasma operating conditions. • Local thermal equilibrium plasma. - Abstract: Experimental investigations are made to understand gas heating and dissociation in a microwave (MW) plasma torch at atmospheric pressure. The MW induced plasma torch operates at 2.45 GHz frequency and up to 2 kW power. Three different gas mixtures are injected in the form of axial flow and swirl flow in a quartz tube plasma torch to experimentally investigate the MW plasma to gas energy transfer. Air–argon, air–air and air–nitrogen plasmas are formed and their operational ranges are determined in terms of gas flow rates and MW power. Visual observations, optical emission spectroscopy and K-type thermocouple measurements are used to characterize the plasma. The study reveals that the plasma structure is highly dependent on the carrier gas type, gas flow rate, and MW power. However, the plasma gas temperature is shown not to vary much with these parameters. Further spectral and analytical analysis show that the plasma is in thermal equilibrium and presents very good energy coupling between the microwave power and gas heating and dissociation. The MW plasma torch outlet temperature is also measured and found to be suitable for many thermal heating and chemical dissociation applications

  4. MICROWAVE TECHNOLOGY CHEMICAL SYNTHESIS APPLICATIONS

    Science.gov (United States)

    Microwave-accelerated chemical syntheses in various solvents as well as under solvent-free conditions have witnessed an explosive growth. The technique has found widespread application predominantly exploiting the inexpensive unmodified household microwave (MW) ovens although th...

  5. Microwave Enhanced Reactive Distillation

    NARCIS (Netherlands)

    Altman, E.

    2011-01-01

    The application of electromagnetic irradiation in form of microwaves (MW) has gathered the attention of the scientific community in recent years. MW used as an alternative energy source for chemical syntheses (microwave chemistry) can provide clear advantages over conventional heating methods in

  6. Microwave plasma for hydrogen production from liquids

    Directory of Open Access Journals (Sweden)

    Czylkowski Dariusz

    2016-06-01

    Full Text Available The hydrogen production by conversion of liquid compounds containing hydrogen was investigated experimentally. The waveguide-supplied metal cylinder-based microwave plasma source (MPS operated at frequency of 915 MHz at atmospheric pressure was used. The decomposition of ethanol, isopropanol and kerosene was performed employing plasma dry reforming process. The liquid was introduced into the plasma in the form of vapour. The amount of vapour ranged from 0.4 to 2.4 kg/h. Carbon dioxide with the flow rate ranged from 1200 to 2700 NL/h was used as a working gas. The absorbed microwave power was up to 6 kW. The effect of absorbed microwave power, liquid composition, liquid flow rate and working gas fl ow rate was analysed. All these parameters have a clear influence on the hydrogen production efficiency, which was described with such parameters as the hydrogen production rate [NL(H2/h] and the energy yield of hydrogen production [NL(H2/kWh]. The best achieved experimental results showed that the hydrogen production rate was up to 1116 NL(H2/h and the energy yield was 223 NL(H2 per kWh of absorbed microwave energy. The results were obtained in the case of isopropanol dry reforming. The presented catalyst-free microwave plasma method can be adapted for hydrogen production not only from ethanol, isopropanol and kerosene, but also from different other liquid compounds containing hydrogen, like gasoline, heavy oils and biofuels.

  7. Hydrogen sulfide waste treatment by microwave plasma-chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Harkness, J.B.L.; Doctor, R.D.

    1994-03-01

    A waste-treatment process that recovers both hydrogen and sulfur from industrial acid-gas waste streams is being developed to replace the Claus technology, which recovers only sulfur. The proposed process is derived from research reported in the Soviet technical literature and uses microwave (or radio-frequency) energy to initiate plasma-chemical reactions that dissociate hydrogen sulfide into elemental hydrogen and sulfur. This process has several advantages over the current Claus-plus-tail-gas-cleanup technology, which burns the hydrogen to water. The primary advantage of the proposal process is its potential for recovering and recycling hydrogen more cheaply than the direct production of hydrogen. Since unconverted hydrogen sulfide is recycled to the plasma reactor, the plasma-chemical process has the potential for sulfur recoveries in excess of 99% without the additional complexity of the tail-gas-cleanup processes associated with the Claus technology. There may also be some environmental advantages to the plasma-chemical process, because the process purge stream would primarily be the carbon dioxide and water contained in the acid-gas waste stream. Laboratory experiments with pure hydrogen sulfide have demonstrated the ability of the process to operate at or above atmospheric pressure with an acceptable hydrogen sulfide dissociation energy. Experiments with a wide range of acid-gas compositions have demonstrated that carbon dioxide and water are compatible with the plasma-chemical dissociation process and that they do not appear to create new waste-treatment problems. However, carbon dioxide does have negative impacts on the overall process. First, it decreases the hydrogen production, and second, it increases the hydrogen sulfide dissociation energy.

  8. Microwave Plasma Chemical Vapor Deposition of Nano-Structured Sn/C Composite Thin-Film Anodes for Li-ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Stevenson, Cynthia; Marcinek, M.; Hardwick, L.J.; Richardson, T.J.; Song, X.; Kostecki, R.

    2008-02-01

    In this paper we report results of a novel synthesis method of thin-film composite Sn/C anodes for lithium batteries. Thin layers of graphitic carbon decorated with uniformly distributed Sn nanoparticles were synthesized from a solid organic precursor Sn(IV) tert-butoxide by a one step microwave plasma chemical vapor deposition (MPCVD). The thin-film Sn/C electrodes were electrochemically tested in lithium half cells and produced a reversible capacity of 440 and 297 mAhg{sup -1} at C/25 and 5C discharge rates, respectively. A long term cycling of the Sn/C nanocomposite anodes showed 40% capacity loss after 500 cycles at 1C rate.

  9. The numerical simulation of plasma flow in cylindrical resonant cavity of microwave plasma thruster

    International Nuclear Information System (INIS)

    Tang, J.-L.; He, H.-Q; Mao, G.-W.

    2004-01-01

    Microwave Plasma Thruster (MPT) is an electro-thermal propulsive device. MPT consists of microwave generator, gas storing and supplying system, resonant cavity and accelerative nozzle. It generates free-floating plasma brought by the microwave discharge breakdown gas in the resonant cavity, and the plasma exhausted from nozzle produces thrust. MPT has prospective application in spacecraft because of its advantages of high thrust, moderate specific impulse and high efficiency. In this paper, the numerical simulation of the coupling flow field of microwave plasma in resonant cavity under different frequencies will be discussed. The results of numerical simulation are as follows: 1) When the resonant model TM 011 was used, the higher the microwave frequency was, the smaller the size of MPT. The distribution of the electromagnetic field in small cavity, however, remain unchanged. 2) When the resonant model was used, the distribution of the temperature, the pressure and the electronic density in the resonant cavity remained unchanged under different resonant frequencies. 3) When the resonant frequency was increased with a fixed pressure distribution in a small cavity, compare to the MPT with lower frequency, the gas flow rate, the microwave power and the nozzle throat diameter of MPT all decreased. 4) The electromagnetic field in the cylindrical resonant cavity for all MPT with different frequencies was disturbed by the plasma formation. The strong disturbance happened in the region close to the plasma. (author)

  10. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  11. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  12. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  13. Pyrolysis of methane in flowing microwave plasma. Pt. 1, 2

    International Nuclear Information System (INIS)

    Carmi, U.; Inor, A.A.; Avni, R.; Nickel, H.

    1978-04-01

    The flowing microwave (2.45 G Hz) plasmas of methane and methane-argon mixtures were analyzed by the electrical double floating probe system (DFPS), along the flow stream. The measured electric variables of the microwave plasma were: current, current density, electric field strength, electron temperature, positive ion and electron concentrations. They indicate an irreversible process, of the polymerization of CH 4 and CH 4 +Ar mixtures, taking place in the plasma. The polymerization process reaches its maximum 'down stream'. after the position of the microwave cavity. The polymerization was correlated to the concentration of ions and electrons in the plasma. (orig.) [de

  14. Microwave interferometry of PEOS plasma sources

    International Nuclear Information System (INIS)

    Weber, B.V.; Commisso, R.J.; Goodrich, P.J.; Hinshelwood, D.D.; Neri, J.M.

    1988-01-01

    A 70 GHz microwave interferometer is used to measure the electron density for various configurations of sources used in plasma erosion opening switch (PEOS) experiments. The interferometer is a phase quadrature system, so the density can be measured as a function of time without ambiguity. Measurements have been made for carbon guns and flashboards driven by a .6 μF. 25 kV capacitor. The plasma density from a gun rises to its peak value in about 10 μs. Then decays in the next 40 μs. A metal screen placed between the gun and the microwave beam attenuates the plasma density by a factor greater than the geometrical transparency of the screen. Density measurements as a function of distance from the gun are analyzed to give the plasma spatial dependence, and the particle flux density and flow velocity are calculated from the continuity equation. Density values used to model previous PEOS experiments are comparable to the values measured here. The flashboard sources produce a denser, faster plasma that is more difficult to diagnose with the interferometer than the gun plasma because of refractive bending of the microwave beam. Reducing the plasma length reduces the refractive bending enough that some measurements are possible. Direct comparison with Gamble II PEOS experiments that used these flashboard sources may not be possible at this frequency because of refraction, but estimates based on measurements at larger distances give reasonable agreement with values used to model these experiments. Other measurements that will be presented include the effects of plasma flow against metal walls, effects of changing the driving current waveform, measurements made in actual experimental configurations and comparisons with Faraday cup and electric probe measurements

  15. Interactions of microwave with plasmas

    International Nuclear Information System (INIS)

    Zhang Haifeng; Shao Fuqiu; Wang Long

    2003-01-01

    When plasma size scale is comparable with the wavelength of electromagnetic waves, W.K.B. solution isn't applicable. In this paper a new numerical solution technique to investigate interactions of microwave with plasmas is presented by using Runge-Kutta method. The results of numerical solution coincide with that of analytical solution while the model is linear electron density profile in calculated accuracy

  16. The low-cost microwave plasma sources for science and industry applications

    Science.gov (United States)

    Tikhonov, V. N.; Aleshin, S. N.; Ivanov, I. A.; Tikhonov, A. V.

    2017-11-01

    Microwave plasma torches proposed in the world market are built according to a scheme that can be called classical: power supply - magnetron head - microwave isolator with water load - reflected power meter - matching device - actual plasma torch - sliding short circuit. The total cost of devices from this list with a microwave generator of 3 kW in the performance, for example, of SAIREM (France), is about 17,000 €. We have changed the classical scheme of the microwave plasmathrone and optimised design of the waveguide channel. As a result, we can supply simple and reliable sources of microwave plasma (complete with our low-budget microwave generator up to 3 kW and a simple plasmathrone of atmospheric pressure) at a price from 3,000 €.

  17. Surface functionalization of microwave plasma-synthesized silica nanoparticles for enhancing the stability of dispersions

    Science.gov (United States)

    Sehlleier, Yee Hwa; Abdali, Ali; Schnurre, Sophie Marie; Wiggers, Hartmut; Schulz, Christof

    2014-08-01

    Gas phase-synthesized silica nanoparticles were functionalized with three different silane coupling agents (SCAs) including amine, amine/phosphonate and octyltriethoxy functional groups and the stability of dispersions in polar and non-polar dispersing media such as water, ethanol, methanol, chloroform, benzene, and toluene was studied. Fourier transform infrared spectroscopy showed that all three SCAs are chemically attached to the surface of silica nanoparticles. Amine-functionalized particles using steric dispersion stabilization alone showed limited stability. Thus, an additional SCA with sufficiently long hydrocarbon chains and strong positively charged phosphonate groups was introduced in order to achieve electrosteric stabilization. Steric stabilization was successful with hydrophobic octyltriethoxy-functionalized silica nanoparticles in non-polar solvents. The results from dynamic light scattering measurements showed that in dispersions of amine/phosphonate- and octyltriethoxy-functionalized silica particles are dispersed on a primary particle level. Stable dispersions were successfully prepared from initially agglomerated nanoparticles synthesized in a microwave plasma reactor by designing the surface functionalization.

  18. Structure of non-equilibrium seeded plasma excited with microwave; Micro ha reiki hiheiko seed plasma no kozo

    Energy Technology Data Exchange (ETDEWEB)

    Miyakawa, M.; Murakami, T.; Suekane, T.; Okuno, Y.; Kabashima, S. [Tokyo Institute of Technology, Tokyo (Japan)

    1996-10-20

    Structure of non-equilibrium cesium seeded argon plasma excited with microwave power is simulated numerically. The plasmas produced at suitable microwave powers are found to consist of three regimes, that is, the region limited by charged particle loss toward the wall, the full seed ionization and the diffusion limited regions. The fully ionized seed plasma is produced within the skin-depth determined by the electrical conductivity of the plasma, and the thickness of the fully ionized seed plasma depends on the seed fractions gas pressure and microwave power. 15 refs., 6 figs.

  19. Cesium-plasma-conductivity enhancement in the advanced thermionic energy converter. Final report

    International Nuclear Information System (INIS)

    Manikopoulos, C.N.

    Two methods of plasma conductivity enhancement in a cesium vapor thermionic energy converter have been studied. The first involved resonance photoabsorption of several cesium lines and the second utilized cesium plasma sustenance by application of microwave power. An extensive study of ionization processes in a cesium discharge in the presence of resonance ionization was made. Calculations were made of expected percentage excitation levels for several cesium resonance transitions for different values of neutral density and temperature as well as incident radiation power levels. The results of some of these computations were tabulated. Several ionization schemes were considered. A number of cesium transitions were investigated in the range of 799 to 870 nanometers for four different cesium reservoir temperatures, 467, 511, 550 and 591 K. The related absorption coefficients of the radiation lines in the plasma were deduced and tabulated. The resulting plasma conductivity increase was recorded and the associated ionization enhancement was deduced. A microwave cavity was built where the emitter and collector of a simple thermionic converter made up two of the cavity walls and resonant microwave power was externally applied. The I-V characteristics of the thermionic converter were studied under several microwave power levels in the range of 0 to 2 watts. Significant shifts to higher currents were observed as the microwave power levels were raised. In conclusion, both methods show promise as auxiliary ionization mechanisms for the thermionic energy converter, especially at low emitter temperatures

  20. Plasma wave excitation by intense microwave transmission from a space vehicle

    Science.gov (United States)

    Kimura, I.; Matsumoto, H.; Kaya, N.; Miyatake, S.

    An impact of intense microwave upon the ionospheric plasma was empirically investigated by an active rocket experiment (MINIX). The rocket carried two high-power (830W) transmitters of 2.45 GHz microwave on the mother section of the rocket. The ionospheric plasma response to the intense microwave was measured by a diagnostic package installed on both mother and daughter sections. The daughter section was separated from the mother with a slow speed of 15 cm/sec. The plasma wave analyzers revealed that various plasma waves are nonlinearly excited by the microwave. Among them, the most intense are electron cyclotron waves, followed by electron plasma waves. Extremely low frequency waves (several tens of Hz) are also found. The results of the data analysis as well as comparative computer simulations are given in this paper.

  1. Skin effect of microwaves and transverse pseudowaves in plasmas

    International Nuclear Information System (INIS)

    Minami, Kazuo

    1977-09-01

    Using linearized Vlasov-Maxwell equations, the skin effect of microwaves and transverse pseudowaves excited by an idealized grid antenna in plasmas are analyzed. It is shown that the latter is predominant over the former, in such a plasma that ω sub(p) v sub(t)/ωc >= 1, where ω sub(p) and ω are the plasma and microwave angular frequencies, v sub(t) and c are the electron thermal and light velocities, respectively. (auth.)

  2. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  3. Surface nanostructuring in the carbon–silicon(100) system upon microwave plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Yafarov, R. K., E-mail: pirpc@yandex.ru; Shanygin, V. Ya. [Russian Academy of Sciences, Kotel’nikov Institute of Radio Engineering and Electronics, Saratov Branch (Russian Federation)

    2017-04-15

    The study is concerned with the physical and chemical processes and the mechanisms of the effect of plasma preparation of a surface on the systematic features of condensation and surface phase transformations during the formation of Si–C mask domains on p-Si(100) crystals by the deposition of submonolayer C coatings in the microwave plasma of low-pressure ethanol vapors. It is shown that, at short durations of the deposition of carbon onto silicon wafers with a natural-oxide coating at a temperature of 100°C, the formation of domains is observed. The lateral dimensions of the domains lie in the range from 10–15 to 200 nm, and the heights of ridges produced by the plasma chemical etching of silicon through the mask domain coatings vary in the range from 40 to 80 nm.

  4. Poloidal rotation velocity measurement in toroidal plasmas via microwave reflectometry

    International Nuclear Information System (INIS)

    Pavlichenko, O.S.; Skibenko, A.I.; Fomin, I.P.; Pinos, I.B.; Ocheretenko, V.L.; Berezhniy, V.L.

    2001-01-01

    Results of experiment modeling backscattering of microwaves from rotating plasma layer perturbed by fluctuations are presented. It was shown that auto- and crosscorrelation of reflected power have a periodicity equal to rotation period. Such periodicity was observed by microwave reflectometry in experiments on RF plasma production on U-3M torsatron and was used for measurement of plasma poloidal rotation velocity. (author)

  5. Production of hydrogen via conversion of hydrocarbons using a microwave plasma

    International Nuclear Information System (INIS)

    Jasinski, Mariusz; Dors, Miroslaw; Nowakowska, Helena; Mizeraczyk, Jerzy; Nichipor, Gerietta V

    2011-01-01

    In this paper, results of hydrogen production from hydrocarbons in an atmospheric pressure microwave plasma are presented. As sources of hydrogen, both methane CH 4 and tetrafluoroethane C 2 H 2 F 4 were tested. A new waveguide-based nozzleless cylinder-type microwave plasma source was used to convert hydrocarbons into hydrogen. The processed gaseous hydrocarbons were introduced into the plasma by four gas ducts which formed a swirl flow in the plasma reactor. The absorbed microwave power was up to 5 kW. The gas flow rate was up to 212 L min -1 . The hydrogen mass yield rate and the corresponding energetic hydrogen mass yield were up to 866 g[H 2 ] h -1 and 577 g [H 2 ] kWh -1 of microwave energy absorbed by the plasma, respectively. These parameters are better than our previous results when nitrogen was used as a swirl gas and much better than those typical for other plasma methods of hydrogen production (electron beam, gliding arc, plasmatron).

  6. Diamond growth on Fe-Cr-Al alloy by H2-plasma enhanced graphite etching

    International Nuclear Information System (INIS)

    Li, Y. S.; Hirose, A.

    2007-01-01

    Without intermediate layer and surface pretreatment, adherent diamond films with high initial nucleation density have been deposited on Fe-15Cr-5Al (wt. %) alloy substrate. The deposition was performed using microwave hydrogen plasma enhanced graphite etching in a wide temperature range from 370 to 740 degree sign C. The high nucleation density and growth rate of diamond are primarily attributed to the unique precursors used (hydrogen plasma etched graphite) and the chemical nature of the substrate. The improvement in diamond adhesion to steel alloys is ascribed to the important role played by Al, mitigation of the catalytic function of iron by suppressing the preferential formation of loose graphite intermediate phase on steel surface

  7. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    Science.gov (United States)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  8. Non-equilibrium Microwave Plasma for Efficient High Temperature Chemistry.

    Science.gov (United States)

    van den Bekerom, Dirk; den Harder, Niek; Minea, Teofil; Gatti, Nicola; Linares, Jose Palomares; Bongers, Waldo; van de Sanden, Richard; van Rooij, Gerard

    2017-08-01

    A flowing microwave plasma based methodology for converting electric energy into internal and/or translational modes of stable molecules with the purpose of efficiently driving non-equilibrium chemistry is discussed. The advantage of a flowing plasma reactor is that continuous chemical processes can be driven with the flexibility of startup times in the seconds timescale. The plasma approach is generically suitable for conversion/activation of stable molecules such as CO2, N2 and CH4. Here the reduction of CO2 to CO is used as a model system: the complementary diagnostics illustrate how a baseline thermodynamic equilibrium conversion can be exceeded by the intrinsic non-equilibrium from high vibrational excitation. Laser (Rayleigh) scattering is used to measure the reactor temperature and Fourier Transform Infrared Spectroscopy (FTIR) to characterize in situ internal (vibrational) excitation as well as the effluent composition to monitor conversion and selectivity.

  9. Precision microwave applicators and systems for plasma and materials processing

    International Nuclear Information System (INIS)

    Asmussen, J.; Garard, R.

    1988-01-01

    Modern applications of microwave energy have imposed new requirements upon microwave processing systems. Interest in energy efficiency, processing uniformity and control of process cycles has placed new design conditions upon microwave power oscillators, microwave systems and microwave applicator design. One approach of meeting new application requirements is the use of single-mode or controlled multimode applicators. The use of a single-mode applicator for plasma generation and materials processing will be presented. Descriptions of actual applicator designs for heating, curing, and processing of solid materials and the generations of high and low pressure discharges will be given. The impact of these applicators on the total microwave system including the microwave power source will be described. Specific examples of applicator and associated microwave systems will be detailed for the applications of (1) plasma thin film deposition and (2) the precision processing and diagnosis of materials. Methods of process control and diagnosis, control of process uniformity and process scale up are discussed

  10. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  11. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  12. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  13. Microwave and optical diagnostics in a gadolinium plasma

    International Nuclear Information System (INIS)

    Larousse, B.

    1997-01-01

    The optimization of the separation process of the gadolinium isotopes by Ion Cyclotron Resonance requires a precise knowledge of the physical characteristics of the plasma. Thus, two kinds of diagnostics have been developed: the first one to estimate the microwave power inside the source and the second one to measure the density of atomic and ionic of the gadolinium inside the plasma source and in front of the collector. Microwave diagnostic: A microstrip antenna has been designed and developed in order to characterize the microwave at 36 GHz frequency in the plasma source. The experimental results for different plasma regimes are presented. The measurements inside the plasma source show a maximum of microwave absorption for an argon pressure of 10 -4 mb (93% of absorption of the incident wave in the conditions of isotope separation). Laser absorption diagnostic: The theory of laser absorption in presence of a magnetic field is recalled and the first results are presented. In the spectral range between 560 and 620 nm, corresponding to high energy levels of gadolinium, no signal is obtained so that the density is below the detection limit 10 10 cm -3 . In the spectral range between 380 and 400 nm, two lines are observed, issue from the fundamental and metastable (633 cm -1 ) levels. The density of metastable level of gadolinium ions is about 10 10 cm -3 with a relative precision of 15 % and its variation is studied as a function of argon pressure, at different sections of the plasma column (source, collector). The achieved set of measurements has been performed in order to check the theoretical models. (author)

  14. Production of hydrogen via conversion of hydrocarbons using a microwave plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jasinski, Mariusz; Dors, Miroslaw; Nowakowska, Helena; Mizeraczyk, Jerzy [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-952 Gdansk (Poland); Nichipor, Gerietta V, E-mail: mj@imp.gda.pl [Joint Institute of Power and Nuclear Research, Academy of Sciences of Belarus, Minsk, Sosny 220109 (Belarus)

    2011-05-18

    In this paper, results of hydrogen production from hydrocarbons in an atmospheric pressure microwave plasma are presented. As sources of hydrogen, both methane CH{sub 4} and tetrafluoroethane C{sub 2}H{sub 2}F{sub 4} were tested. A new waveguide-based nozzleless cylinder-type microwave plasma source was used to convert hydrocarbons into hydrogen. The processed gaseous hydrocarbons were introduced into the plasma by four gas ducts which formed a swirl flow in the plasma reactor. The absorbed microwave power was up to 5 kW. The gas flow rate was up to 212 L min{sup -1}. The hydrogen mass yield rate and the corresponding energetic hydrogen mass yield were up to 866 g[H{sub 2}] h{sup -1} and 577 g [H{sub 2}] kWh{sup -1} of microwave energy absorbed by the plasma, respectively. These parameters are better than our previous results when nitrogen was used as a swirl gas and much better than those typical for other plasma methods of hydrogen production (electron beam, gliding arc, plasmatron).

  15. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  16. Microwave reflectometry for fusion plasma diagnostics

    International Nuclear Information System (INIS)

    1992-01-01

    This document contains a collection of 26 papers on ''Microwave Reflectometry for Fusion Plasma Diagnostics'', presented at the IAEA Technical Committee Meeting of the same name held at the JET Joint Undertaking, Abingdon, United Kingdom, March 4-6, 1992. It contains five papers on the measurement of plasma density profiles, six papers on theory and simulations in support of the development and application of this type of plasma diagnostics, eight papers on the measurement of density transients and fluctuations, and seven on new approaches to reflectometry-based plasma diagnostics. Refs, figs and tabs

  17. Laser diagnostics and modelling of microwave plasmas

    NARCIS (Netherlands)

    Carbone, E.A.D.

    2013-01-01

    Microwave induced plasmas are applied in many fabrication processes such as the deposition of SiO2 for the production of optical fibers and the deposition of Si to make solar cells. To control these deposition processes a good understanding of the plasma kinetics is required. Experimental

  18. Characterization of microwave plasma in a multicusp using 2D emission based tomography: Bessel modes and wave absorption

    Science.gov (United States)

    Rathore, Kavita; Bhattacharjee, Sudeep; Munshi, Prabhat

    2017-06-01

    A tomographic method based on the Fourier transform is used for characterizing a microwave plasma in a multicusp (MC), in order to obtain 2D distribution of plasma emissions, plasma (electron) density (Ne) and temperature (Te). The microwave plasma in the MC is characterized as a function of microwave power, gas pressure, and axial distance. The experimentally obtained 2D emission profiles show that the plasma emissions are generated in a circular ring shape. There are usually two bright rings, one at the plasma core and another near the boundary. The experimental results are validated using a numerical code that solves Maxwell's equations inside a waveguide filled with a plasma in a magnetic field, with collisions included. It is inferred that the dark and bright circular ring patterns are a result of superposition of Bessel modes (TE11 and TE21) of the wave electric field inside the plasma filled MC, which are in reasonable agreement with the plasma emission profiles. The tomographically obtained Ne and Te profiles indicate higher densities in the plasma core (˜1010 cm-3) and enhanced electron temperature in the ECR region (˜13 eV), which are in agreement with earlier results using a Langmuir probe and optical emission spectroscopy (OES) diagnostics.

  19. Physical-morphological and chemical changes leading to an increase in adhesion between plasma treated polyester fibres and a rubber matrix

    International Nuclear Information System (INIS)

    Krump, H.; Hudec, I.; Jasso, M.; Dayss, E.; Luyt, A.S.

    2006-01-01

    The effects of plasma treatment, used to increase adhesion strength between poly(ethylene terephtalate) (PET) fibres and a rubber matrix, were investigated and compared. Morphological changes as a result of atmospheric plasma treatment were observed using scanning electron microscopy (SEM) and atomic force microscopy (AFM). Wettability analysis using a surface energy evaluation system (SEE system) suggested that the plasma treated fibre was more wetting towards a polar liquid. When treated, these fibres showed a new lamellar crystallization, as shown by a new melting peak using differential scanning calorimetry (DSC). X-ray photoelectron spectroscopy (XPS) has been used to study the chemical effect of inert (argon), active and reactive (nitrogen and oxygen) microwave-plasma treatments of a PET surface. Reactive oxygen plasma treatment by a de-convolution method shows new chemical species that drastically alter the chemical reactivity of the PET surface. These studies have also shown that the surface population of chemical species formed after microwave-plasma treatment is dependent on the plasma gas. All these changes cause better adhesion strength of the PET fibres to the rubber matrix

  20. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  1. The effect of plasma density profile on the backscatter of microwaves from a plasma-covered plane conductor

    International Nuclear Information System (INIS)

    Destler, W.W.; Singh, A.; Rodgers, J.

    1993-01-01

    In order to gain further insight into the mechanism of anomalous absorption of microwaves in a pulsed plasma column, the latter was studied using single and double Langmuir probes. Graphs of plasma potential recorded by floating Langmuir probes as a function of time were obtained for a range of pressure of the background gas and at different distances from the plasma-covered plane-conducting plate. From this data, two main components of the plasma have been identified. The first appears earlier, exhibits greater fluctuations and is shorter in duration than the second component. The presence of these two plasma components is consistent with earlier observations obtained from transverse transmission measurements of microwaves through the plasma. Variations in the envelopes of these two components as experimental conditions are changed will be presented. Microwave backscatter measurements under varying conditions of plasma-density profile and ambient gas pressure will also be presented

  2. XUV laser-produced plasma sheet beam and microwave agile mirror

    International Nuclear Information System (INIS)

    Shen, W.; Scharer, J.E.; Porter, B.; Lam, N.T.

    1994-01-01

    An excimer-laser (λ = 193 nm) produced plasma in an organic gas (TMAE) has been generated and studied. These studies have determined the ion-electron recombination coefficient and the photon absorption cross-section, of the neutral gas. The dependences of wave transmission, reflection and absorption on plasma density are obtained. A new optical system with an array of cylindrical XUV coated lenses has been implemented to form a plasma sheet to study its usage as agile mirror microwave reflector. The lens system expands the incident laser beam in X direction and compresses it in Y direction to form a sheet beam. The expanded beam then passes through a vacuum chamber filled with TMAE at 50--500 nTorr to produce the plasma sheet. Space-time measurements of the plasma density and temperature as measured by a Langmuir probe are presented. XUV optical measurements of the laser beam as measured by a photodiode are presented. Initial experiments have generated a plasma sheet of 5--10 mm x 11 cm with peak plasma density of 5 x 10 13 cm -3 . A microwave source will be utilized to study the agile mirror character of the plasma sheet. Modeling of the microwave reflection from the plasma profile will also be discussed

  3. Microwave plasma source having improved switching operation from plasma ignition phase to normal ion extraction phase

    International Nuclear Information System (INIS)

    Sakudo, N.; Abe, K.; Koike, H.; Okada, O.; Tokiguchi, K.

    1985-01-01

    In a microwave plasma source, a discharge space supplied with a microwave electric field is supplied with a DC magnetic field. A material to be ionized is introduced into the discharge space to produce plasma, whereby ions are extracted through an ion extracting system. A switch is provided for effecting through switching operation the change-over of the magnetic field applied to the discharge space from the intensity for the ignition of plasma to the intensity for ion extraction in succession to completion of the plasma ignition

  4. Microwave-enhanced pyrolysis of natural algae from water blooms.

    Science.gov (United States)

    Zhang, Rui; Li, Linling; Tong, Dongmei; Hu, Changwei

    2016-07-01

    Microwave-enhanced pyrolysis (MEP) of natural algae under different reaction conditions was carried out. The optimal conditions for bio-oil production were the following: algae particle size of 20-5 mesh, microwave power of 600W, and 10% of activated carbon as microwave absorber and catalyst. The maximum liquid yield obtained under N2, 10% H2/Ar, and CO2 atmosphere was 49.1%, 51.7%, and 54.3% respectively. The energy yield of bio-products was 216.7%, 236.9% and 208.7% respectively. More long chain fatty acids were converted into hydrocarbons by hydrodeoxygenation under 10% H2/Ar atmosphere assisted by microwave over activated carbon containing small amounts of metals. Under CO2 atmosphere, carboxylic acids (66.6%) were the main products in bio-oil because the existence of CO2 vastly inhibited the decarboxylation. The MEP of algae was quick and efficient for bio-oil production, which provided a way to not only ameliorate the environment but also obtain fuel or chemicals at the same time. Copyright © 2016 Elsevier Ltd. All rights reserved.

  5. Buckyball microwave plasmas: Fragmentation and diamond-film growth

    International Nuclear Information System (INIS)

    Gruen, D.M.; Liu, Shengzhong; Krauss, A.R.; Pan, Xianzheng.

    1993-08-01

    Microwave discharges (2.45 GHz) have been generated in C 60 -containing Ar produced by flowing Ar over fullerene-containing soot. Optical spectroscopy shows that the spectrum is dominated by the d 3 Πg-a 3 Πu Swan bands of C 2 and particularly the Δv = -2, -1, 0, +1, and +2 sequences. These results give direct evidence that C 2 is one of the products of C 60 fragmentation brought about, at least in part, by collisionally induced dissociation (CID). C 60 has been used as a precursor in a plasma-enhanced chemical vapor deposition (PECVD) experiment to grow diamond-thin films. The films, grown in an Ar/H 2 gas mixture (0.14% carbon content, 100 Torr, 20 sccm Ar, 4 sccm H 2 , 1500 W, 850 degree C substrate temperature), were characterized with SEM, XRD, and Raman spectroscopy. Growth rate was found to be ∼ 0.6 μ/hr. Assuming a linear dependence on carbon concentration, a growth rate at least six times higher than commonly observed using methane as a precursor, would be predicted at a carbon content of 1% based on C 60 . Energetic and mechanistic arguments are advanced to rationalize this result based on C 2 as the growth species

  6. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  7. Control of plasma profile in microwave discharges via inverse-problem approach

    Directory of Open Access Journals (Sweden)

    Yasuyoshi Yasaka

    2013-12-01

    Full Text Available In the manufacturing process of semiconductors, plasma processing is an essential technology, and the plasma used in the process is required to be of high density, low temperature, large diameter, and high uniformity. This research focuses on the microwave-excited plasma that meets these needs, and the research target is a spatial profile control. Two novel techniques are introduced to control the uniformity; one is a segmented slot antenna that can change radial distribution of the radiated field during operation, and the other is a hyper simulator that can predict microwave power distribution necessary for a desired radial density profile. The control system including these techniques provides a method of controlling radial profiles of the microwave plasma via inverse-problem approach, and is investigated numerically and experimentally.

  8. Microporous Ni@NiO nanoparticles prepared by chemically dealloying Al_3Ni_2@Al nanoparticles as a high microwave absorption material

    International Nuclear Information System (INIS)

    Pang, Yu; Xie, Xiubo; Li, Da; Chou, Wusheng; Liu, Tong

    2017-01-01

    The Al_3Ni_2@Al nanoparticles (NPs) were prepared from Ni_4_5Al_5_5 master alloy by hydrogen plasma-metal reaction method, and were subsequently dealloyed to produce porous Ni@NiO NPs of 36 nm. The pore size ranges from 0.7 to 1.6 nm, leading to large specific surface area of 69.5 m"2/g and big pore volume of 0.507 cc/g. The saturation magnetization (M_S) and coercivity (H_C) of the microporous Ni@NiO NPs are 11.5 emu/g and 5.2 Oe. They exhibit high microwave absorption performance with a minimum reflection coefficient (RC) of −86.9 dB and an absorption bandwidth of 2.6 GHz (RC≤−10 dB) at thickness of 4.5 mm. The enhanced microwave absorption properties are attributed to the synergistic effect of the magnetic Ni core and dielectric NiO shell, and the micropore architecture. The NPs with micropore morphology and core/shell structure open a new way to modify the microwave absorption performance. - Graphical abstract: The microporous Ni/NiO nanoparticles prepared by chemically dealloying Al_3Ni_2@Al NPs exhibit high microwave absorption intensity (−86.9 dB) and wide absorption bandwidth (2.6 GHz for RC≤−10 dB). - Highlights: • Microporous Ni/NiO nanoparticals were prepared by chemically dealloying method. • They possessed micropores of 0.7–1.6 nm with a surface area of 69.5 m"2/g. • They showed high microwave absorption intensity and wide absorption bandwidth. • Microwave absorption mechanism was explained by micropore and core/shell structures.

  9. Microwaves in organic chemistry and organic chemical

    Directory of Open Access Journals (Sweden)

    Mijin Dušan Ž.

    2005-01-01

    Full Text Available The usual way of applying heat to a chemical reaction is the use of a Bunsen burner, an oil or some other type of bath, or an electric heater. In inorganic chemistry, microwave technology has been used since the late 1970s while it has been implemented in organic chemistry since the mid-1980s. Microwave heating has been used in the food industry for almost fifty years. The shorter reaction times and expanded reaction range that is offered by microwave technology are suited to the increased demands in industry. For example, there is a requirement in the pharmaceutical industry for a higher number of a novel chemical entities to be produced, which requires chemists to employ a number of resources to reduce time for the production of compounds. Also, microwaves are used in the food industry, as well as in the pyrolysis of waste materials, sample preparation, the solvent extraction of natural products and the hydrolysis of proteins and peptides.

  10. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  11. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  12. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  13. Review of research and development on the microwave-plasma electrothermal rocket

    Energy Technology Data Exchange (ETDEWEB)

    Hawley, M.C.; Asmussen, J.; Filpus, J.W.; Frasch, L.L.; Whitehair, S.

    1987-01-01

    The microwave-plasma electrothermal rocket (MWPETR) shows promise for spacecraft propulsion and maneuvering, without some of the drawbacks of competitive electric propulsion systems. In the MWPETR, the electric power is first converted to microwave-frequency radiation. In a specially-designed microwave cavity system, the electromagnetic energy of the radiation is transferred to the electrons in a plasma sustained in the working fluid. The resulting high-energy electrons transfer their energy to the atoms and molecules of the working fluid by collisions. The working fluid, thus heated, expands through a nozzle to generate thrust. In the MWPETR, no electrodes are in contact with the working fluid, the energy is transferred into the working fluid by nonthermal mechanisms, and the main requirement for the materials of construction is that the walls of the plasma chamber be insulating and transparent to microwave radiation at operating conditions. In this survey of work on the MWPETR, several experimental configurations are described and compared. Diagnostic methods used in the study are described and compared, including titration, spectroscopy, calorimetry, electric field measurements, gas-dynamic methods, and thrust measurements. Measured and estimated performance efficiencies are reported. Results of computer modeling of the plasma and of the gas flowing from the plasma are summarized. 32 references.

  14. Experimental studies of microwave interaction with a plasma-covered planar conducting surface

    International Nuclear Information System (INIS)

    Destler, W.W.; Rodgers, J.; DeGrange, J.E.; Segalov, Z.

    1990-01-01

    The authors present experimental studies of the reflection and absorption of microwave radiation from a plasma-covered planar conducting surface. In the experiments, microwave radiation from both highpower, short pulse (10 GHz, 100 MW, 30 ns) and low power (10 GHz, 10 mW, CW) sources is radiated at a 30 cm diameter conducting plate. A time-varying plasma is created on the surface of the conductor by 19 coaxial plasma guns embedded in the surface of the plate and discharged using a fast-rise capacitor bank. The plasma density distribution on the conducting surface is a function of time and the charging voltage on the capacitor bank. Incident and reflected microwave radiation has been measured for a wide variety of experimental conditions

  15. Forming method of a functional layer-built film by micro-wave plasma CVD

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Keishi

    1988-11-18

    In forming an amorphous semi-conductor material film, the micro-wave plasma CVD cannot be generally used because of such demerits as film-separation, low yield, columnar structure in the film, and problems in the optical and electrical properties. In this invention, a specific substrate is placed in a layer-built film forming unit which is capable of maintaining vacuum; raw material gas for the film formation is introduced; plasma is generated by a micro-wave energy to decompose the raw material gas, thus forming the layer-built film on the substarte. Then a film is made by adding a specific amount of calcoganide-containing gas to the raw material gas. By this, the utilization efficiency of the raw material gas gets roughly 100% and both the adhesion to the substrate and the structural flexibility of the layer-built film increase, enhancing the yield of forming various functional elements (sensor, solar cell, thin transistor film, etc.), and thus greatly reducing the production cost. 6 figs., 7 tabs.

  16. Microwave assisted chemical vapor infiltration

    International Nuclear Information System (INIS)

    Devlin, D.J.; Currier, R.P.; Barbero, R.S.; Espinoza, B.F.; Elliott, N.

    1991-01-01

    A microwave assisted process for production of continuous fiber reinforced ceramic matrix composites is described. A simple apparatus combining a chemical vapor infiltration reactor with a conventional 700 W multimode oven is described. Microwave induced inverted thermal gradients are exploited with the ultimate goal of reducing processing times on complex shapes. Thermal gradients in stacks of SiC (Nicalon) cloths have been measured using optical thermometry. Initial results on the ''inside out'' deposition of SiC via decomposition of methyltrichlorosilane in hydrogen are presented. Several key processing issues are identified and discussed. 5 refs

  17. TRANSMISSION AND ABSORPTION OF MICROWAVES BY AN INHOMOGENEOUS SPHERE PLASMA

    Institute of Scientific and Technical Information of China (English)

    SONG Falun; CAO Jinxiang; WANG Ge

    2004-01-01

    The numerical calculation of the transmission and absorption of microwaves at an arbitrarily incident angle to the inhomogeneous spherically symmetric plasma is presented.The nonuniform sphere is modeled by a series of concentric spherical shells, and the electron density is constant in each shell. The overall density profile follows any given distribution function. By using the geometrical optics approximation and considering the propagation coefficient is complex, as well as the attenuation and phase coefficients are vectors, the detailed evaluation shows that the transmission and absorption of microwaves in the inhomogeneous spherically symmetric plasma depend on the electron and neutral particle collision frequency, central density, incident angle of the microwaves and density distribution profiles.

  18. LiF enhanced nucleation of the low temperature microcrystalline silicon prepared by plasma enhanced chemical vapour deposition

    Czech Academy of Sciences Publication Activity Database

    Stuchlík, Jiří; Ledinský, Martin; Honda, Shinya; Drbohlav, Ivo; Mates, Tomáš; Fejfar, Antonín; Hruška, Karel; Stuchlíková, The-Ha; Kočka, Jan

    2009-01-01

    Roč. 517, č. 24 (2009), s. 6829-6832 ISSN 0040-6090 R&D Projects: GA AV ČR KAN400100701; GA ČR(CZ) GD202/05/H003; GA MŠk LC510; GA AV ČR IAA1010413 Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous hydrogenated silicon * atomic force microscopy * plasma-enhanced chemical vapour deposition, * nucleation * Raman scattering * lithium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.727, year: 2009

  19. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  20. Ground penetrating radar using a microwave radiated from laser-induced plasma

    Energy Technology Data Exchange (ETDEWEB)

    Nakajima, H; Tanaka, K A [Graduate School of Engineering and Institute of Laser Engineering, Suita, Osaka University (Japan); Yamaura, M; Shimada, Y; Fujita, M [Institute for Laser Technology, Suita, Osaka (Japan)], E-mail: nakajima-h@ile.osaka-u.ac.jp

    2008-05-01

    A plasma column radiates a microwave to surroundings when generated with laser irradiation. Using such a microwave, we are able to survey underground objects and architectures from a remote place. In this paper, the microwave radiated from a plasma column induced by an intense laser ({approx} 10{sup 9} W/cm{sup 2}) were measured. Additionally, a proof test of this method was performed by searching an underground aluminum disk (26 cm in diameter, 1 cm in depth, and 1 m apart from a receiving antenna). As the result, the characteristics of the radiated microwave were clarified, and strong echoes corresponding to the edges of an aluminum disk were found. Based on these results, the feasibility of a ground penetrating radar was verified.

  1. Microwave Production of Steady State Large Volume Air Plasmas

    National Research Council Canada - National Science Library

    Brandenburg, John

    1999-01-01

    ...) and these plasmas persist for hundreds of milliseconds after power is turned off. These plasmas can be made in an inexpensive and easy to build apparatus based around a microwave oven operating at approximately 1kW and 2.45GHz...

  2. Thermo-Chemical Conversion of Microwave Activated Biomass Mixtures

    Science.gov (United States)

    Barmina, I.; Kolmickovs, A.; Valdmanis, R.; Vostrikovs, S.; Zake, M.

    2018-05-01

    Thermo-chemical conversion of microwave activated wheat straw mixtures with wood or peat pellets is studied experimentally with the aim to provide more effective application of wheat straw for heat energy production. Microwave pre-processing of straw pellets is used to provide a partial decomposition of the main constituents of straw and to activate the thermo-chemical conversion of wheat straw mixtures with wood or peat pellets. The experimental study includes complex measurements of the elemental composition of biomass pellets (wheat straw, wood, peat), DTG analysis of their thermal degradation, FTIR analysis of the composition of combustible volatiles entering the combustor, the flame temperature, the heat output of the device and composition of the products by comparing these characteristics for mixtures with unprocessed and mw pre-treated straw pellets. The results of experimental study confirm that mw pre-processing of straw activates the thermal decomposition of mixtures providing enhanced formation of combustible volatiles. This leads to improvement of the combustion conditions in the flame reaction zone, completing thus the combustion of volatiles, increasing the flame temperature, the heat output from the device, the produced heat energy per mass of burned mixture and decreasing at the same time the mass fraction of unburned volatiles in the products.

  3. Microwave Excitation In ECRIS plasmas

    International Nuclear Information System (INIS)

    Ciavola, G.; Celona, L.; Consoli, F.; Gammino, S.; Maimone, F.; Barbarino, S.; Catalano, R. S.; Mascali, D.; Tumino, L.

    2007-01-01

    A number of phenomena related to the electron cyclotron resonance ion sources (ECRIS) has been better understood recently by means of the improvement of comprehension of the coupling mechanism between microwave generators and ECR plasma. In particular, the two frequency heating and the frequency tuning effect, that permit a remarkable increase of the current for the highest charge states ions, can be explained in terms of modes excitation in the cylindrical cavity of the plasma chamber. Calculations based on this theoretical approach have been performed, and the major results will be presented. It will be shown that the electric field pattern completely changes for a few MHz frequency variations and the changes in ECRIS performances can be correlated to the efficiency of the power transfer between electromagnetic field and plasma

  4. Eigenmodes of a microwave cavity partially filled with an anisotropic hot plasma

    International Nuclear Information System (INIS)

    Shoucri, M.M.; Gagne, R.R.J.

    1978-01-01

    The eigenmodes of a microwave cavity, which contains a uniform hot plasma with anisotropic temperature, are determined using the linearized fluid equations together with Maxwell's equations. Conditions are discussed under which hot plasma mode and the cold plasma mode are decoupled. The frequency shift of the microwave cavity is calculated and the theoretical results are shown to be in very good qualitative agreement with published experimental results obtained for the TM 010 mode. (author)

  5. Industrial applications of plasma, microwave and ultrasound techniques : nitrogen-fixation and hydrogenation reactions

    NARCIS (Netherlands)

    Hessel, V.; Cravotto, G.; Fitzpatrick, P.; Patil, B.S.; Lang, J.; Bonrath, W.

    2013-01-01

    The MAPSYN project (Microwave, Acoustic and Plasma assisted SYNtheses) aims at nitrogen-fixation reactions intensified by plasma catalysis and selective hydrogenations intensified by microwaves, possibly assisted by ultrasound. Energy efficiency is the key motif of the project and the call of the

  6. Electrical Characteristics of Carbon Nanotubes by Plasma and Microwave Surface Treatments

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Sangjin; Lee, Soonbo; Boo, Jinhyo [Sungkyunkwan Univ., Suwon (Korea, Republic of); Shrestha, Shankar Prasad [Tribhuvan Univ., Kathmandu (Nepal)

    2014-03-15

    The plasma and microwave surface treatments of carbon nanotubes that loaded on plastic substrates were carried out with expecting a change of carbon nanotube dispersion by increasing treatment time. The microwave treatment process was undergone by commercial microwave oven (800 W). The electrical property was measured by hall measurement and resistance was increased by increasing O{sub 2} flow rate of plasma, suggesting an improvement of carbon nanotube dispersion and a possibility of controlling the resistances of carbon nanotubes by plasma surface treatment. The resistance was increased in both polyethylene terephthalate and polyimide substrates by increasing O{sub 2} flow rate. Resistance changes only slightly with different O{sub 2} flow treatment in measure rho for all polyimide samples. Sheet resistance is lowest in polyimide substrate not due to high carbon nanotube loading but due to tendency to remain in elongated structure. O{sub 2} or N{sub 2} plasma treatments on both polyethylene terephthalate and polyimide substrates lead to increase in sheet resistance.

  7. Electrical Characteristics of Carbon Nanotubes by Plasma and Microwave Surface Treatments

    International Nuclear Information System (INIS)

    Cho, Sangjin; Lee, Soonbo; Boo, Jinhyo; Shrestha, Shankar Prasad

    2014-01-01

    The plasma and microwave surface treatments of carbon nanotubes that loaded on plastic substrates were carried out with expecting a change of carbon nanotube dispersion by increasing treatment time. The microwave treatment process was undergone by commercial microwave oven (800 W). The electrical property was measured by hall measurement and resistance was increased by increasing O 2 flow rate of plasma, suggesting an improvement of carbon nanotube dispersion and a possibility of controlling the resistances of carbon nanotubes by plasma surface treatment. The resistance was increased in both polyethylene terephthalate and polyimide substrates by increasing O 2 flow rate. Resistance changes only slightly with different O 2 flow treatment in measure rho for all polyimide samples. Sheet resistance is lowest in polyimide substrate not due to high carbon nanotube loading but due to tendency to remain in elongated structure. O 2 or N 2 plasma treatments on both polyethylene terephthalate and polyimide substrates lead to increase in sheet resistance

  8. Growth and characterization of nanodiamond layers prepared using the plasma-enhanced linear antennas microwave CVD system

    Energy Technology Data Exchange (ETDEWEB)

    Fendrych, Frantisek; Taylor, Andrew; Peksa, Ladislav; Kratochvilova, Irena; Kluiber, Zdenek; Fekete, Ladislav [Institute of Physics, Academy of Sciences of the Czech Republic, v.v.i, Na Slovance 2, CZ-18221 Prague 8 (Czech Republic); Vlcek, Jan [Department of Physics and Measurement, Institute of Chemical Technology Prague, Technicka 5, CZ-16628 Prague 6 (Czech Republic); Rezacova, Vladimira; Petrak, Vaclav [Faculty of Biomedical Engineering, Czech Technical University, Sitna 3105, CZ-27201 Kladno 2 (Czech Republic); Liehr, Michael [Leybold Optics Dresden GmbH, Zur Wetterwarte 50, D-01109 Dresden (Germany); Nesladek, Milos, E-mail: fendrych@fzu.c [IMOMEC division, IMEC, Institute for Materials Research, University Hasselt, Wetenschapspark 1, B-3590 Diepenbeek (Belgium)

    2010-09-22

    Industrial applications of plasma-enhanced chemical vapour deposition (CVD) diamond grown on large area substrates, 3D shapes, at low substrate temperatures and on standard engineering substrate materials require novel plasma concepts. Based on the pioneering work of the group at AIST in Japan, the high-density coaxial delivery type of plasmas has been explored (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). However, an important challenge is to obtain commercially interesting growth rates at very low substrate temperatures. In this work we introduce the concept of novel linear antenna sources, designed at Leybold Optics Dresden, using high-frequency pulsed MW discharge with a high plasma density. This type of pulse discharges leads to the preparation of nanocrystalline diamond (NCD) thin films, compared with ultra-NCD thin films prepared in (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). We present optical emission spectroscopy data for the CH{sub 4}-CO{sub 2}-H{sub 2} gas chemistry and we discuss the basic properties of the NCD films grown.

  9. Synthesis of N-graphene using microwave plasma-based methods

    Science.gov (United States)

    Dias, Ana; Tatarova, Elena; Henriques, Julio; Dias, Francisco; Felizardo, Edgar; Abrashev, Miroslav; Bundaleski, Nenad; Cvelbar, Uros

    2016-09-01

    In this work a microwave atmospheric plasma driven by surface waves is used to produce free-standing graphene sheets (FSG). Carbonaceous precursors are injected into a microwave plasma environment, where decomposition processes take place. The transport of plasma generated gas-phase carbon atoms and molecules into colder zones of plasma reactor results in carbon nuclei formation. The main part of the solid carbon is gradually carried from the ``hot'' plasma zone into the outlet plasma stream where carbon nanostructures assemble and grow. Subsequently, the graphene sheets have been N-doped using a N2-Ar large-scale remote plasma treatment, which consists on placing the FSG on a substrate in a remote zone of the N2-Ar plasma. The samples were treated with different compositions of N2-Ar gas mixtures, while maintaining 1 mbar pressure in the chamber and a power applied of 600 W. The N-doped graphene sheets were characterized by scanning and by high-resolution transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Plasma characterization was also performed by optical emission spectroscopy. Work partially funded by Portuguese FCT - Fundacao para a Ciencia e a Tecnologia, under grant SFRH/BD/52413/2013 (PD-F APPLAuSE).

  10. Dynamic of ozone formation in nanosecond microwave discharges

    International Nuclear Information System (INIS)

    Akhmedzhanov, R.A.; Vikharev, A.L.; Gorbachev, A.M.

    1995-01-01

    Nanosecond gas discharges are efficient sources of chemically active plasma. Studies of the nanosecond microwave discharge are interesting for remote modification of the chemical composition of the atmosphere in term of its purification, for diagnostics of impurities and ozone replenishment in the regions of local open-quotes ozone holesclose quotes. In this connection a study of plasma chemical processes in such a discharge seems appropriate, as well as modeling of ecological consequences of the effect of powerful microwave radiation on the atmosphere. The present paper contains generalized results of studying the process of ozone formation in a pulse-periodic freely localized nanosecond microwave discharge. The experiments were performed in a wide range of parameters: microwave radiation wavelength λ = 0.8 and 3cm, pulse duration τ = 6 and 500ns, pulse power P = 50kW and 20MW, pulse repetition rate F = 1-10 3 Hz. The working gases were air and oxygen under pressure P = 10-100Torr. As a source of the microwave radiation a pulse magnetron was used with a device for pulse compression based on the waveguide resonator, and a relativistic microwave generator. The discharge was produced in the focus of the parabolic mirror and had the form of homogeneous cylinder. The plasma chemical processes were studied in two cases. The discharge was created either in the quartz tube placed along the focal line of the mirror or in the free air. Dynamics of formation of ozone and nitrogen oxides in the discharge was studied by means of absorption spectroscopy in the regime of accumulation of the products of chemical reactions (in a closed volume) and their diffusion spreading

  11. Spark plasma sintering and microwave electromagnetic properties of MnFe{sub 2}O{sub 4} ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Penchal Reddy, M., E-mail: drlpenchal@gmail.com [Center for Advanced Materials, Qatar University, Doha 2713 (Qatar); Mohamed, A.M.A. [Center for Advanced Materials, Qatar University, Doha 2713 (Qatar); Department of Metallurgical and Materials Engineering, Faculty of Petroleum and Mining Engineering, Suez University, Suez 4372 (Egypt); Venkata Ramana, M. [Department of Physics, National Taiwan Normal University, Taipei 11677, Taiwan (China); Zhou, X.B.; Huang, Q. [Division of Functional Materials and Nanodevices, Ningbo Institute of Materials Technology and Engineering, Ningbo 315201 (China)

    2015-12-01

    MnFe{sub 2}O{sub 4} ferrite powder was synthesized by a facile one-pot hydrothermal route and then consolidated into dense nanostructured compacts by the spark plasma sintering (SPS) technique. The effect of sintering temperature, on densification, morphology, magnetic and microwave absorption properties was examined. Spark plasma sintering resulted in uniform microstructure, as well as maximum relative density of 98%. The magnetic analysis indicated that the MnFe{sub 2}O{sub 4} ferrite nanoparticles showed ferrimagnetic behavior. Moreover, the dielectric loss and magnetic loss properties of MnFe{sub 2}O{sub 4} ferrite nanoparticles were both enhanced due to its better dipole polarization, interfacial polarization and shape anisotropy. It is believed that such spark plasma sintered ceramic material will be applied widely in microwave absorbing area. - Highlights: • Successful synthesis of dense MnFe{sub 2}O{sub 4} ceramics using spark plasma sintering. • Lower temperature and shorter sintering time, compared to conventional methods. • Optimal sintering condition was achieved. • The magnetic properties of the sintered samples are sensitive to the density and microstructure.

  12. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  13. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  14. Single-shot Thomson scattering on argon plasmas created by the Microwave Plasma Torch; evidence for a new plasma class

    NARCIS (Netherlands)

    Mullen, van der J.J.A.M.; Sande, van de M.J.; Vries, de N.; Broks, B.H.P.; Iordanova, E.I.; Gamero, A.; Torres, J.; Sola, A.

    2007-01-01

    To determine the fine-structure size of plasmas created by a Microwave Plasma Torch (MPT), single-shot Thomson scattering (TS) measurements were performed. The aim was to find a solution for the long-standing discrepancy between experiments and Global Plasma Models (GPMs). Since these GPMs are based

  15. Beam-plasma generators of stochastic microwave oscillations using for plasma heating in fusion and plasma-chemistry devices and ionospheric investigations

    Energy Technology Data Exchange (ETDEWEB)

    Mitin, L A; Perevodchikov, V I; Shapiro, A L; Zavyalov, M A [All-Russian Electrotechnical Inst., Moscow (Russian Federation); Bliokh, Yu P; Fajnberg, Ya B [Kharkov Inst. of Physics and Technology (Russian Federation)

    1997-12-31

    The results of theoretical and experimental investigations of a generator of stochastic microwave power based on a beam-plasma inertial feedback amplifier is discussed with a view to using stochastic oscillations for plasma heating. The plasma heating efficiency in the region of low-frequency resonance in the geometry of the Tokamak is considered theoretically. It is shown that the temperature of heating is proportional to the power multiplied by the spectra width of the noiselike signal. The creation and heating of plasma by stochastic microwave power in an oversized waveguide without external magnetic field is discussed with a view to plasma-chemistry applications. It is shown that the efficiency of heating are defined by the time of phase instability of the stochastic power. (author). 3 figs., 13 refs.

  16. Microwave simulation of laser plasma interactions. Final report

    International Nuclear Information System (INIS)

    1977-01-01

    Various electron and ion current, electric field, and magnetic field probes were developed and tested during the course of the investigation. A three dimensional probe drive system was constructed in order to investigate two and three dimensional phenomena occurring in the microwave plasma interaction. In most of the experiments reported here, a 1 GHz, 40 kilowatt, pulsed rf source (Applied Microwave), was used. The antenna was a 20 0 horn. A dipole fed parabolic antenna system capable of producing a focussed microwave beam at 2.3 GHz was developed and bench tested. This system will be used in future investigations at higher power levels

  17. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  18. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  19. Diagnosis of Unmagnetized Plasma Electron Number Density and Electron-neutral Collision Frequency by Using Microwave

    International Nuclear Information System (INIS)

    Yuan Zhongcai; Shi Jiaming; Xu Bo

    2005-01-01

    The plasma diagnostic method using the transmission attenuation of microwaves at double frequencies (PDMUTAMDF) indicates that the frequency and the electron-neutral collision frequency of the plasma can be deduced by utilizing the transmission attenuation of microwaves at two neighboring frequencies in a non-magnetized plasma. Then the electron density can be obtained from the plasma frequency. The PDMUTAMDF is a simple method to diagnose the plasma indirectly. In this paper, the interaction of electromagnetic waves and the plasma is analyzed. Then, based on the attenuation and the phase shift of a microwave in the plasma, the principle of the PDMUTAMDF is presented. With the diagnostic method, the spatially mean electron density and electron collision frequency of the plasma can be obtained. This method is suitable for the elementary diagnosis of the atmospheric-pressure plasma

  20. Resonant and Ground Experimental Study on the Microwave Plasma Thruster

    Science.gov (United States)

    Yang, Juan; He, Hongqing; Mao, Genwang; Qu, Kun; Tang, Jinlan; Han, Xianwei

    2002-01-01

    chemistry. Therefore, the application of EP for the attitude control and station keeping of satellite, the propulsion of deep space exploration craft allows to reduce substantially the mass of on-board propellant and the launching cost. The EP research is now receiving high interest everywhere. microwave generating subsystem, the propellant supplying subsystem and the resonator (the thruster). Its principle is that the magnetron of the microwave generating subsystem transfers electric energy into microwave energy at given frequency which is introduced into a resonant cavity. Microwave will resonate within the cavity when it is adjusted. When the propellant gas (N2, Ar, He, NH3 or H2) is put into the cavity and coupled with microwave energy at the maximal electric intensity place, it will be broken down to form free-floating plasma, which flows from nozzle with high speed to produce thrust. Its characteristic is high efficiency, simple power supply and without electrode ablation, its specific impulse is greater than arcjet. 2450MHz, have been developed. The microwave generating subsystem and resonator of lower power MPT, 70-200W, are coaxial. The resonator with TEM resonating mode is section of coaxial wave-guide, of which one end is shorted, another is semi-opened. The maximal electric intensity field is in the lumped capacity formed between the end surface of inner conductor, retracting in the cavity, and the semi-opened surface of outer conductor. It provides favorable condition for gas breakdown. The microwave generating system and resonator of middle power MPT, 500-1,000W, are wave-guide cavity. The resonator with TM011 resonating mode is cylinder wave-guide cavity, of which two end surface are shorted. The distribution of electromagnetic field is axial symmetry, its maximal electric intensity field locates on the axis and closes to the exit of nozzle, where the propellant gas is breakdown to form free floating plasma. The plasma is free from the wall of

  1. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  2. Microwave Enhanced Cotunneling in SET Transistors

    DEFF Research Database (Denmark)

    Manscher, Martin; Savolainen, M.; Mygind, Jesper

    2003-01-01

    Cotunneling in single electron tunneling (SET) devices is an error process which may severely limit their electronic and metrologic applications. Here is presented an experimental investigation of the theory for adiabatic enhancement of cotunneling by coherent microwaves. Cotunneling in SET...... transistors has been measured as function of temperature, gate voltage, frequency, and applied microwave power. At low temperatures and applied power levels, including also sequential tunneling, the results can be made consistent with theory using the unknown damping in the microwave line as the only free...

  3. DECOMPOSITION OF TARS IN MICROWAVE PLASMA – PRELIMINARY RESULTS

    Directory of Open Access Journals (Sweden)

    Mateusz Wnukowski

    2014-07-01

    Full Text Available The paper refers to the main problem connected with biomass gasification - a presence of tar in a product gas. This paper presents preliminary results of tar decomposition in a microwave plasma reactor. It gives a basic insight into the construction and work of the plasma reactor. During the experiment, researches were carried out on toluene as a tar surrogate. As a carrier gas for toluene and as a plasma agent, nitrogen was used. Flow rates of the gases and the microwave generator’s power were constant during the whole experiment. Results of the experiment showed that the decomposition process of toluene was effective because the decomposition efficiency attained above 95%. The main products of tar decomposition were light hydrocarbons and soot. The article also gives plans for further research in a matter of tar removal from the product gas.

  4. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  5. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  6. Microwave-enhanced folding and denaturation of globular proteins

    DEFF Research Database (Denmark)

    Bohr, Henrik; Bohr, Jakob

    2000-01-01

    It is shown that microwave irradiation can affect the kinetics of the folding process of some globular proteins, especially beta-lactoglobulin. At low temperature the folding from the cold denatured phase of the protein is enhanced, while at a higher temperature the denaturation of the protein from...... its folded state is enhanced. In the latter case, a negative temperature gradient is needed for the denaturation process, suggesting that the effects of the microwaves are nonthermal. This supports the notion that coherent topological excitations can exist in proteins. The application of microwaves...

  7. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  8. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  9. Low content Ag-coated poly(acrylonitrile) microspheres and graphene for enhanced microwave absorption performance epoxy composites

    Science.gov (United States)

    Zhang, Bin; Wang, Jun; Chen, Xiaocheng; Su, Xiaogang; Zou, Yi; Huo, Siqi; Chen, Wei; Wang, Junpeng

    2018-04-01

    Silver nanoparticles was uniformly anchored on the surface of hollow poly(acrylonitrile) microspheres with a facile chemical method using hydrazine hydrate as reductant. Integrating these conducting hollow spheres (PANS@Ag) with chemical reduced graphene oxide (RGO) dispersed in epoxy resin, a lightweight microwave absorber was successfully prepared with enhanced microwave absorption performance. The chemical constitution and surface morphology of as-synthesized RGO and PANS@Ag powders were characterized by XRD, XPS, FE-SEM and SAED, while the electromagnetic properties of these different proportion PANS@Ag-RGO/EP samples were analyzed through vector network analyzer (VNA). The minimum reflection loss (RL) could reach up to ‑28.1 dB at 8.8 GHz with a layer thickness of 2 mm, and the corresponding effective absorption bandwidth (RL values less than ‑10 dB) was from 7.9 GHz to 9.8 GHz. However, the dosage of PANS@Ag and RGO was merely 3 wt% and 1 wt%, respectively. As the content of PANS@Ag powders decreased to 1 wt%, the PANS@Ag-RGO/EP samples still retained effective microwave absorption performance and the optimal RL was ‑14.7 dB. The density of as-prepared absorbers was in the range of 0.49 ∼ 0.87 g cm‑3. The low content, low density and enhanced microwave absorption performance endow the hybrid composites with competitive application prospect in stealth technology field.

  10. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  11. Synthesis of ammonia with microwave plasma

    International Nuclear Information System (INIS)

    Xu Wenguo; Yu Aimin; Liu Jun; Jin Qinhan

    1991-01-01

    THe synthesis of ammonia absorbed on 13X zeolite with the aid of microwave plasma is described. The ammonia molecule absorbed on 13X zeolite as ammonium ions were detected by IR spectroscopy. The results obtained show that the ammonia synthesis is facilitated by the surface reactions of NH x (x = 1, 2) radicals adsorbed on zeolite with hydrogen atoms

  12. Advancements of microwave diagnostics in magnetically confined plasmas

    NARCIS (Netherlands)

    Mase, A.; Kogi, Y.; Ito, N.; Yokota, Y.; Akaki, K.; Kawahata, K.; Nagayama, Y.; Tokuzawa, T.; Yamaguchi, S.; Hojo, H.; Oyama, N.; N C Luhmann Jr.,; Park, H. K.; Donne, A. J. H.

    2009-01-01

    Microwave to millimeter-wave diagnostic techniques such as interferometry, reflectometry, scattering and radiometry have been powerful tools for diagnosing magnetically confined plasmas. Recent advances in electronic devices and components together with computer technology have enabled the

  13. Kinetic computer modeling of microwave surface-wave plasma production

    International Nuclear Information System (INIS)

    Ganachev, Ivan P.

    2004-01-01

    Kinetic computer plasma modeling occupies an intermediate position between the time consuming rigorous particle dynamic simulation and the fast but rather rough cold- or warm-plasma fluid models. The present paper reviews the kinetic modeling of microwave surface-wave discharges with accent on recent kinetic self-consistent models, where the external input parameters are reduced to the necessary minimum (frequency and intensity of the applied microwave field and pressure and geometry of the discharge vessel). The presentation is limited to low pressures, so that Boltzmann equation is solved in non-local approximation and collisional electron heating is neglected. The numerical results reproduce correctly the bi-Maxwellian electron energy distribution functions observed experimentally. (author)

  14. Realization of high efficiency in a plasma-assisted microwave source with two-dimensional electron motion

    International Nuclear Information System (INIS)

    Shkvarunets, A.G.; Carmel, Y.; Nusinovich, G.S.; Abu-elfadl, T.M.; Rodgers, J.; Antonsen, T.M. Jr.; Granatstein, V.; Goebel, D.M.

    2002-01-01

    Conventional microwave sources utilize a strong axial magnetic field to guide an electron beam through an interaction region. A plasma-assisted slow wave microwave oscillator (Pasotron) can operate without an external magnetic field because the presence of ions neutralizes the space charge in the beam, permits the self-pinch forces to provide beam propagation, and allows for the radial motion of electrons under the action of transverse fields of the wave. While the inherent efficiency of conventional microwave sources with 1D electron flow is limited to 15%-20%, it is shown in this work that both the calculated and measured inherent efficiency of devices with 2D electron flow can be higher than 50%. Both in situ diagnostics and analysis confirmed that the enhanced efficiency is due to the fact that rf forces dominate the beam dynamics

  15. Study on microwave assisted process in chemical extraction

    International Nuclear Information System (INIS)

    Amer Ali; Rosli Mohd Yunus; Ramlan Abd Aziz

    2001-01-01

    The microwave assisted process is a revolutionary method of extraction that reduces the extraction time to as little as a few seconds, with up to a ten-fold decrease in the use of solvents. The target material is immersed in solvent that is transparent to microwaves, so only the target material is heated, and because of the microwaves tend to heat the inside of the material quickly, the target chemical are expelled in a few seconds. benefits from this process include significant reductions in the amount of energy required and substantial reductions in the cost and dispose of hazardous solvents. A thorough review has been displayed on: using the microwave in extraction, applications of microwave in industry, process flow diagram, mechanism of the process and comparison between microwave process and other extraction techniques (soxhlet, steam distillation and supercritical fluid). This review attempts to summarize the studies about microwave assisted process as a very promising technique. (Author)

  16. Bragg scattering of electromagnetic waves by microwave-produced plasma layers

    Science.gov (United States)

    Kuo, S. P.; Zhang, Y. S.

    1990-01-01

    A set of parallel plasma layers is generated by two intersecting microwave pulses in a chamber containing dry air at a pressure comparable to the upper atmosphere. The dependencies of breakdown conditions on the pressure and pulse length are examined. The results are shown to be consistent with the appearance of tail erosion of the microwave pulse caused by air breakdown. A Bragg scattering experiment, using the plasma layers as a Bragg reflector, is then performed. Both time domain and frequency domain measurements of wave scattering are conducted. The experimental results are found to agree very well with the theory.

  17. Microporous Ni@NiO nanoparticles prepared by chemically dealloying Al{sub 3}Ni{sub 2}@Al nanoparticles as a high microwave absorption material

    Energy Technology Data Exchange (ETDEWEB)

    Pang, Yu; Xie, Xiubo; Li, Da [Key Laboratory of Aerospace Materials and Performance (Ministry of Education), School of Materials Science and Engineering, Beihang University, No.37 Xueyuan Road, Beijing 100191 (China); Chou, Wusheng [School of Mechanical Engineering and Automation, Beihang University, Beijing 100191 (China); Liu, Tong, E-mail: tongliu@buaa.edu.cn [Key Laboratory of Aerospace Materials and Performance (Ministry of Education), School of Materials Science and Engineering, Beihang University, No.37 Xueyuan Road, Beijing 100191 (China)

    2017-03-15

    The Al{sub 3}Ni{sub 2}@Al nanoparticles (NPs) were prepared from Ni{sub 45}Al{sub 55} master alloy by hydrogen plasma-metal reaction method, and were subsequently dealloyed to produce porous Ni@NiO NPs of 36 nm. The pore size ranges from 0.7 to 1.6 nm, leading to large specific surface area of 69.5 m{sup 2}/g and big pore volume of 0.507 cc/g. The saturation magnetization (M{sub S}) and coercivity (H{sub C}) of the microporous Ni@NiO NPs are 11.5 emu/g and 5.2 Oe. They exhibit high microwave absorption performance with a minimum reflection coefficient (RC) of −86.9 dB and an absorption bandwidth of 2.6 GHz (RC≤−10 dB) at thickness of 4.5 mm. The enhanced microwave absorption properties are attributed to the synergistic effect of the magnetic Ni core and dielectric NiO shell, and the micropore architecture. The NPs with micropore morphology and core/shell structure open a new way to modify the microwave absorption performance. - Graphical abstract: The microporous Ni/NiO nanoparticles prepared by chemically dealloying Al{sub 3}Ni{sub 2}@Al NPs exhibit high microwave absorption intensity (−86.9 dB) and wide absorption bandwidth (2.6 GHz for RC≤−10 dB). - Highlights: • Microporous Ni/NiO nanoparticals were prepared by chemically dealloying method. • They possessed micropores of 0.7–1.6 nm with a surface area of 69.5 m{sup 2}/g. • They showed high microwave absorption intensity and wide absorption bandwidth. • Microwave absorption mechanism was explained by micropore and core/shell structures.

  18. Microwave induced plasma for solid fuels and waste processing: A review on affecting factors and performance criteria.

    Science.gov (United States)

    Ho, Guan Sem; Faizal, Hasan Mohd; Ani, Farid Nasir

    2017-11-01

    High temperature thermal plasma has a major drawback which consumes high energy. Therefore, non-thermal plasma which uses comparatively lower energy, for instance, microwave plasma is more attractive to be applied in gasification process. Microwave-induced plasma gasification also carries the advantages in terms of simplicity, compactness, lightweight, uniform heating and the ability to operate under atmospheric pressure that gains attention from researchers. The present paper synthesizes the current knowledge available for microwave plasma gasification on solid fuels and waste, specifically on affecting parameters and their performance. The review starts with a brief outline on microwave plasma setup in general, and followed by the effect of various operating parameters on resulting output. Operating parameters including fuel characteristics, fuel injection position, microwave power, addition of steam, oxygen/fuel ratio and plasma working gas flow rate are discussed along with several performance criteria such as resulting syngas composition, efficiency, carbon conversion, and hydrogen production rate. Based on the present review, fuel retention time is found to be the key parameter that influences the gasification performance. Therefore, emphasis on retention time is necessary in order to improve the performance of microwave plasma gasification of solid fuels and wastes. Copyright © 2017 Elsevier Ltd. All rights reserved.

  19. Analysis of the tuning characteristics of microwave plasma source

    International Nuclear Information System (INIS)

    Miotk, Robert; Jasiński, Mariusz; Mizeraczyk, Jerzy

    2016-01-01

    In this paper, we present an analysis of the tuning characteristics of waveguide-supplied metal-cylinder-based nozzleless microwave plasma source. This analysis has enabled to estimate the electron concentration n_e and electron frequency collisions ν in the plasma generated in nitrogen and in a mixture of nitrogen and ethanol vapour. The parameters n_e and ν are the basic quantities that characterize the plasma. The presented new plasma diagnostic method is particularly useful, when spectroscopic methods are useless. The presented plasma source is currently used in research of a hydrogen production from liquids.

  20. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  1. Microwave Technology--Applications in Chemical Synthesis

    Science.gov (United States)

    Microwave heating, being specific and instantaneous, is unique and has found a place for expeditious chemical syntheses. Specifically, the solvent-free reactions are convenient to perform and have advantages over the conventional heating protocols as summarized in the previous se...

  2. Pre-launch simulation experiment of microwave-ionosphere nonlinear interaction rocket experiment in the space plasma chamber

    Energy Technology Data Exchange (ETDEWEB)

    Kaya, N. (Kobe University, Kobe, Japan); Tsutsui, M. (Kyoto University, Uji, Japan); Matsumoto, H. (Kyoto University, Kyoto, Japan)

    1980-09-01

    A pre-flight test experiment of a microwave-ionosphere nonlinear interaction rocket experiment (MINIX) has been carried out in a space plasma simulation chamber. Though the first rocket experiment ended up in failure because of a high voltage trouble, interesting results are observed in the pre-flight experiment. A significant microwave heating of plasma up to 300% temperature increase is observed. Strong excitations of plasma waves by the transmitted microwaves in the VLF and HF range are observed as well. These microwave effects may have to be taken into account in solar power satellite projects in the future.

  3. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  4. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  5. Experimental and numerical studies of microwave-plasma interaction in a MWPECVD reactor

    Directory of Open Access Journals (Sweden)

    A. Massaro

    2016-12-01

    Full Text Available This work deals with and proposes a simple and compact diagnostic method able to characterize the interaction between microwave and plasma without the necessity of using an external diagnostic tool. The interaction between 2.45 GHz microwave and plasma, in a typical ASTeX-type reactor, is investigated from experimental and numerical view points. The experiments are performed by considering plasmas of three different gas mixtures: H2, CH4-H2 and CH4-H2-N2. The two latter are used to deposit synthetic undoped and n-doped diamond films. The experimental setup equipped with a matching network enables the measurements of very low reflected power. The reflected powers show ripples due to the mismatching between wave and plasma impedance. Specifically, the three types of plasma exhibit reflected power values related to the variation of electron-neutral collision frequency among the species by changing the gas mixture. The different gas mixtures studied are also useful to test the sensitivity of the reflected power measurements to the change of plasma composition. By means of a numerical model, only the interaction of microwave and H2 plasma is examined allowing the estimation of plasma and matching network impedances and of reflected power that is found about eighteen times higher than that measured.

  6. Propagation of microwave radiation through an inhomogeneous plasma layer in a magnetic field

    Science.gov (United States)

    Balakirev, B. A.; Bityurin, V. A.; Bocharov, A. N.; Brovkin, V. G.; Vedenin, P. V.; Mashek, I. Ch; Pashchina, A. S.; Pervov, A. Yu; Petrovskiy, V. P.; Ryazanskiy, N. M.; Shkatov, O. Yu

    2018-01-01

    The problem of reliable microwave communication through a plasma sheath has its origin from the beginning of space flights. During reentry of spacecraft, the plasma layer can interrupt the communication. At sufficiently high plasma density, the plasma layer either reflects or attenuates radio wave communications to and from the vehicle. In this work, we present a simple analytical one-dimensional algorithm to study the propagation of electromagnetic (EM) waves through a nonuniform plasma layer in a static nonuniform magnetic field. The experimental study of the EM wave transmission and reflection through plasma layer was carried out on the (i) microwave set and (ii) on the unit using a high-voltage pulsed discharge.

  7. Plasma flame for mass purification of contaminated air with chemical and biological warfare agents

    International Nuclear Information System (INIS)

    Uhm, Han S.; Shin, Dong H.; Hong, Yong C.

    2006-01-01

    An elimination of airborne simulated chemical and biological warfare agents was carried out by making use of a plasma flame made of atmospheric plasma and a fuel-burning flame, which can purify the interior air of a large volume in isolated spaces such as buildings, public transportation systems, and military vehicles. The plasma flame generator consists of a microwave plasma torch connected in series to a fuel injector and a reaction chamber. For example, a reaction chamber, with the dimensions of a 22 cm diameter and 30 cm length, purifies an airflow rate of 5000 lpm contaminated with toluene (the simulated chemical agent) and soot from a diesel engine (the simulated aerosol for biological agents). Large volumes of purification by the plasma flame will free mankind from the threat of airborne warfare agents. The plasma flame may also effectively purify air that is contaminated with volatile organic compounds, in addition to eliminating soot from diesel engines as an environmental application

  8. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  9. Microparticle injection effects on microwave transmission through an overly dense plasma layer

    Energy Technology Data Exchange (ETDEWEB)

    Gillman, Eric D., E-mail: eric.gillman@nrl.navy.mil; Amatucci, W. E. [Naval Research Laboratory, Washington, DC 20375 (United States); Williams, Jeremiah [Wittenberg University, Springfield, Ohio 45501 (United States); Compton, C. S. [Sotera Defense Solutions, Herndon, Virginia 20171 (United States)

    2015-04-15

    Microparticles injected into a plasma have been shown to deplete the free electron population as electrons are collected through the process of microparticles charging to the plasma floating potential. However, these charged microparticles can also act to scatter electromagnetic signals. These experiments investigate microwave penetration through a previously impenetrable overly dense plasma layer as microparticles are injected and the physical phenomena associated with the competing processes that occur due to electron depletion and microwave scattering. The timescales for when each of these competing processes dominates is analyzed in detail. It was found that while both processes play a significant and dominant role at different times, ultimately, transmission through this impenetrable plasma layer can be significantly increased with microparticle injection.

  10. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  11. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  12. Chemical Modifications of Starch: Microwave Effect

    Directory of Open Access Journals (Sweden)

    Kamila Lewicka

    2015-01-01

    Full Text Available This paper presents basic methods of starch chemical modification, the effect of microwave radiation on the modification process, and the physicochemical properties of starch. It has been shown that the modifications contribute to improvement of the material performance and likewise to significant improvement of its mechanical properties. As a result, more and more extensive use of starch is possible in various industries. In addition, methods of oxidized starch and starch esters preparation are discussed. Properties of microwave radiation and its impact on starch (with particular regard to modifications described in literature are characterized.

  13. Analysis of the tuning characteristics of microwave plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Miotk, Robert, E-mail: rmiotk@imp.gda.pl; Jasiński, Mariusz [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-231 Gdańsk (Poland); Mizeraczyk, Jerzy [Department of Marine Electronics, Gdynia Maritime University, Morska 81-87, 81-225 Gdynia (Poland)

    2016-04-15

    In this paper, we present an analysis of the tuning characteristics of waveguide-supplied metal-cylinder-based nozzleless microwave plasma source. This analysis has enabled to estimate the electron concentration n{sub e} and electron frequency collisions ν in the plasma generated in nitrogen and in a mixture of nitrogen and ethanol vapour. The parameters n{sub e} and ν are the basic quantities that characterize the plasma. The presented new plasma diagnostic method is particularly useful, when spectroscopic methods are useless. The presented plasma source is currently used in research of a hydrogen production from liquids.

  14. Impact of aerosol particles on the structure of an atmospheric pressure microwave plasma afterglow

    Energy Technology Data Exchange (ETDEWEB)

    Chen Chunku [Ceramic and Composite Materials Centre, 209 Farris Engineering Centre, University of New Mexico, Albuquerque, NM (United States); Phillips, Jonathan [Los Alamos National Laboratory, MS C930, Los Alamos, NM (United States)

    2002-05-21

    Several novel ceramic processing technologies (e.g. oxide ceramic melting and spheroidization) using an atmospheric pressure microwave plasma torch were recently developed in our lab. Understanding the processes and optimization requires complete characterization of the plasma as a function of operating condition. As a first step, a non-intrusive spectroscopic method was employed to map rotational (gas), electron and excitation temperatures and electron densities of the afterglow region of microwave generated atmospheric plasmas with and without alumina particle aerosol. Two-dimensional spatially resolved mapping of rotational (gas), excitation and electron temperatures and electron densities as a function of operating conditions during material processing were developed. It was shown that the passage of an aerosol dramatically changes the structure of the afterglow. Also the non-equilibrium nature of microwave generated atmospheric argon plasma was confirmed, suggesting that only multi-temperature models are capable of modelling this region of the plasma. (author)

  15. System to continuously produce carbon fiber via microwave assisted plasma processing

    Science.gov (United States)

    White, Terry L; Paulauskas, Felix L; Bigelow, Timothy S

    2014-03-25

    A method for continuously processing carbon fiber including establishing a microwave plasma in a selected atmosphere contained in an elongated chamber having a microwave power gradient along its length defined by a lower microwave power at one end and a higher microwave power at the opposite end of the elongated chamber. The elongated chamber having an opening in each of the ends of the chamber that are adapted to allow the passage of the fiber tow while limiting incidental gas flow into or out of said chamber. A continuous fiber tow is introduced into the end of the chamber having the lower microwave power. The fiber tow is withdrawn from the opposite end of the chamber having the higher microwave power. The fiber to is subjected to progressively higher microwave energy as the fiber is being traversed through the elongated chamber.

  16. Production of hydrogen via methane reforming using atmospheric pressure microwave plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jasinski, Mariusz; Dors, Miroslaw [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-952 Gdansk (Poland); Mizeraczyk, Jerzy [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-952 Gdansk (Poland); Department of Marine Electronics, Gdynia Maritime University, Morska 83, 81-225 Gdynia (Poland)

    2008-06-15

    In this paper, results of hydrogen production via methane reforming in the atmospheric pressure microwave plasma are presented. A waveguide-based nozzleless cylinder-type microwave plasma source (MPS) was used to convert methane into hydrogen. Important advantages of the presented waveguide-based nozzleless cylinder-type MPS are: stable operation in various gases (including air) at high flow rates, no need for a cooling system, and impedance matching. The plasma generation was stabilized by an additional swirled nitrogen flow (50 or 100 l min{sup -1}). The methane flow rate was up to 175 l min{sup -1}. The absorbed microwave power could be changed from 3000 to 5000 W. The hydrogen production rate and the corresponding energy efficiency in the presented methane reforming by the waveguide-based nozzleless cylinder-type MPS were up to 255 g[H{sub 2}] h{sup -1} and 85 g[H{sub 2}] kWh{sup -1}, respectively. These parameters are better than those typical of the conventional methods of hydrogen production (steam reforming of methane and water electrolysis). (author)

  17. Plasma heating and confinement in toroidal magnetic bottle by means of microwave slowing-down structure

    International Nuclear Information System (INIS)

    Datlov, J.; Klima, R.; Kopecky, V.; Musil, J.; Zacek, F.

    1977-01-01

    An invention is described concerning high-frequency plasma heating and confinement in toroidal magnetic vessels. Microwave energy is applied to the plasma via one or more slowing-down structures exciting low phase velocity waves whose energy may be efficiently absorbed by plasma electrons. The wave momentum transfer results in a toroidal electrical current whose magnetic field together with an external magnetic field ensure plasma confinement. The low-frequency modulation of microwave energy may also be used for heating the ion plasma component. (J.U.)

  18. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  19. Synthesis of microporous Ni/NiO nanoparticles with enhanced microwave absorption properties

    International Nuclear Information System (INIS)

    Liu, Tong; Pang, Yu; Xie, Xiubo; Qi, Wen; Wu, Ying; Kobayashi, Satoru; Zheng, Jie; Li, Xingguo

    2016-01-01

    The fabrication of microporous metal materials with many potential applications is challenging due to their high chemical activities and the difficulty in controlling the pore size. By adjusting the reaction condition and the composition of the Ni–Al nanoparticle precursor, we have successfully produced the microporous Ni nanoparticles (NPs) of 22 nm by chemical dealloying method. During the passivation process, the microporous Ni NPs covered with NiO shell are generated as the result of surface oxidation. The micropores range from 0.6 to 1.2 nm in diameter with a large surface area of 68.9 m"2/g. Due to the elimination of Al atoms during dealloying process, the crystalline size of the microporous Ni NPs is sharply decreased to 2–5 nm. The specific architecture offers the microporous Ni/NiO NPs a small microwave reflection coefficient (RC) and a wide absorption bandwidth (RC ≤ −10 dB) of −49.1 dB and 5.8 GHz, much better than the nonporous counterpart of −24.1 dB and 3.7 GHz. The enhanced microwave absorption performance has been interpreted in terms of the micropore structure, core/shell structure and nanostructure effects. - Highlights: • Microporous Ni/NiO nanoparticles are prepared by chemical dealloying method. • They possess micropores of 0.6–1.2 nm with a surface area of 68.9 m"2/g. • They show minimum microwave reflection coefficient of −49.1 dB and bandwidth of 5.8 GHz. • Microwave absorption mechanism is explained by micropore and core/shell structures.

  20. Synthesis of microporous Ni/NiO nanoparticles with enhanced microwave absorption properties

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Tong, E-mail: tongliu@buaa.edu.cn [Key Laboratory of Aerospace Materials and Performance (Ministry of Education), School of Materials Science and Engineering, Beihang University, No.37 Xueyuan Road, Beijing, 100191 (China); Pang, Yu; Xie, Xiubo [Key Laboratory of Aerospace Materials and Performance (Ministry of Education), School of Materials Science and Engineering, Beihang University, No.37 Xueyuan Road, Beijing, 100191 (China); Qi, Wen; Wu, Ying [China Iron & Steel Research Institute Group, Advanced Technology & Materials Co., Ltd, No.76 Xueyuannanlu, Haidian District, Beijing, 100081 (China); Kobayashi, Satoru [Faculty of Engineering, Iwate University, Ueda, Morioka, 020-8551 (Japan); Zheng, Jie; Li, Xingguo [Beijing National Laboratory for Molecular Sciences (BNLMS), The State Key Laboratory of Rare Earth Materials Chemistry and Applications, College of Chemistry and Molecular Engineering, Peking University, Beijing, 100871 (China)

    2016-05-15

    The fabrication of microporous metal materials with many potential applications is challenging due to their high chemical activities and the difficulty in controlling the pore size. By adjusting the reaction condition and the composition of the Ni–Al nanoparticle precursor, we have successfully produced the microporous Ni nanoparticles (NPs) of 22 nm by chemical dealloying method. During the passivation process, the microporous Ni NPs covered with NiO shell are generated as the result of surface oxidation. The micropores range from 0.6 to 1.2 nm in diameter with a large surface area of 68.9 m{sup 2}/g. Due to the elimination of Al atoms during dealloying process, the crystalline size of the microporous Ni NPs is sharply decreased to 2–5 nm. The specific architecture offers the microporous Ni/NiO NPs a small microwave reflection coefficient (RC) and a wide absorption bandwidth (RC ≤ −10 dB) of −49.1 dB and 5.8 GHz, much better than the nonporous counterpart of −24.1 dB and 3.7 GHz. The enhanced microwave absorption performance has been interpreted in terms of the micropore structure, core/shell structure and nanostructure effects. - Highlights: • Microporous Ni/NiO nanoparticles are prepared by chemical dealloying method. • They possess micropores of 0.6–1.2 nm with a surface area of 68.9 m{sup 2}/g. • They show minimum microwave reflection coefficient of −49.1 dB and bandwidth of 5.8 GHz. • Microwave absorption mechanism is explained by micropore and core/shell structures.

  1. Microwave and optical diagnostics in a gadolinium plasma; Diagnostics hyperfrequence et optique dans un plasma magnetise de gadolinium

    Energy Technology Data Exchange (ETDEWEB)

    Larousse, B. [CEA Centre d`Etudes de Saclay, 91 - Gif-sur-Yvette (France). Dept. des Procedes d`Enrichissement]|[Conservatoire National des Arts et Metiers (CNAM), 75 - Paris (France)

    1997-12-31

    The optimization of the separation process of the gadolinium isotopes by Ion Cyclotron Resonance requires a precise knowledge of the physical characteristics of the plasma. Thus, two kinds of diagnostics have been developed: the first one to estimate the microwave power inside the source and the second one to measure the density of atomic and ionic of the gadolinium inside the plasma source and in front of the collector. Microwave diagnostic: A microstrip antenna has been designed and developed in order to characterize the microwave at 36 GHz frequency in the plasma source. The experimental results for different plasma regimes are presented. The measurements inside the plasma source show a maximum of microwave absorption for an argon pressure of 10{sup -4} mb (93% of absorption of the incident wave in the conditions of isotope separation). Laser absorption diagnostic: The theory of laser absorption in presence of a magnetic field is recalled and the first results are presented. In the spectral range between 560 and 620 nm, corresponding to high energy levels of gadolinium, no signal is obtained so that the density is below the detection limit 10{sup 10} cm{sup -3}. In the spectral range between 380 and 400 nm, two lines are observed, issue from the fundamental and metastable (633 cm{sup -1}) levels. The density of metastable level of gadolinium ions is about 10{sup 10} cm{sup -3} with a relative precision of 15 % and its variation is studied as a function of argon pressure, at different sections of the plasma column (source, collector). The achieved set of measurements has been performed in order to check the theoretical models. (author) 32 refs.

  2. Self-consistent evolution of plasma discharge and electromagnetic fields in a microwave pulse compressor

    International Nuclear Information System (INIS)

    Shlapakovski, A. S.; Beilin, L.; Krasik, Ya. E.; Hadas, Y.; Schamiloglu, E.

    2015-01-01

    Nanosecond-scale evolution of plasma and RF electromagnetic fields during the release of energy from a microwave pulse compressor with a plasma interference switch was investigated numerically using the code MAGIC. The plasma was simulated in the scope of the gas conductivity model in MAGIC. The compressor embodied an S-band cavity and H-plane waveguide tee with a shorted side arm filled with pressurized gas. In a simplified approach, the gas discharge was initiated by setting an external ionization rate in a layer crossing the side arm waveguide in the location of the electric field antinode. It was found that with increasing ionization rate, the microwave energy absorbed by the plasma in the first few nanoseconds increases, but the absorption for the whole duration of energy release, on the contrary, decreases. In a hybrid approach modeling laser ignition of the discharge, seed electrons were set around the electric field antinode. In this case, the plasma extends along the field forming a filament and the plasma density increases up to the level at which the electric field within the plasma decreases due to the skin effect. Then, the avalanche rate decreases but the density still rises until the microwave energy release begins and the electric field becomes insufficient to support the avalanche process. The extraction of the microwave pulse limits its own power by terminating the rise of the plasma density and filament length. For efficient extraction, a sufficiently long filament of dense plasma must have sufficient time to be formed

  3. Self-consistent evolution of plasma discharge and electromagnetic fields in a microwave pulse compressor

    Science.gov (United States)

    Shlapakovski, A. S.; Beilin, L.; Hadas, Y.; Schamiloglu, E.; Krasik, Ya. E.

    2015-07-01

    Nanosecond-scale evolution of plasma and RF electromagnetic fields during the release of energy from a microwave pulse compressor with a plasma interference switch was investigated numerically using the code MAGIC. The plasma was simulated in the scope of the gas conductivity model in MAGIC. The compressor embodied an S-band cavity and H-plane waveguide tee with a shorted side arm filled with pressurized gas. In a simplified approach, the gas discharge was initiated by setting an external ionization rate in a layer crossing the side arm waveguide in the location of the electric field antinode. It was found that with increasing ionization rate, the microwave energy absorbed by the plasma in the first few nanoseconds increases, but the absorption for the whole duration of energy release, on the contrary, decreases. In a hybrid approach modeling laser ignition of the discharge, seed electrons were set around the electric field antinode. In this case, the plasma extends along the field forming a filament and the plasma density increases up to the level at which the electric field within the plasma decreases due to the skin effect. Then, the avalanche rate decreases but the density still rises until the microwave energy release begins and the electric field becomes insufficient to support the avalanche process. The extraction of the microwave pulse limits its own power by terminating the rise of the plasma density and filament length. For efficient extraction, a sufficiently long filament of dense plasma must have sufficient time to be formed.

  4. [Study on the Emission Spectrum of Hydrogen Production with Microwave Discharge Plasma in Ethanol Solution].

    Science.gov (United States)

    Sun, Bing; Wang, Bo; Zhu, Xiao-mei; Yan, Zhi-yu; Liu, Yong-jun; Liu, Hui

    2016-03-01

    Hydrogen is regarded as a kind of clean energy with high caloricity and non-pollution, which has been studied by many experts and scholars home and abroad. Microwave discharge plasma shows light future in the area of hydrogen production from ethanol solution, providing a new way to produce hydrogen. In order to further improve the technology and analyze the mechanism of hydrogen production with microwave discharge in liquid, emission spectrum of hydrogen production by microwave discharge plasma in ethanol solution was being studied. In this paper, plasma was generated on the top of electrode by 2.45 GHz microwave, and the spectral characteristics of hydrogen production from ethanol by microwave discharge in liquid were being studied using emission spectrometer. The results showed that a large number of H, O, OH, CH, C2 and other active particles could be produced in the process of hydrogen production from ethanol by microwave discharge in liquid. The emission spectrum intensity of OH, H, O radicals generated from ethanol is far more than that generated from pure water. Bond of O-H split by more high-energy particles from water molecule was more difficult than that from ethanol molecule, so in the process of hydrogen production by microwave discharge plasma in ethanol solution; the main source of hydrogen was the dehydrogenation and restructuring of ethanol molecules instead of water decomposition. Under the definite external pressure and temperature, the emission spectrum intensity of OH, H, O radicals increased with the increase of microwave power markedly, but the emission spectrum intensity of CH, C2 active particles had the tendency to decrease with the increase of microwave power. It indicated that the number of high energy electrons and active particles high energy electron energy increased as the increase of microwave power, so more CH, C2 active particles were split more thoroughly.

  5. Ar + NO microwave plasmas for Escherichia coli sterilization

    International Nuclear Information System (INIS)

    Hueso, Jose L; Rico, Victor J; Cotrino, Jose; Gonzalez-Elipe, Agustin R; Frias, Jose E

    2008-01-01

    Ar + NO microwave discharges are used for sterilization and the results are compared with additional experiments with Ar, O 2 and N 2 -O 2 plasma mixtures. The NO * species produced in the Ar-NO mixtures remain up to long distances from the source, thus improving the sterilization efficiency of the process. E. coli individuals exposed to the Ar + NO plasma undergo morphological damage and cell lysis. Combined effects of etching (by O * and Ar * species) and UV radiation (from deactivation of NO * species) are responsible for the higher activity found for this plasma mixture. (fast track communication)

  6. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Jong-Won, E-mail: jwlim@etri.re.kr [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Park, Hyung-Moo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Division of Electronics and Electrical Engineering, Dongguk University, Seoul (Korea, Republic of)

    2013-11-29

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f{sub T}) of 18 GHz, and a maximum oscillation frequency (f{sub max}) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz.

  7. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    International Nuclear Information System (INIS)

    Lim, Jong-Won; Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo; Park, Hyung-Moo

    2013-01-01

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f T ) of 18 GHz, and a maximum oscillation frequency (f max ) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz

  8. Spectroscopic study of microwave induced plasmas : exploration of active and passive methods

    NARCIS (Netherlands)

    Vries, de N.

    2008-01-01

    Microwave induced plasmas (MIPs) are used for a number of high-tech applications like material processing, light generation, gas cleaning and spectrochemical analysis. Especially the feature that MIPs can be operated remotely and that the propagation of the microwaves can be manipulated with slits,

  9. A simple microwave technique for plasma density measurement using frequency modulation

    International Nuclear Information System (INIS)

    Bora, D.; Jayakumar, R.; Vijayashankar, M.K.

    1984-01-01

    A simple method of determining the phase variation unambiguously during microwave interferometric measurement is described. The frequency of the Klystron source is modulated with the help of staircase voltage pulse. The height of each stair is adjusted such that the corresponding phase shift in the test branch with an additional path length is 90 0 . Signals, proportional to cosine and sine of the phase shift due to plasma, can be generated in the same channel and plasma density information can be inferred. The microwave hardware remains the same as in conventional interferometry and the cost of such a scheme is low. (author)

  10. Type of precursor and synthesis of silicon oxycarbide (SiOxCyH) thin films with a surfatron microwave oxygen/argon plasma

    International Nuclear Information System (INIS)

    Walkiewicz-Pietrzykowska, Agnieszka; Espinos, J. P.; Gonzalez-Elipe, Agustin R.

    2006-01-01

    Siliconelike thin films (i.e., SiO x C y H z ) were prepared in a microwave plasma enhanced chemical vapor deposition reactor from structurally different organosilicon precursors [i.e., hexamethyldisiloxane (HMDSO), dimethylsilane (DMS), and tetramethylsilane (TMS)]. The films were deposited at room temperature by using different oxygen/argon ratios in the plasma gas. By changing the type of precursor and the relative concentration of oxygen in the plasma, thin films with different compositions (i.e., O/C ratio) and properties are obtained. In general, raising the oxygen concentration in the plasma produces the progressive removal of the organic moieties from the films whose composition and structure then approach those of silicon dioxide. The deposition rate was highly dependent on the type of precursor, following the order HMDSO>>DMS>TMS. The polarizabilities, optical band gaps, and surface free energy of the films also depended on the thin film composition and structure. It is proposed that the Si-O bonds existing in HMDSO is the main factor controlling the distinct reactivity of this precursor and is also responsible for the different compositions and properties of the SiO x C y H z thin films prepared with very low or no oxygen in the plasma gas

  11. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  12. ZnO nanostructures induced by microwave plasma

    Directory of Open Access Journals (Sweden)

    Khaled A. Elsayed

    2015-07-01

    Full Text Available Microwave induced hydrogen plasma is used to fabricate ZnO thin films at low ambient gas pressure and controlled oxygen content in the gas mixture. The emission spectra have been observed. Optical emission spectroscopy was used to identify the chemical reaction mechanism. Structural quality of the so-obtained nanoparticles was studied by X-ray diffraction (XRD and high resolution scanning electron microscopy (SEM. SEM results showed that nanorods were formed in the process, and XRD results along with nanorod dimensions obtained from SEM are consistent with the formation of single and poly-crystalline ZnO nanorods. The alignment of these nanorods with respect to the substrates depends on the lattice mismatch between ZnO and the glass substrate. The minimum crystallite grain size as obtained from the SEM measurements was ∼24 nm and the average diameter is 70 nm with a length of 1–2 μm. The deposited ZnO thin films have a wide energy band gap that equals ∼3 eV.

  13. Propagation of Polarized Cosmic Microwave Background Radiation in an Anisotropic Magnetized Plasma

    International Nuclear Information System (INIS)

    Moskaliuk, S. S.

    2010-01-01

    The polarization plane of the cosmic microwave background radiation (CMBR) can be rotated either in a space-time with metric of anisotropic type and in a magnetized plasma or in the presence of a quintessential background with pseudoscalar coupling to electromagnetism. A unified treatment of these three phenomena is presented for cold anisotropic plasma at the pre-recombination epoch. It is argued that the generalized expressions derived in the present study may be relevant for direct searches of a possible rotation of the cosmic microwave background polarization.

  14. Studies on omnidirectional enhancement of giga-hertz radiation by sub-wavelength plasma modulation

    Science.gov (United States)

    Fanrong, KONG; Qiuyue, NIE; Shu, LIN; Zhibin, WANG; Bowen, LI; Shulei, ZHENG; Binhao, JIANG

    2018-01-01

    The technology of radio frequency (RF) radiation intensification for radio compact antennas based on modulation and enhancement effects of sub-wavelength plasma structures represents an innovative developing strategy. It exhibits important scientific significance and promising potential of broad applications in various areas of national strategic demands, such as electrical information network and microwave communication, detection and control technology. In this paper, laboratory experiments and corresponding analyses have been carried out to investigate the modulation and enhancement technology of sub-wavelength plasma structure on the RF electromagnetic radiation. An application focused sub-wavelength plasma-added intensification up to ∼7 dB higher than the free-space radiation is observed experimentally in giga-hertz (GHz) RF band. The effective radiation enhancement bandwidth covers from 0.85 to 1.17 GHz, while the enhanced electromagnetic signals transmitted by sub-wavelength plasma structures maintain good communication quality. Particularly, differing from the traditional RF electromagnetic radiation enhancement method characterized by focusing the radiation field of antenna in a specific direction, the sub-wavelength plasma-added intensification of the antenna radiation presents an omnidirectional enhancement, which is reported experimentally for the first time. Corresponding performance characteristics and enhancement mechanism analyses are also conducted in this paper. The results have demonstrated the feasibility and promising potential of sub-wavelength plasma modulation in application focused RF communication, and provided the scientific basis for further research and development of sub-wavelength plasma enhanced compact antennas with wide-range requests and good quality for communication.

  15. Carbon dioxide elimination and regeneration of resources in a microwave plasma torch

    International Nuclear Information System (INIS)

    Uhm, Han S.; Kwak, Hyoung S.; Hong, Yong C.

    2016-01-01

    Carbon dioxide gas as a working gas produces a stable plasma-torch by making use of 2.45 GHz microwaves. The temperature of the torch flame is measured by making use of optical spectroscopy and a thermocouple device. Two distinctive regions are exhibited, a bright, whitish region of a high-temperature zone and a bluish, dimmer region of a relatively low-temperature zone. The bright, whitish region is a typical torch based on plasma species where an analytical investigation indicates dissociation of a substantial fraction of carbon dioxide molecules, forming carbon monoxides and oxygen atoms. The emission profiles of the oxygen atoms and the carbon monoxide molecules confirm the theoretical predictions of carbon dioxide disintegration in the torch. Various hydrocarbon materials may be introduced into the carbon dioxide torch, regenerating new resources and reducing carbon dioxide concentration in the torch. As an example, coal powders in the carbon dioxide torch are converted into carbon monoxide according to the reaction of CO_2 + C → 2CO, reducing a substantial amount of carbon dioxide concentration in the torch. In this regards, the microwave plasma torch may be one of the best ways of converting the carbon dioxides into useful new materials. - Highlights: • Carbon dioxide gas produces a plasma-torch by making use of 2.45 GHz microwaves. • The temperature measurement of torch flame by optical spectroscopy. • Disintegration of carbon dioxide into carbon monoxide and oxygen atom. • Emission profiles of carbon monoxide confirm disintegration theory. • Conversion of carbon dioxide into carbon monoxide in the plasma torch. - This article presents carbon-dioxide plasma torch operated by microwaves and its applications to regeneration of new resources, eliminating carbon dioxide molecules.

  16. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  17. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    Science.gov (United States)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  18. A study of the ion-molecule reaction in a microwave plasma of propylene

    International Nuclear Information System (INIS)

    Carmi, U.

    1980-07-01

    Microwave plasma of propylene and of argon-propylene mixture were sampled by a quadrupole mass-spectrometer. The composition of the plasma was investigated as a function of external parameters such as pressure, initial concentration of gases, microwave power and sampling position. Three main paths were determined for the pyrolysis and polymerization of propylene, that constitute the rate determining step. Rate constants were determined for the various reactions between propylene and the intermediates. An overall rate constant for the disappearance of propylene was determined. This constant was found to be dependent on the initial gas concentration and on plasma pressure

  19. Formation and treatment of materials with microwave plasmas

    International Nuclear Information System (INIS)

    Camps, E.; Garcia, J.L.; Romero, S.

    1996-01-01

    The plasmas technology occupies day by day a more important place in the development of new materials, with properties superior to those developed with conventional techniques. Some processes have already been established and are exploited to industrial level. These basically include the plasmas that are generated within discharges of continuous current, as well as those with alternate fields of frequency in the range of radiofrequency (13.6 MHz usually). Nevertheless, the need to increase the efficiency of the work of plasma used, has given as a result the study of plasmas generated to higher frequencies (2.45 GHz), known as m icrowave plasmas . An important development in the treatment of materials at low pressures and temperature, are those known as microwave discharges of the type of cyclotron resonances of the electrodes, that is, a discharge submerged into a magnetic field. These discharges have the advantage of not including electrodes, they can generate plasmas with higher density of ionized and excited particles, can work under low pressures (∼ 1m Torr), and have higher ionizing coefficient (∼ 1%), than other kind of discharge. With the aim to study the accuracy in work of the microwave discharges in magnetic fields, the National Institute of Nuclear Research (ININ) designed and built a gadget of this type which is actually used in the formation of thin films of the diamond type and of amorphous silicon. At the same time, experiments for nitrating steels, in order to establish the mechanisms that would allow to build samples, with surfaces stronger and resistant to corrosion, at short-time treatments, than those needed, when using other kinds of discharges. (Author)

  20. Propagating Structure Of A Microwave Driven Shock wave Inside A Tube

    International Nuclear Information System (INIS)

    Shimada, Yutaka; Shibata, Teppei; Yamaguchi, Toshikazu; Komurasaki, Kimiya; Oda, Yasuhisa; Kajiwara, Ken; Takahashi, Koji; Kasugai, Atsushi; Sakamoto, Keishi; Arakawa, Yoshihiro

    2010-01-01

    The thrust generation process of a microwave rocket is similar to a pulse detonation engine, and understanding the interactions between microwave plasma and shock waves is important. Shadowgraph images of the microwave plasma generated in a tube under atmospheric air were taken. The observed plasma and shock wave were propagating one-dimensionally at constant velocity inside the tube. In order to understand the flow field inside the rocket, one-dimensional CFD analysis was conducted. With the change of microwave power density, the structure of the flow field was classified into two regimes: Microwave Supported Combustion (MSC), and Microwave Supported Detonation (MSD). The structure of the MSD was different from the structure of a chemical detonation, which implied the existence of a preheating in front of the shock wave. Furthermore, the flight performance was estimated by calculating the momentum coupling coefficient. It was confirmed that the efficiency was nearly constant in the MSD regime, with the increase of microwave power density.

  1. Measurement of electric field distribution along the plasma column in Microwave jet discharges at atmospheric pressure

    International Nuclear Information System (INIS)

    Razzak, M. Abdur; Takamura, Shuichi; Tsujikawa, Takayuki; Shibata, Hideto; Hatakeyama, Yuto

    2009-01-01

    A new technique for the direct measurement of electric field distribution along the plasma column in microwave jet discharges is developed and employed. The technique is based on a servomotor-controlled reciprocating antenna moving along the nozzle axis and plasma column. The measurement technique is applied to a rectangular waveguide-based 2.45 GHz argon and helium plasma jets generated by using the modified TIAGO nozzle at atmospheric pressure with a microwave power of less than 500 W. The measurement has been done with and without igniting the plasma jet in order to investigate the standing wave propagation along the nozzle axis and plasma column. It is observed that the electric field decay occurs slowly in space with plasma ignition than that of without plasma, which indicates the surface electromagnetic wave propagation along the plasma column in order to sustain the plasma jet. This study enables one to design, determine and optimize the size and structure of launcher nozzle, which plays an important role for the stable and efficient microwave plasma generators. (author)

  2. Ar + NO microwave plasmas for Escherichia coli sterilization

    Energy Technology Data Exchange (ETDEWEB)

    Hueso, Jose L; Rico, Victor J; Cotrino, Jose; Gonzalez-Elipe, Agustin R [Instituto de Ciencia de Materiales de Sevilla, Centro Mixto CSIC-Universidad de Sevilla, Centro de Investigaciones Cientificas Isla de la Cartuja, Avda. Americo Vespucio 49, 41092 Sevilla (Spain); Frias, Jose E [Instituto de BioquImica Vegetal y FotosIntesis (IBVF-CSIC). Centro de Investigaciones CientIficas Isla de la Cartuja. Avda Americo Vespucio, 49, 41092 Sevilla (Spain)], E-mail: jhueso@icmse.csic.es

    2008-05-07

    Ar + NO microwave discharges are used for sterilization and the results are compared with additional experiments with Ar, O{sub 2} and N{sub 2}-O{sub 2} plasma mixtures. The NO{sup *} species produced in the Ar-NO mixtures remain up to long distances from the source, thus improving the sterilization efficiency of the process. E. coli individuals exposed to the Ar + NO plasma undergo morphological damage and cell lysis. Combined effects of etching (by O{sup *} and Ar{sup *} species) and UV radiation (from deactivation of NO{sup *} species) are responsible for the higher activity found for this plasma mixture. (fast track communication)

  3. Theoretical and experimental study on solid chemical reaction between BaCO3 and TiO2 in microwave field

    International Nuclear Information System (INIS)

    Liu Hanxing; Guo, Liling; Zou Long; Cao Minhe; Zhou Jian; Ouyang Shixi

    2004-01-01

    Solid-state chemical reaction mechanism for the reaction between BaCO 3 and TiO 2 in microwave field was investigated based on X-ray power diffraction (XRD) data and theory of diffusion. The compositions of the resultant after reaction under different conditions were studied by employing XRD. The quantitative analyses based on XRD data showed the reaction in microwave field was quite different from that in the conventional method. A model was proposed to explain the change of the ratio between the reactant BaCO 3 , TiO 2 and the resultant BaTiO 3 for the chemical reaction. The formation kinetic of BaTiO 3 from the BaCO 3 and TiO 2 was calculated by employing this theoretical model. The reaction rate between BaCO 3 and TiO 2 in microwave field was much higher than that in conventional method. The activation energy of the atomic diffusions in this solid chemical reaction is only 58 kJ/mol, which was only about 1/4 of 232 kJ/mol in the conventional value. The result suggests that the microwave field enhance atomic diffusion during the reaction

  4. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  5. Microwave heating and diagnostic of suprathermal electrons in an overdense stellarator plasma

    International Nuclear Information System (INIS)

    Stange, Torsten

    2014-01-01

    The resonant coupling of microwaves into a magnetically confined plasma is one of the fundamental methods for the heating of such plasmas. Identifying and understanding the processes of the heating of overdense plasmas, in which the wave propagation is generally not possible because the wave frequency is below the plasma frequency, is becoming increasingly important for high density fusion plasmas. This work focuses on the heating of overdense plasmas in the WEGA stellarator. The excitation of electron Bernstein waves, utilizing the OXB-conversion process, provides a mechanism for the wave to reach the otherwise not accessible resonant absorption layer. In WEGA these OXB-heated plasmas exhibit a suprathermal electron component with energies up to 80 keV. The fast electrons are located in the plasma center and have a Maxwellian energy distribution function within the soft X-ray related energy range. The corresponding averaged energy is a few keV. The OXB-discharges are accompanied by a broadband microwave radiation spectrum with radiation temperatures of the order of keV. Its source was identified as a parametric decay of the heating wave and has no connection to the suprathermal electron component. For the detailed investigation of the microwave emission, a quasioptical mirror system, optimized for the OX-conversion, has been installed. Based on the measurement of the broadband microwave stray radiation of the decay process, the OX-conversion efficiency has been determined to 0.56 being in good agreement with full-wave calculations. In plasmas without an electron cyclotron resonance, corresponding to the wave frequency used, non-resonant heating mechanisms have been identified in the overdense plasma regions. Whistler waves or R-like waves are the only propagable wave types within the overdense plasmas. The analysis of the heating efficiency in dependence on the magnetic flux density leads to tunneling as the most probable coupling mechanism. For the determination

  6. Intense microwave pulse propagation through gas breakdown plasmas in a waveguide

    International Nuclear Information System (INIS)

    Byrne, D.P.

    1986-01-01

    High-power microwave pulse-compression techniques are used to generate 2.856 GHz pulses which are propagated in a TE 10 mode through a gas filled section of waveguide, where the pulses interact with self-generated gas-breakdown plasmas. Pulse envelopes transmitted through the plasmas, with duration varying from 2 ns to greater than 1 μs, and peak powers of a few kW to nearly 100 MW, are measured as a function of incident pulse and gas pressure for air, nitrogen, and helium. In addition, the spatial and temporal development of the optical radiation emitted by the breakdown plasmas are measured. For transmitted pulse durations ≥ 100 ns, good agreement is found with both theory and existing measurements. For transmitted pulse duration as short as 2 ns (less than 10 rf cycles), a two-dimensional model is used in which the electrons in the plasma are treated as a fluid whose interactions with the microwave pulse are governed by a self-consistent set of fluid equations and Maxwell's equations for the electromagnetic field. The predictions of this model for air are compared with the experimental results over a pressure range of 0.8 torr to 300 torr. Good agreement is obtained above about 1 torr pressure, demonstrating that microwave pulse propagation above the breakdown threshold can be accurately modeled on this time scale. 63 refs., 44 figs., 2 tabs

  7. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  8. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  9. Synthesis of multi-layer graphene and multi-wall carbon nanotubes from direct decomposition of ethanol by microwave plasma without using metal catalysts

    International Nuclear Information System (INIS)

    Rincón, R; Melero, C; Jiménez, M; Calzada, M D

    2015-01-01

    The synthesis of nanostructured carbon materials by using microwave plasmas at atmospheric pressure is presented. This technique involves only one step and without any other supplementary chemical process or metal catalyst. Multi-layer graphene, multi-wall carbon nananotubes and H 2 were obtained by the plasma after ethanol decomposition. Strong emissions of both C 2 molecular bands and C carbon were emitted by the plasma during the process. Futhermore, plasma parameters were studied. Our research shows that both C 2 radicals and high gas temperatures (>3000 K) are required for the synthesis of these materials, which contribute to the understanding of materials synthesis by plasma processes. (fast track communication)

  10. Microwave-plasma interactions studied via mode diagnostics in ALPHA

    Energy Technology Data Exchange (ETDEWEB)

    Friesen, T., E-mail: tim.friesen@cern.ch [University of Calgary, Department of Physics and Astronomy (Canada); Andresen, G. B. [Aarhus University, Department of Physics and Astronomy (Denmark); Ashkezari, M. D. [Simon Fraser University, Department of Physics (Canada); Baquero-Ruiz, M. [University of California, Department of Physics (United States); Bertsche, W. [Swansea University, Department of Physics (United Kingdom); Bowe, P. D. [Aarhus University, Department of Physics and Astronomy (Denmark); Butler, E. [CERN, Physics Department (Switzerland); Cesar, C. L. [Universidade Federal do Rio de Janeiro, Instituto de Fisica (Brazil); Chapman, S. [University of California, Department of Physics (United States); Charlton, M.; Eriksson, S. [Swansea University, Department of Physics (United Kingdom); Fajans, J. [University of California, Department of Physics (United States); Fujiwara, M. C. [University of Calgary, Department of Physics and Astronomy (Canada); Gill, D. R. [TRIUMF (Canada); Gutierrez, A. [University of British Columbia, Department of Physics and Astronomy (Canada); Hangst, J. S. [Aarhus University, Department of Physics and Astronomy (Denmark); Hardy, W. N. [University of British Columbia, Department of Physics and Astronomy (Canada); Hayano, R. S. [University of Tokyo, Department of Physics (Japan); Hayden, M. E. [Simon Fraser University, Department of Physics (Canada); Humphries, A. J. [Swansea University, Department of Physics (United Kingdom); Collaboration: ALPHA Collaboration; and others

    2012-12-15

    The goal of the ALPHA experiment is the production, trapping and spectroscopy of antihydrogen. A direct comparison of the ground state hyperfine spectra in hydrogen and antihydrogen has the potential to be a high-precision test of CPT symmetry. We present a novel method for measuring the strength of a microwave field for hyperfine spectroscopy in a Penning trap. This method incorporates a non-destructive plasma diagnostic system based on electrostatic modes within an electron plasma. We also show how this technique can be used to measure the cyclotron resonance of the electron plasma, which can potentially serve as a non-destructive measurement of plasma temperature.

  11. Experimental and numerical investigations of microwave return loss of aircraft inlets with low-pressure plasma

    Science.gov (United States)

    Zhang, Yachun; He, Xiang; Chen, Jianping; Chen, Hongqing; Chen, Li; Zhang, Hongchao; Ni, Xiaowu; Lu, Jian; Shen, Zhonghua

    2018-03-01

    The relationships between return losses of the cylindrical inlet and plasma discharge parameters are investigated experimentally and numerically. The return losses are measured using a high dynamic range measurement system and simulated by COMSOL Multiphysics when the frequency band of the microwaves is in the range 1-4 GHz. The profiles of the plasma density are estimated using Epstein and Bessel functions. Results show that the incident microwaves can be absorbed by plasma efficaciously. The maximal return loss can reach -13.84 dB when the microwave frequency is 2.3 GHz. The increase of applied power implies augmentation of the return loss, which behaves conversely for gas pressure. The experimental and numerical results display reasonable agreement on return loss, suggesting that the use of plasma is effective in the radar cross section reduction of aircraft inlets.

  12. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves

    Science.gov (United States)

    Efthimion, Philip C.; Helfritch, Dennis J.

    1989-11-28

    An apparatus and method for creating high temperature plasmas for enhanced chemical processing of gaseous fluids, toxic chemicals, and the like, at a wide range of pressures, especially at atmospheric and high pressures includes an electro-magnetic resonator cavity, preferably a reentrant cavity, and a wave guiding structure which connects an electro-magnetic source to the cavity. The cavity includes an intake port and an exhaust port, each having apertures in the conductive walls of the cavity sufficient for the intake of the gaseous fluids and for the discharge of the processed gaseous fluids. The apertures are sufficiently small to prevent the leakage of the electro-magnetic radiation from the cavity. Gaseous fluid flowing from the direction of the electro-magnetic source through the guiding wave structure and into the cavity acts on the plasma to push it away from the guiding wave structure and the electro-magnetic source. The gaseous fluid flow confines the high temperature plasma inside the cavity and allows complete chemical processing of the gaseous fluids at a wide range of pressures.

  13. Recent results of studies of plasma fluctuations in stellarators by microwave scattering technique

    International Nuclear Information System (INIS)

    Skvortsova, N.N.; Batanov, G.M.; Kolik, L.V.; Petrov, A.E.; Pshenichnikov, A.A.; Sarksyan, K.A.; Kharchev, N.K.; Khol'nov, Yu.V.; Kubo, S.; Sanchez, J.

    2005-01-01

    Microwave scattering diagnostics are described that allow direct measurements of the turbulent processes in a high-temperature plasma of magnetic confinement systems. Plasma density fluctuations in the heating region of the L-2M stellarator were measured from microwave scattering at the fundamental and the second harmonics of the heating gyrotron radiation. In the TJ-II stellarator, a separate 2-mm microwave source was used to produce a probing beam; the measurements were performed at the middle of the plasma radius. Plasma density fluctuations in the axial (heating) region of the LHD stellarator were measured from microwave scattering at the fundamental harmonic of the heating gyrotron radiation. Characteristic features of fluctuations, common for all three devices, are revealed with the methods of statistical and spectral analysis. These features are the wide frequency Fourier and wavelet spectra, autocorrelation functions with slowly decreasing tails, and non-Gaussian probability distributions of the magnitudes and the increments of the magnitude of fluctuations. The drift-dissipative instability and the instability driven by trapped electrons are examined as possible sources of turbulence in a high-temperature plasma. Observations showed the high level of coherence between turbulent fluctuations in the central region and at the edge of the plasma in L-2M. It is shown in L-2M that the relative intensity of the second harmonic of gyrotron radiation on the axis of a microwave beam after quasi-optical filtering in a four-mirror quasi-optical transmission line is about -50 dB of the total radiation intensity. Spatiotemporal structures in plasma density fluctuations were observed in the central region of the plasma column. The correlation time between the structures was found to be on the order of 1 ms. It is shown that, the spectrum of the signal from the second-harmonic scattering extends to higher frequencies in comparison with that from the fundamental

  14. Modified DLC coatings prepared in a large-scale reactor by dual microwave/pulsed-DC plasma-activated chemical vapour deposition

    International Nuclear Information System (INIS)

    Corbella, C.; Bialuch, I.; Kleinschmidt, M.; Bewilogua, K.

    2008-01-01

    Diamond-Like Carbon (DLC) films find abundant applications as hard and protective coatings due to their excellent mechanical and tribological performances. The addition of new elements to the amorphous DLC matrix tunes the properties of this material, leading to an extension of its scope of applications. In order to scale up their production to a large plasma reactor, DLC films modified by silicon and oxygen additions have been grown in an industrial plant of 1m 3 by means of pulsed-DC plasma-activated chemical vapour deposition (PACVD). The use of an additional microwave (MW) source has intensified the glow discharge, partly by electron cyclotron resonance (ECR), accelerating therefore the deposition process. Hence, acetylene, tetramethylsilane (TMS) and hexamethyldisiloxane (HMDSO) constituted the respective gas precursors for the deposition of a-C:H (DLC), a-C:H:Si and a-C:H:Si:O films by dual MW/pulsed-DC PACVD. This work presents systematic studies of the deposition rate, hardness, adhesion, abrasive wear and water contact angle aimed to optimize the technological parameters of deposition: gas pressure, relative gas flow of the monomers and input power. This study has been completed with measures of the atomic composition of the samples. Deposition rates around 1 μm/h, typical for standard processes held in the large reactor, were increased about by a factor 10 when the ionization source has been operated in ECR mode

  15. Characterization of an Atmospheric-Pressure Argon Plasma Generated by 915 MHz Microwaves Using Optical Emission Spectroscopy

    Directory of Open Access Journals (Sweden)

    Robert Miotk

    2017-01-01

    Full Text Available The paper presents the investigations of an atmospheric-pressure argon plasma generated at 915 MHz microwaves using the optical emission spectroscopy (OES. The 915 MHz microwave plasma was inducted and sustained in a waveguide-supplied coaxial-line-based nozzleless microwave plasma source. The aim of presented investigations was to estimate parameters of the generated plasma, that is, excitation temperature of electrons Texc, temperature of plasma gas Tg, and concentration of electrons ne. Assuming that excited levels of argon atoms are in local thermodynamic equilibrium, Boltzmann method allowed in determining the Texc temperature in the range of 8100–11000 K. The temperature of plasma gas Tg was estimated by comparing the simulated spectra of the OH radical to the measured one in LIFBASE program. The obtained Tg temperature ranged in 1200–2800 K. Using a method based on Stark broadening of the Hβ line, the concentration of electrons ne was determined in the range from 1.4 × 1015 to 1.7 × 1015 cm−3, depending on the power absorbed by the microwave plasma.

  16. Hopping Conductivity Enhanced by Microwave Radiation

    International Nuclear Information System (INIS)

    Ovadyahu, Z

    2012-01-01

    Hopping conductivity is enhanced when exposed to microwave (MW) fields. Data taken on several Anderson-localized systems and granular-aluminium are presented to illustrate the generality of the phenomenon. It is suggested that the effect is due to a field-enhanced hopping, which is the ac version of a non-ohmic effect familiar from studies in the dc transport regime.

  17. Impedance Mismatch study between the Microwave Generator and the PUPR Plasma Machine

    International Nuclear Information System (INIS)

    Gaudier, Jorge R.; Castellanos, Ligeia; Encarnacion, Kabir; Zavala, Natyaliz; Rivera, Ramon; Farahat, Nader; Leal, Edberto

    2006-01-01

    Impedance mismatch inside the connection from the microwave power generator to the plasma machine is studied. A magnetron power generator transmits microwaves of 2.45 GHz and variable power from 50W to 5000W, through a flexible rectangular waveguide to heat plasma inside a Mirror Cusp devise located at the Polytechnic University of Puerto Rico. Before the production of plasma, the residual gas of the devise must be extracted by a vacuum system (5Torr or better), then Argon gas is injected to the machine. The microwaves heat the Argon ions to initiate ionization and plasma is produced. A dielectric wall is used inside the rectangular waveguide to isolate the plasma machine and maintain vacuum. Even though the dielectric will not block the wave propagation, some absorption of microwaves will occur. This absorption will cause reflection, reducing the efficiency of the power transfer. Typically a thin layer of Teflon is used, but measurements using this dielectric show a significant reflection of power back to the generator. Due to the high-power nature of the generator (5KW), this mismatch is not desirable. An electromagnetic field solver based on the Finite Difference Time Domain Method(FDTD) is used to model the rectangular waveguide connection. The characteristic impedance of the simulation is compared with the analytical formula expression and a good agreement is obtain. Furthermore the Teflon-loaded guide is modeled using the above program and the input impedance is computed. The reflection coefficient is calculated based on the transmission line theory with the characteristic and input impedances. Based on the simulation results it is possible to optimize the thickness, shape and dielectric constant of the material, in order to seal the connection with a better match

  18. Spectral characteristics of a relativistic plasma microwave generator

    International Nuclear Information System (INIS)

    Kuzelev, M.V.; Loza, O.T.; Ponomarev, A.V.; Rukhadze, A.A.; Strelkov, P.S.; Ul'yanov, D.K.; Shkvarunets, A.G.

    1996-01-01

    The radiation spectrum of a broad-band relativistic plasma microwave generator, in which a hollow relativistic electron beam is injected into a plasma waveguide consisting of a hollow plasma within a round metallic waveguide is measured experimentally. The radiation spectrum is measured using a wide-aperture calorimetric spectrometer in the frequency range 3-32 GHz. The influence of the plasma density and the beam-plasma gap on the radiation spectrum is investigated. The amplification of the noise electromagnetic radiation when a relativistic electron beam is injected into the plasma waveguide is calculated on the basis of the nonlinear theory. The theory predicts passage from a one-particle generation regime to a collective regime and narrowing of the radiation spectrum as the plasma density and the gap between the hollow beam and the plasma increases. A comparison of the measurement results with the nonlinear theory accounts for several features of the measured spectrum. However, the predicted change in the generation regimes is not observed experimentally. Qualitative arguments are advanced, which explain the observed phenomena and call for further theoretical and experimental research, are advanced

  19. Microwave ablation of liver metastases guided by contrast-enhanced ultrasound

    DEFF Research Database (Denmark)

    Lorentzen, T; Skjoldbye, B O; Nolsoe, C P

    2011-01-01

    The aim of our study was to evaluate the efficacy of microwave (MW) ablation of liver metastases guided by B-mode ultrasound (US) and contrast-enhanced US (CEUS).......The aim of our study was to evaluate the efficacy of microwave (MW) ablation of liver metastases guided by B-mode ultrasound (US) and contrast-enhanced US (CEUS)....

  20. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  1. Microwave plasma CVD of NANO structured tin/carbon composites

    Science.gov (United States)

    Marcinek, Marek [Warszawa, PL; Kostecki, Robert [Lafayette, CA

    2012-07-17

    A method for forming a graphitic tin-carbon composite at low temperatures is described. The method involves using microwave radiation to produce a neutral gas plasma in a reactor cell. At least one organo tin precursor material in the reactor cell forms a tin-carbon film on a supporting substrate disposed in the cell under influence of the plasma. The three dimensional carbon matrix material with embedded tin nanoparticles can be used as an electrode in lithium-ion batteries.

  2. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid; Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India); Husain, Mushahid, E-mail: mush-reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India)

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current density of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.

  3. Surface roughness of polyvinyl siloxane impression materials following chemical disinfection, autoclave and microwave sterilization.

    Science.gov (United States)

    Al Kheraif, Abdulaziz Abdullah

    2013-05-01

    Autoclave sterilization and microwave sterilization has been suggested as the effective methods for the disinfection of elastomeric impressions, but subjecting elastomeric impressions to extreme temperature may have adverse effects on critical properties of the elastomers. To evaluate the effect of chemical disinfection as well as autoclave and microwave sterilization on the surface roughness of elastomeric impression materials. The surface roughness of five commercially available polyvinyl siloxane impression materials (Coltene President, Affinis Perfect impression, Aquasil, 3M ESPE Express and GC Exafast) were evaluated after subjecting them to chemical disinfection, autoclaving and microwave sterilization using a Talysurf Intra 50 instrument. Twenty specimens from each material were fabricated and divided into four equal groups, three experimental and one control (n=25). The differences in the mean surface roughness between the treatment groups were recorded and statistically analyzed. No statistically significant increase in the surface roughness was observed when the specimens were subjected to chemical disinfection and autoclave sterilization, increase in roughness and discoloration was observed in all the materials when specimens were subjected to microwave sterilization. Chemical disinfection did not have a significant effect but, since it is less effective, autoclave sterilization can be considered effective and autoclaving did not show any specimen discoloration as in microwave sterilization. Microwave sterilization may be considered when impressions are used to make diagnostic casts. A significant increase in surface roughness may produce rougher casts, resulting in rougher tissue surfaces for denture and cast restorations. Autoclave sterilization of vinyl polysiloxane elastomeric impressions for 5 minutes at 134°C at 20 psi may be considered an effective method over chemical disinfection and microwave sterilization, because chemical disinfection does

  4. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique; Etude et realisation de detecteurs de rayonnements a base de films de diamant polycristallin elabores par depot chimique en phase vapeur assiste par plasma micro-onde

    Energy Technology Data Exchange (ETDEWEB)

    Jany, Ch

    1998-10-29

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead {eta} to decrease. In contrast, {eta} was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp{sup 2} phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  5. A Reconfigurable Metal-Plasma Yagi-Yuda Antenna for Microwave Applications

    Directory of Open Access Journals (Sweden)

    Giulia Mansutti

    2017-05-01

    Full Text Available This paper is an extension of the work originally presented at the European Microwave Conference (EuMC about a reconfigurable hybrid metal-plasma Yagi-Uda antenna operating at 1.55 GHz: this antenna consists of metallic reflector and active element and two plasma directors. The conference work showed through full-wave numerical simulations (CST Microwave Studio how it is possible to achieve reconfigurability with respect to the gain by turning on/off the plasma discharges. However the model that was used to represent the plasma discharges was quite ideal, so one comment that was provided questioned the actual possibility of achieving reconfigurability in a real system. Consequently we performed extensive measurements of different plasma discharges and thanks to the collected data, we noticed some important differences between the full-wave numerical model of the plasma that we used in the conference paper and the actual plasma discharges that were generated in the experimental setup: the dielectric vessel and the metallic electrodes used respectively to confine and generate the plasma have an influence on the radiation pattern of the antenna and so they must be included in the design procedure; the cylindrical plasma discharge is much easier to realize when the cylinder diameter is at least 3mm; and finally the collision frequency of the plasma in realistic cases is pretty higher than the one adopted in our previous work. Therefore this work presents a feasibility study of a more detailed and realistic model of our antenna with respect to the plasma discharges. We will show that reconfigurability can still be achieved through a proper design of the overall antenna, thus paving the way to an actual realization of the proposed reconfigurable Yagi-Uda.

  6. A new type of power energy for accelerating chemical reactions: the nature of a microwave-driving force for accelerating chemical reactions.

    Science.gov (United States)

    Zhou, Jicheng; Xu, Wentao; You, Zhimin; Wang, Zhe; Luo, Yushang; Gao, Lingfei; Yin, Cheng; Peng, Renjie; Lan, Lixin

    2016-04-27

    The use of microwave (MW) irradiation to increase the rate of chemical reactions has attracted much attention recently in nearly all fields of chemistry due to substantial enhancements in reaction rates. However, the intrinsic nature of the effects of MW irradiation on chemical reactions remains unclear. Herein, the highly effective conversion of NO and decomposition of H2S via MW catalysis were investigated. The temperature was decreased by several hundred degrees centigrade. Moreover, the apparent activation energy (Ea') decreased substantially under MW irradiation. Importantly, for the first time, a model of the interactions between microwave electromagnetic waves and molecules is proposed to elucidate the intrinsic reason for the reduction in the Ea' under MW irradiation, and a formula for the quantitative estimation of the decrease in the Ea' was determined. MW irradiation energy was partially transformed to reduce the Ea', and MW irradiation is a new type of power energy for speeding up chemical reactions. The effect of MW irradiation on chemical reactions was determined. Our findings challenge both the classical view of MW irradiation as only a heating method and the controversial MW non-thermal effect and open a promising avenue for the development of novel MW catalytic reaction technology.

  7. Physico-chemical and mechanical modifications of polyethylene and polypropylene by ion implantation, micro-wave plasma, electron beam radiation and gamma ray irradiation; Modifications physico-chimiques et mecaniques du polyethylene et du polypropylene par implantation ionique, plasma micro-ondes, bombardement d`electrons et irradiation gamma

    Energy Technology Data Exchange (ETDEWEB)

    Liao, J D

    1995-03-29

    A polyolefin surface becomes wettable when treated by micro-wave plasma or low-dose nitrogen ion implantation. A short time argon plasma treatment is sufficient to obtain polarizable peroxides on a polyolefin. X-ray photoelectron spectroscopy analyses, paramagnetic electronic resonance analyses, peroxides decomposition, wettability measurements and infrared active spectra analyses have shown that oxidized structures obtained from different treatment techniques play an important role in the interpretation of surface chemical properties of the polymer. Micro-wave plasma treatment, and in particular argon plasma treatment, yields more polarizable groups than ion implantation and is interesting for grafting. Hardness and elasticity modulus, measured by nano-indentation on a polyolefin, increase with an appropriate ion implantation dose. A 1.4 x 10{sup 17} ions.cm{sup -2} dose can multiply by 15 the hardness of high molecular weight polyethylene, and by 7 the elasticity modulus for a 30 nm depth. The viscous-plastic to quasi-elastic transition is shown. The thickness of the modified layer is over 300 nm. The study of friction between a metal sphere and a polyethylene cupula shows that ion implantation in the polymer creates a reticulated hard and elastic layer which improves its mechanical properties and reduces the erosion rate. Surface treatments on polymers used as biomaterials allow to adapt the surface properties to specific applications. 107 refs., 66 figs., 19 tabs., 4 annexes.

  8. Techniques for ceramic sintering using microwave energy

    International Nuclear Information System (INIS)

    Kimrey, H.D.; Janney, M.A.; Becher, P.F.

    1987-01-01

    The use of microwave energy for ceramic sintering offers exciting new possibilities for materials processing. Based on experience gathered in microwave processing associated with the heating of fusion plasmas, we have developed hardware and methods for uniformly heating ceramic parts of large volume and irregular shape to temperatures in excess of 1600 0 C, in vacuum or pressurized atmosphere. Microwave processing at 28 GHz yields enhanced densification rates with a corresponding reduction in sintering temperatures. 6 refs

  9. Microwave reflectrometry for electron density measurements in the TJ-1 tokamak plasma

    International Nuclear Information System (INIS)

    Anabitarte, E.; Bustamante, E.G.; Calderon, M.A.G.; Vegas, A.

    1986-01-01

    A study about microwave reflectometry to measure the outside profile of the electron plasma density on tokamak TJ-1 is presented. It is also presented the condition of applicability of this method after the characteristic parameters of the plasma and its resolution. The simulation of the plasma in laboratory by means of a metallic mirror causes the whole characterization of the reflectometer. (author)

  10. Abatement of fluorinated compounds using a 2.45 GHz microwave plasma torch with a reverse vortex plasma reactor

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J.H.; Cho, C.H.; Shin, D.H. [Plasma Technology Research Center, National Fusion Research Institute, 814-2 Oxikdo-dong, Gunsan-city, Jeollabuk-do (Korea, Republic of); Hong, Y.C., E-mail: ychong@nfri.re.kr [Plasma Technology Research Center, National Fusion Research Institute, 814-2 Oxikdo-dong, Gunsan-city, Jeollabuk-do (Korea, Republic of); Shin, Y.W. [Plasma Technology Research Center, National Fusion Research Institute, 814-2 Oxikdo-dong, Gunsan-city, Jeollabuk-do (Korea, Republic of); School of Advanced Green Energy and Environments, Handong Global University, Heunghae-eup, Buk-gu, Pohang-city, Gyeongbuk (Korea, Republic of)

    2015-08-30

    Highlights: • We developed a microwave plasma torch with reverse vortex reactor (RVR). • We calculated a volume fraction and temperature distribution of discharge gas and waste. • The performance of reverse vortex reactor increased from 29% to 43% than conventional vortex reactor. - Abstract: Abatement of fluorinated compounds (FCs) used in semiconductor and display industries has received an attention due to the increasingly stricter regulation on their emission. We have developed a 2.45 GHz microwave plasma torch with reverse vortex reactor (RVR). In order to design a reverse vortex plasma reactor, we calculated a volume fraction and temperature distribution of discharge gas and waste gas in RVR by ANSYS CFX of computational fluid dynamics (CFD) simulation code. Abatement experiments have been performed with respect to SF{sub 6}, NF{sub 3} by varying plasma power and N{sub 2} flow rates, and FCs concentration. Detailed experiments were conducted on the abatement of NF{sub 3} and SF{sub 6} in terms of destruction and removal efficiency (DRE) using Fourier transform infrared (FTIR). The DRE of 99.9% for NF{sub 3} was achieved without an additive gas at the N{sub 2} flow rate of 150 liter per minute (L/min) by applying a microwave power of 6 kW with RVR. Also, a DRE of SF{sub 6} was 99.99% at the N{sub 2} flow rate of 60 L/min using an applied microwave power of 6 kW. The performance of reverse vortex reactor increased about 43% of NF{sub 3} and 29% of SF{sub 6} abatements results definition by decomposition energy per liter more than conventional vortex reactor.

  11. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    Science.gov (United States)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  12. Synthetic Aperture Microwave Imaging (SAMI) of the plasma edge on NSTX-U

    Science.gov (United States)

    Vann, Roddy; Taylor, Gary; Brunner, Jakob; Ellis, Bob; Thomas, David

    2016-10-01

    The Synthetic Aperture Microwave Imaging (SAMI) system is a unique phased-array microwave camera with a +/-40° field of view in both directions. It can image cut-off surfaces corresponding to frequencies in the range 10-34.5GHz; these surfaces are typically in the plasma edge. SAMI operates in two modes: either imaging thermal emission from the plasma (often modified by its interaction with the plasma edge e.g. via BXO mode conversion) or ``active probing'' i.e. injecting a broad beam at the plasma surface and imaging the reflected/back-scattered signal. SAMI was successfully pioneered on the Mega-Amp Spherical Tokamak (MAST) at Culham Centre for Fusion Energy. SAMI has now been installed and commissioned on the National Spherical Torus Experiment Upgrade (NSTX-U) at Princeton Plasma Physics Laboratory. The firmware has been upgraded to include real-time digital filtering, which enables continuous acquisition of the Doppler back-scattered active probing data. In this poster we shall present SAMI's analysis of the plasma edge on NSTX-U including measurements of the edge pitch angle on NSTX-U using SAMI's unique 2-D Doppler-backscattering capability.

  13. Effect of oxygen on the bias-enhanced nucleation of diamond on silicon

    DEFF Research Database (Denmark)

    Schreck, M.; Christensen, Carsten; Stritzker, B.

    1999-01-01

    The influence of traces of oxygen in the process gas on the bias-enhanced nucleation (BEN) of diamond on silicon has been studied in the present work. CO2 in concentrations ranging from 0 to 3000 ppm was added during the nucleation procedure at U-bias = -200 V in microwave plasma chemical vapour...

  14. Optimization and analysis of shape of coaxial electrode for microwave plasma in water

    International Nuclear Information System (INIS)

    Hattori, Yoshiaki; Mukasa, Shinobu; Nomura, Shinfuku; Toyota, Hiromichi

    2010-01-01

    The effect of the shape of the electrode to generate 2.45 GHz microwave plasma in pure water is examined. Three variations of a common coaxial electrode are proposed, and compared according to the power required for plasma ignition and the position of plasma ignition in pure water at 6 kPa using a high-speed camera. These coaxial electrodes are calculated using three-dimensional finite-difference time-domain method calculations. The superior shape of coaxial electrode is found to be one with a flat plane on the tip of the inner electrode and dielectric substance located below the tip of the outer electrode. The position of the plasma ignition is related to the shape of the coaxial electrode. By solving the heat-conduction equation of water around the coaxial electrode taking into account the absorption of the microwave energy, the position of the plasma ignition is found to be not where electric field is the largest, but rather where temperature is maximized.

  15. Microwave enhanced oxidation treatment of organic fertilizers.

    Science.gov (United States)

    More, Abhilasha; Srinivasan, Asha; Liao, Ping Huang; Lo, Kwang Victor

    2017-08-01

    Liquid organic fertilizers (LOFs) are relatively easier to degrade than those of solid organic fertilizers, and the nutrients are readily available for plant uptake. Microwave enhanced advanced oxidation treatment (MW/H 2 O 2 -AOP) was used to convert solid organic fertilizers (insoluble blood meal, bone meal, feather meal, sunflower ash and a mixture) into LOF. After the MW/H 2 O 2 -AOP treatment, high soluble nitrogen (11-29%), soluble phosphorus (64%) and potassium (92%), as well as low total suspended solids content could be obtained. The resulting LOF would make the nutrients more bioavailable, and would provide some of them for the plant uptake immediately. Temperature and hydrogen peroxide dosage were found to be significant factors affecting nitrogen release from blood meal and feather meal, while temperature and pH were found to be significant factors for solubilizing phosphorus and potassium from bone meal and ash, respectively. The MW/H 2 O 2 -AOP reduced suspended solids, and released nutrients into solution; therefore, it was an effective treatment method to make LOFs. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  16. Improved microwave shielding behavior of carbon nanotube-coated PET fabric using plasma technology

    International Nuclear Information System (INIS)

    Haji, Aminoddin; Semnani Rahbar, Ruhollah; Mousavi Shoushtari, Ahmad

    2014-01-01

    Four different procedures were conducted to load amine functionalized multiwall carbon nanotube (NH 2 -MWCNT) onto poly (ethylene terephthalate) (PET) fabric surface to obtain a microwave shielding sample. Plasma treated fabric which was subsequently coated with NH 2 -MWCNT in the presence of acrylic acid was chosen as the best sample. Surface changes in the PET fabrics were investigated by X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Wide-angle X-ray diffraction was used to study the crystalline structure of the PET fabric. The microwave shielding performance of the PET fabrics in term of reflection loss was determined using a network analyzer at X-band (8.2–12.4 GHz). The XPS results revealed that the carbon atomic percentage decreased while the oxygen atomic percentage increased when the fabric was plasma treated and coated with NH 2 -MWCNT. The SEM images showed that the NH 2 -MWCNTs were homogenously dispersed and individually separated in the surface of fabric. Moreover, the structural studies showed that the crystalline region of the fabrics was not affected by NH 2 -MWCNT and plasma treatment. The best microwave absorbing properties were obtained from the plasma treated fabric which was then coated with 10% NH 2 -MWCNT in the presence of acrylic acid. It showed a minimum reflection loss of ∼−18.2 dB about 11 GHz. Proper attachments of NH 2 -MWCNT on the PET fabric surface was explained in the suggested mechanism in which hydrogen bonding and amide linkage are responsible for the achievement of microwave shielding properties with high durability

  17. Fabrication of CdS films with superhydrophobicity by the microwave assisted chemical bath deposition.

    Science.gov (United States)

    Liu, Y; Tan, T; Wang, B; Zhai, R; Song, X; Li, E; Wang, H; Yan, H

    2008-04-15

    A simple method of microwave assisted chemical bath deposition (MA-CBD) was adopted to fabricate cadmium sulfide (CdS) thin films. The superhydrophobic surface with a water contact angle (CA) of 151 degrees was obtained. Via a scanning electron microscopy (SEM) observation, the film was proved having a porous micro/nano-binary structure which can change the property of the surface and highly enhance the hydrophobicity of the film. A possible mechanism was suggested to describe the growth of the porous structure, in which the microwave heating takes an important role in the formation of two distinct characteristic dimensions of CdS precipitates, the growth of CdS sheets in micro-scale and sphere particles in nano-scale. The superhydrophobic films may provide novel platforms for photovoltaic, sensor, microfluidic and other device applications.

  18. Upper Hybrid Resonance of Microwaves with a Large Magnetized Plasma Sheet

    International Nuclear Information System (INIS)

    Huo Wenqing; Guo Shijie; Ding Liang; Xu Yuemin

    2013-01-01

    A large magnetized plasma sheet with size of 60 cm × 60 cm × 2 cm was generated by a linear hollow cathode discharge under the confinement of a uniform magnetic field generated by a Helmholtz Coil. The microwave transmission characteristic of the plasma sheet was measured for different incident frequencies, in cases with the electric field polarization of the incident microwave either perpendicular or parallel to the magnetic field. In this measurement, parameters of the plasma sheet were changed by varying the discharge current and magnetic field intensity. In the experiment, upper hybrid resonance phenomena were observed when the electric field polarization of the incident wave was perpendicular to the magnetic field. These resonance phenomena cannot be found in the case of parallel polarization incidence. This result is consistent with theoretical consideration. According to the resonance condition, the electron density values at the resonance points are calculated under various experimental conditions. This kind of resonance phenomena can be used to develop a specific method to diagnose the electron density of this magnetized plasma sheet apparatus. Moreover, it is pointed out that the operating parameters of the large plasma sheet in practical applications should be selected to keep away from the upper hybrid resonance point to prevent signals from polarization distortion

  19. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  20. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  1. Microwave plasma initiated graft copolymerization modification of monomers onto PTFE surface

    International Nuclear Information System (INIS)

    Guan Weishu; Wen Yunjian; Fang Yan; Yin Yongxiang

    1996-02-01

    A graft copolymerization modification technique of monomers onto polytetrafluoroethylene (PTFE) surface initiated by a 2.45 GHz non-equilibrium microwave plasma has been investigated. Standard X-Ray Photoelectron Spectroscopy (XPS), Attenuated Total Reflectance-Fourier Transform Infrared Spectroscopy (ATR-FTIR), Scanning Electron Microscopy (sEM) and wetting techniques were used for examination and analysis of samples. Considerable changes in chemical structure, composition and in morphology of grafted surface of PTFE were found. Results showed the occurrence of noticeable defluorination and cross-linked structure on grafted surface, and indicated that different kinds and contents of oxygen-containing functional groups were introduced into the surface of PTFE. Wetting and adhesion experiment of the sample proved that significant improvements in hydrophilicity and adhesion of surface were exhibited. These results confirmed the success of grafting. (8 refs., 7 figs., 1 tab.)

  2. Effect of plasma formation on electron pinching and microwave emission in a virtual cathode oscillator

    International Nuclear Information System (INIS)

    Yatsuzuka, M.; Nakayama, M.; Nobuhara, S.; Young, D.; Ishihara, O.

    1996-01-01

    Time and spatial evolutions of anode and cathode plasmas in a vircator diode were observed with a streak camera. A cathode plasma appeared immediately after the rise of a beam current and was followed by an anode plasma typically after about 30 ns. Both plasmas expanded with almost the same speed of order of 104 m/s. The anode plasma was confirmed as a hydrogen plasma with an optical filter for H β line and study of anode-temperature rise. Electron beam pinching immediately followed by microwave emission was observed at the beam current less than the critical current for diode pinching in the experiment and the simulation. The electron beam current in the diode region is well characterized by the electron space-charge-limited current in bipolar flow with the expanding plasmas between the anode-cathode gap. As a result, electron bombardment produced the anode plasma, which made the electron beam strongly pinched, resulting in virtual cathode formation and microwave emission. (author). 5 figs., 5 refs

  3. Plasma source by microwaves: design description

    International Nuclear Information System (INIS)

    Camps, E.; Olea, O.; Andrade, R.; Anguiano, G.

    1992-03-01

    The design of a device for the formation of a plasma with densities of the order of 10 12 cm - 3 and low temperatures (T e ∼ 40 eV) is described. For such purpose it was carried out in the device a microwave discharge (f o = 2.45 GHz) in a resonator of high Q factor, immersed in a static external magnetic field. The device worked in the regime ω ce ≤ ω o /2 (ω ce - cyclotron frequency of the electrons, (ω o = 2 π f o ) where is possible the excitement of non lineal phenomena of waves transformation. (Author)

  4. Microwave-assisted Chemical Transformations

    Science.gov (United States)

    In recent years, there has been a considerable interest in developing sustainable chemistries utilizing green chemistry principles. Since the first published report in 1986 by Gedye and Giguere on microwave assisted synthesis in household microwave ovens, the use of microwaves as...

  5. Morphologic and Chemical Properties of PMMA/ATH Layers with Enhanced Abrasion Resistance Realised by Cold Plasma Spraying at Atmospheric Pressure

    Directory of Open Access Journals (Sweden)

    L. Wallenhorst

    2018-01-01

    Full Text Available This study investigated the morphologic and chemical properties of coatings based on PMMA/ATH powder and deposited by cold plasma spraying on wood and glass. Since the deposition of pure PMMA/ATH powder with air as process gas yielded coatings with insufficient abrasion resistance, two modifications of the basic process were investigated. Previous studies showed that replacing air as process gas with forming gas did not enhance the abrasion resistance, but the addition of a phenol-formaldehyde resin (PF succeeded in stabilising the particle coatings. In this work, results from morphologic and chemical analysis suggested an encasement of the PMMA/ATH particles by plasma-modified PF and thus a fusion of individual particles, explaining the enhanced bonding. Moreover, adhesion tests confirmed an outstanding bonding between the coating and wood as well as glass, which is assumed to result from interactions between the PF’s hydroxyl groups and functional groups on the substrates’ surfaces. Studies on the wettability revealed a hydrophobic character of such coatings, therefore generally indicating a possible application, for example, to reduce water uptake by wooden materials.

  6. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  7. Chemical Modifications of Starch: Microwave Effect

    OpenAIRE

    Lewicka, Kamila; Siemion, Przemysław; Kurcok, Piotr

    2015-01-01

    This paper presents basic methods of starch chemical modification, the effect of microwave radiation on the modification process, and the physicochemical properties of starch. It has been shown that the modifications contribute to improvement of the material performance and likewise to significant improvement of its mechanical properties. As a result, more and more extensive use of starch is possible in various industries. In addition, methods of oxidized starch and starch esters preparation ...

  8. Improved microwave shielding behavior of carbon nanotube-coated PET fabric using plasma technology

    Energy Technology Data Exchange (ETDEWEB)

    Haji, Aminoddin, E-mail: Ahaji@iaubir.ac.ir [Department of Textile Engineering, Birjand Branch, Islamic Azad University, Birjand (Iran, Islamic Republic of); Semnani Rahbar, Ruhollah [Department of Textile and Leather, Faculty of Chemistry and Petrochemical Engineering, Standard Research Institute, Karaj (Iran, Islamic Republic of); Mousavi Shoushtari, Ahmad [Textile Engineering Department, Amirkabir University of Technology, Tehran (Iran, Islamic Republic of)

    2014-08-30

    Four different procedures were conducted to load amine functionalized multiwall carbon nanotube (NH{sub 2}-MWCNT) onto poly (ethylene terephthalate) (PET) fabric surface to obtain a microwave shielding sample. Plasma treated fabric which was subsequently coated with NH{sub 2}-MWCNT in the presence of acrylic acid was chosen as the best sample. Surface changes in the PET fabrics were investigated by X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Wide-angle X-ray diffraction was used to study the crystalline structure of the PET fabric. The microwave shielding performance of the PET fabrics in term of reflection loss was determined using a network analyzer at X-band (8.2–12.4 GHz). The XPS results revealed that the carbon atomic percentage decreased while the oxygen atomic percentage increased when the fabric was plasma treated and coated with NH{sub 2}-MWCNT. The SEM images showed that the NH{sub 2}-MWCNTs were homogenously dispersed and individually separated in the surface of fabric. Moreover, the structural studies showed that the crystalline region of the fabrics was not affected by NH{sub 2}-MWCNT and plasma treatment. The best microwave absorbing properties were obtained from the plasma treated fabric which was then coated with 10% NH{sub 2}-MWCNT in the presence of acrylic acid. It showed a minimum reflection loss of ∼−18.2 dB about 11 GHz. Proper attachments of NH{sub 2}-MWCNT on the PET fabric surface was explained in the suggested mechanism in which hydrogen bonding and amide linkage are responsible for the achievement of microwave shielding properties with high durability.

  9. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  10. Towards Enhanced Performance Thin-film Composite Membranes via Surface Plasma Modification

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F.; Tardy, Blaise L.; Dagastine, Raymond; Orbell, John D.; Schutz, Jürg A.; Duke, Mikel C.

    2016-01-01

    Advancing the design of thin-film composite membrane surfaces is one of the most promising pathways to deal with treating varying water qualities and increase their long-term stability and permeability. Although plasma technologies have been explored for surface modification of bulk micro and ultrafiltration membrane materials, the modification of thin film composite membranes is yet to be systematically investigated. Here, the performance of commercial thin-film composite desalination membranes has been significantly enhanced by rapid and facile, low pressure, argon plasma activation. Pressure driven water desalination tests showed that at low power density, flux was improved by 22% without compromising salt rejection. Various plasma durations and excitation powers have been systematically evaluated to assess the impact of plasma glow reactions on the physico-chemical properties of these materials associated with permeability. With increasing power density, plasma treatment enhanced the hydrophilicity of the surfaces, where water contact angles decreasing by 70% were strongly correlated with increased negative charge and smooth uniform surface morphology. These results highlight a versatile chemical modification technique for post-treatment of commercial membrane products that provides uniform morphology and chemically altered surface properties. PMID:27363670

  11. Correlation of morphology and barrier properties of thin microwave plasma polymer films on metal substrate

    International Nuclear Information System (INIS)

    Barranco, V.; Carpentier, J.; Grundmeier, G.

    2004-01-01

    The barrier properties of thin model organosilicon plasma polymers layers on iron are characterised by means of electrochemical impedance spectroscopy (EIS). Tailored thin plasma polymers of controlled morphology and chemical composition were deposited from a microwave discharge. By the analysis of the obtained impedance diagrams, the evolution of the water uptake φ, coating resistance and polymer capacitance with immersion time were monitored and the diffusion coefficients of the water through the films were calculated. The impedance data correlated well with the chemical structure and morphology of the plasma polymer films with a thickness of less than 100 nm. The composition of the films were determined by means of infrared reflection absorption spectroscopy (IRRAS), X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS). The morphology of the plasma polymer surface and the interface between the plasma polymer and the metal were characterised using atomic force microscopy (AFM). It could be shown that, at higher pressure, the film roughness increases which is probably due to the adsorption of plasma polymer nanoparticles formed in the plasma bulk and the faster film growth. This leads to voids with a size of a few tens of nanometers at the polymer/metal interface. The film roughness increases from the interface to the outer surface of the film. By lowering the pressure and thereby slowing the deposition rate, the plasma polymers perfectly imitate the substrate topography and lead to an excellent blocking of the metal surface. Moreover, the ratio of siloxane bonds to methyl-silyl groups increases which implies that the crosslink density is higher at lower deposition rate. The EIS data consistently showed higher coating resistance as well as lower interfacial capacitance values and a better stability over time for the film deposited at slower pressure. The diffusion coefficient of water in thin and ultra-thin plasma

  12. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  13. Microwave thermal remediation of crude oil contaminated soil enhanced by carbon fiber.

    Science.gov (United States)

    Li, Dawei; Zhang, Yaobin; Quan, Xie; Zhao, Yazhi

    2009-01-01

    Thermal remediation of the soil contaminated with crude oil using microwave heating enhanced by carbon fiber (CF) was explored. The contaminated soil was treated with 2.45 GHz microwave, and CF was added to improve the conversion of microwave energy into thermal energy to heat the soil. During microwave heating, the oil contaminant was removed from the soil matrix and recovered by a condensation system of ice-salt bath. The experimental results indicated that CF could efficiently enhance the microwave heating of soil even with relatively low-dose. With 0.1 wt.% CF, the soil could be heated to approximately 700 degrees C within 4 min using 800 W of microwave irradiation. Correspondingly, the contaminated soil could be highly cleaned up in a short time. Investigation of oil recovery showed that, during the remediation process, oil contaminant in the soil could be efficiently recovered without causing significant secondary pollution.

  14. Enhancement of adhesion between carbon nanotubes and polymer substrates using microwave irradiation

    International Nuclear Information System (INIS)

    Shim, Hyung Cheoul; Kwak, Yoon Keun; Han, Chang-Soo; Kim, Soohyun

    2009-01-01

    This paper reports the enhancement of adhesive strength between single-walled carbon nanotubes (SWNTs) and polymer substrates using microwave irradiation of 0-5 min duration at 2.45 GHz and 800 W. Field emission scanning electron microscopy images, ultraviolet-visible data and four-point probe sheet resistance measurement data indicate that microwave irradiation is effective for enhancement of adhesion between SWNTs and polymer substrates. SWNTs could be locally welded onto a polymer substrate due to their active response to microwave irradiation.

  15. Sensitive elemental detection using microwave-assisted laser-induced breakdown imaging

    Science.gov (United States)

    Iqbal, Adeel; Sun, Zhiwei; Wall, Matthew; Alwahabi, Zeyad T.

    2017-10-01

    This study reports a sensitive spectroscopic method for quantitative elemental detection by manipulating the temporal and spatial parameters of laser-induced plasma. The method was tested for indium detection in solid samples, in which laser ablation was used to generate a tiny plasma. The lifetime of the laser-induced plasma can be extended to hundreds of microseconds using microwave injection to remobilize the electrons. In this novel method, temporal integrated signal of indium emission was significantly enhanced. Meanwhile, the projected detectable area of the excited indium atoms was also significantly improved using an interference-, instead of diffraction-, based technique, achieved by directly imaging microwave-enhanced plasma through a novel narrow-bandpass filter, exactly centered at the indium emission line. Quantitative laser-induce breakdown spectroscopy was also recorded simultaneously with the new imaging method. The intensities recorded from both methods exhibit very good mutual linear relationship. The detection intensity was improved to 14-folds because of the combined improvements in the plasma lifetime and the area of detection.

  16. An evaluation of microwave-assisted fusion and microwave-assisted acid digestion methods for determining elemental impurities in carbon nanostructures using inductively coupled plasma optical emission spectrometry

    KAUST Repository

    Patole, Shashikant P.

    2015-10-21

    It is common for as-prepared carbon nanotube (CNT) and graphene samples to contain remnants of the transition metals used to catalyze their growth; contamination may also leave other trace elemental impurities in the samples. Although a full quantification of impurities in as-prepared samples of carbon nanostructures is difficult, particularly when trace elements are intercalated or encapsulated within a protective layer of graphitic carbon, reliable information is essential for reasons such as quantifying the adulteration of physico-chemical properties of the materials and for evaluating environmental issues. Here, we introduce a microwave-based fusion method to degrade single- and double-walled CNTs and graphene nanoplatelets into a fusion flux thereby thoroughly leaching all metallic impurities. Subsequent dissolution of the fusion product in diluted hydrochloric and nitric acid allowed us to identify their trace elemental impurities using inductively coupled plasma optical emission spectrometry. Comparisons of the results from the proposed microwave-assisted fusion method against those of a more classical microwave-assisted acid digestion approach suggest complementarity between the two that ultimately could lead to a more reliable and less costly determination of trace elemental impurities in carbon nanostructured materials. Graphical abstract A method for the complete digestion of carbon nanostructures has been demonstrated. Photographs (on the left side) show zirconium crucibles containing SWCNTs with flux of Na2CO3 and K2CO3, before and after microwave fusion; (on the right side) the appearance of the final solutions containing dissolved samples, from microwave-assisted fusion and microwave-assisted acid digestion. These solutions were used for determining the trace elemental impurities by ICP‒OES.

  17. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  18. Role of Radio Frequency and Microwaves in Magnetic Fusion Plasma Research

    Directory of Open Access Journals (Sweden)

    Hyeon K. Park

    2017-10-01

    Full Text Available The role of electromagnetic (EM waves in magnetic fusion plasma—ranging from radio frequency (RF to microwaves—has been extremely important, and understanding of EM wave propagation and related technology in this field has significantly advanced magnetic fusion plasma research. Auxiliary heating and current drive systems, aided by various forms of high-power RF and microwave sources, have contributed to achieving the required steady-state operation of plasmas with high temperatures (i.e., up to approximately 10 keV; 1 eV = 10000 K that are suitable for future fusion reactors. Here, various resonance values and cut-off characteristics of wave propagation in plasmas with a nonuniform magnetic field are used to optimize the efficiency of heating and current drive systems. In diagnostic applications, passive emissions and active sources in this frequency range are used to measure plasma parameters and dynamics; in particular, measurements of electron cyclotron emissions (ECEs provide profile information regarding electron temperature. Recent developments in state-of-the-art 2D microwave imaging systems that measure fluctuations in electron temperature and density are largely based on ECE. The scattering process, phase delays, reflection/diffraction, and the polarization of actively launched EM waves provide us with the physics of magnetohydrodynamic instabilities and transport physics.

  19. Slit shaped microwave induced atmospheric pressure plasma based on a parallel plate transmission line resonator

    Science.gov (United States)

    Kang, S. K.; Seo, Y. S.; Lee, H. Wk; Aman-ur-Rehman; Kim, G. C.; Lee, J. K.

    2011-11-01

    A new type of microwave-excited atmospheric pressure plasma source, based on the principle of parallel plate transmission line resonator, is developed for the treatment of large areas in biomedical applications such as skin treatment and wound healing. A stable plasma of 20 mm width is sustained by a small microwave power source operated at a frequency of 700 MHz and a gas flow rate of 0.9 slm. Plasma impedance and plasma density of this plasma source are estimated by fitting the calculated reflection coefficient to the measured one. The estimated plasma impedance shows a decreasing trend while estimated plasma density shows an increasing trend with the increase in the input power. Plasma uniformity is confirmed by temperature and optical emission distribution measurements. Plasma temperature is sustained at less than 40 °C and abundant amounts of reactive species, which are important agents for bacteria inactivation, are detected over the entire plasma region. Large area treatment ability of this newly developed device is verified through bacteria inactivation experiment using E. coli. Sterilization experiment shows a large bacterial killing mark of 25 mm for a plasma treatment time of 10 s.

  20. Microstructure and antibacterial properties of microwave plasma nitrided layers on biomedical stainless steels

    International Nuclear Information System (INIS)

    Lin, Li-Hsiang; Chen, Shih-Chung; Wu, Ching-Zong; Hung, Jing-Ming; Ou, Keng-Liang

    2011-01-01

    Nitriding of AISI 303 austenitic stainless steel using microwave plasma system at various temperatures was conducted in the present study. The nitrided layers were characterized via scanning electron microscopy, glancing angle X-ray diffraction, transmission electron microscopy and Vickers microhardness tester. The antibacterial properties of this nitrided layer were evaluated. During nitriding treatment between 350 deg. C and 550 deg. C, the phase transformation sequence on the nitrided layers of the alloys was found to be γ → (γ + γ N ) → (γ + α + CrN). The analytical results revealed that the surface hardness of AISI 303 stainless steel could be enhanced with the formation of γ N phase in nitriding process. Antibacterial test also demonstrated the nitrided layer processed the excellent antibacterial properties. The enhanced surface hardness and antibacterial properties make the nitrided AISI 303 austenitic stainless steel to be one of the essential materials in the biomedical applications.

  1. Sonoplasma generated by a combination of ultrasonic waves and microwave irradiation

    International Nuclear Information System (INIS)

    Nomura, Shinfuku; Toyota, Hiromichi

    2003-01-01

    Plasma chemical vapor deposition (plasma CVD) is a generic term for methods in which a precursor containing a material to be deposited is dissociated in a plasma where it is subject to chemical reactions, and is then deposited as a film on the surface of a heated substrate. A drawback of plasma CVD is that this process cannot be used to synthesize large amounts of adsorbate, or to deposit onto substrates that are vulnerable to high temperatures. As liquids are much denser than gases, synthesis rates are thought to be much higher in the former. The authors have observed the ignition and maintenance of a stable plasma in a liquid hydrocarbon exposed to a combination of ultrasonic waves and microwave radiation. Microwave energy is effectively injected into the interior of acoustic cavitation bubbles, which act as nuclei for the ignition and maintenance of the plasma. Because the plasma is formed in a liquid environment, it is possible to obtain much higher film deposition rates at much lower plasma temperatures than ever before. In addition, this process can be carried out at normal temperatures and pressures

  2. Spectroscopic study of atmospheric pressure 915 MHz microwave plasma at high argon flow rate

    International Nuclear Information System (INIS)

    Miotk, R; Hrycak, B; Jasinski, M; Mizeraczyk, J

    2012-01-01

    In this paper results of optical emission spectroscopic (OES) study of atmospheric pressure microwave 915 MHz argon plasma are presented. The plasma was generated in microwave plasma source (MPS) cavity-resonant type. The aim of research was determination of electron excitation temperature T exc gas temperature Tg and electron number density n e . All experimental tests were performed with a gas flow rate of 100 and 200 l/min and absorbed microwave power PA from 0.25 to 0.9 kW. The emission spectra at the range of 300 – 600 nm were recorded. Boltzmann plot method for argon 5p – 4s and 5d – 4p transition lines allowed to determine T exc at level of 7000 K. Gas temperature was determined by comparing the measured and simulated spectra using LIFBASE program and by analyzing intensities of two groups of unresolved rotational lines of the OH band. Gas temperature ranged 600 – 800 K. The electron number density was determined using the method based on the Stark broadening of hydrogen H β line. The measured n e rang ed 2 × 10 15 − 3.5×10 15 cm −3 , depending on the absorbed microwave power. The described MPS works very stable with various working gases at high flow rates, that makes it an attractive tool for different gas processing.

  3. Effect of plasma formation on electron pinching and microwave emission in a virtual cathode oscillator

    Energy Technology Data Exchange (ETDEWEB)

    Yatsuzuka, M; Nakayama, M; Nobuhara, S [Himeji Institute of Technology (Japan); Young, D; Ishihara, O [Texas Tech Univ., Lubbock, TX (United States)

    1997-12-31

    Time and spatial evolutions of anode and cathode plasmas in a vircator diode were observed with a streak camera. A cathode plasma appeared immediately after the rise of a beam current and was followed by an anode plasma typically after about 30 ns. Both plasmas expanded with almost the same speed of order of 104 m/s. The anode plasma was confirmed as a hydrogen plasma with an optical filter for H{sub {beta}} line and study of anode-temperature rise. Electron beam pinching immediately followed by microwave emission was observed at the beam current less than the critical current for diode pinching in the experiment and the simulation. The electron beam current in the diode region is well characterized by the electron space-charge-limited current in bipolar flow with the expanding plasmas between the anode-cathode gap. As a result, electron bombardment produced the anode plasma, which made the electron beam strongly pinched, resulting in virtual cathode formation and microwave emission. (author). 5 figs., 5 refs.

  4. Effect of Passivation on Microwave Power Performances of AlGaN/GaN/Si HEMTs

    Directory of Open Access Journals (Sweden)

    H. MOSBAHI

    2014-05-01

    Full Text Available This paper reports on the use of plasma assisted molecular beam epitaxy of AlGaN/GaN high electron mobility transistors (HEMTs grown on silicon substrate. Surface passivation effects on AlGaN/GaN HEMTs were studied using SiO2/SiN dielectric layers grown by plasma enhanced chemical vapor deposition. The direct current measurement, pulsed characteristics and microwave small-signal characteristics were studied before and after passivation. An improvement of drain-source current density and the extrinsic transconductance was observed on the passivated HEMTs when compared with the unpassivated HEMTs. An enhancement of cut-off frequency (ft and maximum power gain (fmax was also observed for the devices with full SiO2/SiN passivation. A good correlation is found between pulsed and power measurements.

  5. Chemical modeling of a high-density inductively-coupled plasma reactor containing silane

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Boogaard, A.; Brunets, I.; Holleman, J.; Schmitz, Jurriaan

    We carried out the modeling of chemical reactions in a silane-containing remote Inductively Coupled Plasma Enhanced Chemical Vapor Deposition (ICPECVD) system, intended for deposition of silicon, silicon oxide, and silicon nitride layers. The required electron densities and Electron Energy

  6. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  7. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  8. The effect of microwave pretreatment on chemical looping gasification of microalgae for syngas production

    International Nuclear Information System (INIS)

    Hu, Zhifeng; Ma, Xiaoqian; Jiang, Enchen

    2017-01-01

    Highlights: • Microwave pretreatment is beneficial to chemical-looping gasification reaction. • Gasification efficiency and gas yield increased greatly under microwave pretreatment. • 60 s is the optimal microwave pretreatment time in CLG to produce syngas. • Suitable microwave pretreatment can make the structure of solid residue become loose. • 750 W is the optimal microwave pretreatment power in CLG to produce syngas. - Abstract: Chemical-looping gasification (CLG) of Chlorella vulgaris was carried out in a quartz tube reactor under different microwave pretreatment. The product fractional yields, conversion efficiency and analysis of performance parameters were analyzed in order to obtain the characterization and optimal conditions of microwave pretreatment for syngas production. The results indicate that microwave pretreatment is conducive to CLG reaction. Furthermore, the higher power or the longer time in the process of microwave pretreatment could not exhibit a better effect on CLG. In addition, 750 W and 60 s is the optimal microwave pretreatment power and time respectively to obtain a great reducibility of oxygen carrier, high conversion efficiency, high products yield and good LHV. The H_2 yield, LHV, gasification efficiency and gas yield increased obviously from 18.12%, 12.14 MJ/Nm"3, 59.76% and 1.04 Nm"3/kg of untreated Chlorella vulgaris to 24.55%, 13.13 MJ/Nm"3, 72.16% and 1.16 Nm"3/kg of the optimal microwave pretreatment condition, respectively.

  9. Microwave-driven plasma gasification for biomass waste treatment at miniature scale

    NARCIS (Netherlands)

    Sturm, G.S.J.; Navarrete Muñoz, A.; Purushothaman Vellayani, A.; Stefanidis, G.

    2016-01-01

    Gasification technology may combine waste treatment with energy generation. Conventional gasification processes are bulky and inflexible. By using an external energy source, in the form of microwave-generated plasma, equipment size may be reduced and flexibility as regards to the feed composition

  10. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  11. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  12. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  13. Modelling of an intermediate pressure microwave oxygen discharge reactor: from stationary two-dimensional to time-dependent global (volume-averaged) plasma models

    International Nuclear Information System (INIS)

    Kemaneci, Efe; Graef, Wouter; Rahimi, Sara; Van Dijk, Jan; Kroesen, Gerrit; Carbone, Emile; Jimenez-Diaz, Manuel

    2015-01-01

    A microwave-induced oxygen plasma is simulated using both stationary and time-resolved modelling strategies. The stationary model is spatially resolved and it is self-consistently coupled to the microwaves (Jimenez-Diaz et al 2012 J. Phys. D: Appl. Phys. 45 335204), whereas the time-resolved description is based on a global (volume-averaged) model (Kemaneci et al 2014 Plasma Sources Sci. Technol. 23 045002). We observe agreement of the global model data with several published measurements of microwave-induced oxygen plasmas in both continuous and modulated power inputs. Properties of the microwave plasma reactor are investigated and corresponding simulation data based on two distinct models shows agreement on the common parameters. The role of the square wave modulated power input is also investigated within the time-resolved description. (paper)

  14. Photoluminescence enhancement of porous silicon particles by microwave-assisted activation

    Energy Technology Data Exchange (ETDEWEB)

    Xia, Bing [Key Laboratory of Forest Genetics and Biotechnology (Ministry of Education of China), Nanjing Forestry University, Nanjing 210037 (China); Advanced Analysis and Testing Center, Nanjing Forestry University, Nanjing 210037 (China); Zhang, Wenyi; Dong, Chen; Shi, Jisen [Key Laboratory of Forest Genetics and Biotechnology (Ministry of Education of China), Nanjing Forestry University, Nanjing 210037 (China); Bao, Weiyi [Advanced Analysis and Testing Center, Nanjing Forestry University, Nanjing 210037 (China); Zhang, Junfeng [State Key Laboratory of Pharmaceutical Biotechnology, School of Life Sciences, Nanjing University, Nanjing 210093 (China)

    2012-11-15

    Photoluminescence (PL) of porous silicon (PSi) particles can be significantly enhanced in some organic solvents (i.e., ethanol or dimethyl sulfoxide) under microwave irradiation. Fourier transform infrared spectra, dynamic-light-scattering measurements, and scanning electron microscopy had been adopted to explore the mechanism of PL enhancement of PSi particles under microwave irradiation, which is attributed to the formation of higher porosity and the growth of silicon oxide by microwave-assisted wet etching. Compared with that fabricated by ultrasonication, smaller luminescent PSi nanoparticles (average size {proportional_to}60 nm) with stronger orange-red fluorescence (PL quantum yield {proportional_to}14.8%) and higher dispersibility can be large-scale prepared for cellular imaging and drug delivery in biomedical applications. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Plasma Diagnostics by Microwave Interferometry in MHD Channels with the Aid of an Open Waveguide

    Energy Technology Data Exchange (ETDEWEB)

    Muenkel, J. [Rheinische-Westfalische Technische Hochschule Aachen, Federal Republic of Germany (Germany)

    1966-10-15

    Plasma diagnostics of a novel kind, using microwave interferometry, is described. Use is made of an open non-conventional waveguide in the test path of the microwave bridge. Guiding the microwave has several advantages over free transmission of the test h.f. beam between two horn antennas if there are small plasma streams bounded by ceramics and metals as in the case of MHD channels. There are less unknown and uncontrolled disturbances of the electromagnetic waves introduced by the boundaries. On the other hand most guiding structures disturb the homogeneity of the streaming plasma (cf. arrangements with Lecher wires, dielectric rods, etc.); the waveguide used here does not do so. This waveguide, a so-called groove guide, consists of two parallel metal plates or bands with a shallow axially-directed groove in each. The plasma stream to be tested flows between these plates in a direction perpendicular to the direction of propagation of the microwaves. The groove guide has properties similar to the ideal parallel-plate guide with infinite side wards extension, but the energy flow is concentrated in the middle region by the grooves. An approximate analysis, the transverse resonance analysis, has been used to calculate the field distribution and propagation characteristics of the guide. Because of the cross-sectional dimensions of the MHD channel in question (height 16 mm) and the wavelength (4 mm) chosen, considering the expected electron density, the groove guide had to be built for use in an oversized quasi-optical technique. The transition from rectangular (hollow pipe) guide to the open guide is done in two steps. With a good knowledge of the groove guide data and an appropriate theory of propagation of electromagnetic waves in ionized media, measuring phase shift and additional damping of the microwaves by introduction of the ionized gas allows the electron density and collision frequency, two of the most important plasma parameters, to be evaluated. The system

  16. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  17. Microwave irradiation enhances kinetics of the biomimetic process of hydroxyapatite nanocomposites

    International Nuclear Information System (INIS)

    Guha, Avijit; Nayar, Suprabha; Thatoi, H N

    2010-01-01

    In situ synthesized hydroxyapatite-poly(vinyl) alcohol nanocomposite was subjected to microwave irradiation, post synthesis. Interestingly, the aging time of 1 week required for the normal biomimetic process was reduced to 1 h post microwave irradiation, as characterized by x-ray powder diffraction and transmission electron microscopy. The surface topography shows the tendency of tubules to cross-link with the help of microwave energy. The microwave energy seems to provide a directional pull to the polymer chains which could have led to an enhancement of the kinetics of phase formation. (communication)

  18. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  19. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  20. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  1. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  2. Plasma electron density measurement with multichannel microwave interferometer on the HL-1 tokamak device

    International Nuclear Information System (INIS)

    Xu Deming; Zhang Hongyin; Liu Zetian; Ding Xuantong; Li Qirui; Wen Yangxi

    1989-11-01

    A multichannel microwave interferometer which is composed of different microwave interferometers (one 2 mm band, one 4 mm band and two 8 mm band) has been used to measure the plasma electron density on HL-1 tokamak device. The electron density approaching to 5 x 10 13 cm -3 is measured by a 2 mm band microwave interferometer. In the determinable range, the electron density profile in the cross-section on HL-1 device has been measured by this interferometer. A microcomputer data processing system is also developed

  3. Structural Transformation upon Nitrogen Doping of Ultrananocrystalline Diamond Films by Microwave Plasma CVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2009-01-01

    Full Text Available The molecular properties and surface morphology of undoped and N-doped ultra-nanocrystalline diamond (UNCD films deposited by microwave plasma CVD with addition of nitrogen are investigated with various spectroscopic techniques. The results of spatially resolved Raman scattering, ATR/FT-IR and XPS spectra show more amorphous and sp2/sp3 ratio characteristics in N-doped UNCD films. The surface morphology in AFM scans shows larger nanocrystalline diamond clusters in N-doped UNCD films. Incorporation of nitrogen into UNCD films has promoted an increase of amorphous sp2-bonded carbons in the grain boundaries and the size of nanocrystalline diamond grains that are well correlated to the reported enhancement of conductivity and structural changes of UNCD films.

  4. Optimization of microwave-induced chemical etching for rapid development of neutron-induced recoil tracks in CR-39 detectors

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Bandyopadhyay, T.

    2014-01-01

    A systematic investigation is carried out to optimize the recently established microwave-induced chemical etching (MICE) parameters for rapid development of neutron-induced recoil tracks in CR-39 detectors. Several combinations of all available microwave powers with different etching durations were analysed to determine the most suitable etching condition. The etching duration was found to reduce with increasing microwave power and the tracks were observed at about 18, 15, 12, and 6 min for 300, 450, 600 and 900 W of microwave powers respectively compared to a few hours in chemical etching (CE) method. However, for complete development of tracks the etching duration of 30, 40, 50 and 60 min were found to be suitable for the microwave powers of 900, 600, 450 and 300 W, respectively. Temperature profiles of the etchant for all the available microwave powers at different etching durations were generated to regulate the etching process in a controlled manner. The bulk etch rates at different microwave powers were determined by 2 methods, viz., gravimetric and removed thickness methods. A logarithmic expression was used to fit the variation of bulk etch rate with microwave power. Neutron detection efficiencies were obtained for all the cases and the results on track parameters obtained with MICE technique were compared with those obtained from another detector processed with chemical etching. - Highlights: • Microwave-induced chemical etching method is optimized for rapid development of recoil tracks due to neutrons in CR-39 detector. • Several combinations of microwave powers and etching durations are investigated to standardize the suitable etching condition. • Bulk-etch rates are determined for all microwave powers by two different methods, viz. gravimetric and removed thickness method. • The method is found to be simple, effective and much faster compared to conventional chemical etching

  5. MICROWAVE NOISE MEASUREMENT OF ELECTRON TEMPERATURES IN AFTERGLOW PLASMAS

    Energy Technology Data Exchange (ETDEWEB)

    Leiby, Jr., C. C.; McBee, W. D.

    1963-10-15

    Transient electron temperatures in afterglow plasmas were determined for He (5 and 10 torr), Ne, and Ne plus or minus 5% Ar (2.4 and 24 torr) by combining measurements of plasma microwave noise power, and plasma reflectivity and absorptivity. Use of a low-noise parametric preamplifier permitted continuous detection during the afterglow of noise power at 5.5 Bc in a 1 Mc bandwidth. Electron temperature decays were a function of pressure and gas but were slower than predicted by electron energy loss mechanisms. The addition of argon altered the electron density decay in the neon afterglow but the electron temperature decay was not appreciably changed. Resonances in detected noise power vs time in the afterglow were observed for two of the three plasma waveguide geometries studied. These resonances correlate with observed resonances in absorptivity and occur over the same range of electron densities for a given geometry independent of gas type and pressure. (auth)

  6. Investigation of graft copolymerization modification of PTFE surface using microwave plasma

    International Nuclear Information System (INIS)

    Wen Yunjian; Guan Weishu; Fang Yan; Ying Yongxiang

    1995-03-01

    Investigation of graft copolymerization modification of PTFE surface with kind of one or another reactive monomers was performed by using non-equilibrium microwave plasma at 2.45 GHz under various operating conditions. Untreated clean samples and grafted samples were examined and analyzed with different surface analytical techniques such as X-Ray Photoelectron Spectroscopy (XPS), Attenuated Total Reflectance-Fourier Transform Infrared Spectroscopy (ATR-FTIR) and Scanning Electron Microscopy (SEM). The results showed that the occurrence of noticeable de-fluorination and cross linking on grafted surface, and different polar groups and content of oxygen-containing were introduced into the grafted surface of PTFE. Fibriform hetero-structure layer was also formed. These results confirmed the success of graft and indicated that the hydrophilicity of the grafted surface is excellent and a significant improvement in adhesion characteristics has been achieved. The experiments revealed that the changes in surface properties are correlated closely to the changes in chemical structure, composition and morphology. (8 figs., 1 refs.)

  7. Removal of volatile organic compounds by a high pressure microwave plasma torch

    International Nuclear Information System (INIS)

    Rubio, S.J.; Quintero, M.C.; Rodero, A.; Alvarez, R.

    2004-01-01

    A helium microwave plasma torch was studied and optimised as a destruction system of volatile organic compounds. Attention was focused on trichloroethylene as a prototypical volatile organic compound, which is used technologically and which poses known health risks. The dependence of the destruction efficiency on the plasma conditions was obtained for different values of trichloroethylene concentrations. The results show a destruction and removal efficiency greater than 99.999% (Authors)

  8. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  9. Synthesis of Carbon Nanomaterials from Rice Husk via Microwave Oven

    Directory of Open Access Journals (Sweden)

    Muhammad Asnawi

    2018-01-01

    Full Text Available Microwave oven was utilized to fabricate carbon nanostructure, specifically CNTs, from waste RH powders. It has been shown that the use of carbon source, catalyst, and commercial microwave oven to induce plasma is necessary to carry on this synthesis. The plasma enhances and speeds up the catalytic decomposition of RH in presence of ferrocene. FESEM, TGA, and Raman spectroscopy were utilized to confirm the presence and quality of produced carbon nanomaterials. In addition, these results suggest the conversion of ferrocene to iron(II, III oxide with notable conversion rate.

  10. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  11. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  12. High-power microwave transmission and launching systems for fusion plasma heating systems

    International Nuclear Information System (INIS)

    Bigelow, T.S.

    1989-01-01

    Microwave power in the 30- to 300-GHz frequency range is becoming widely used for heating of plasma in present-day fusion energy magnetic confinement experiments. Microwave power is effective in ionizing plasma and heating electrons through the electron cyclotron heating (ECH) process. Since the power is absorbed in regions of the magnetic field where resonance occurs and launching antennas with narrow beam widths are possible, power deposition location can be highly controlled. This is important for maximizing the power utilization efficiency and improving plasma parameters. Development of the gyrotron oscillator tube has advanced in recent years so that a 1-MW continuous-wave, 140-GHz power source will soon be available. Gyrotron output power is typically in a circular waveguide propagating a circular electric mode (such as TE 0,2 ) or a whispering-gallery mode (such as TE 15,2 ), depending on frequency and power level. An alternative high-power microwave source currently under development is the free-electron laser (FEL), which may be capable of generating 2-10 MW of average power at frequencies of up to 500 GHz. The FEL has a rectangular output waveguide carrying the TE 0,1 mode. Because of its higher complexity and cost, the high-average-power FEL is not yet as extensively developed as the gyrotron. In this paper, several types of operating ECH transmission systems are discussed, as well systems currently being developed. The trend in this area is toward higher power and frequency due to the improvements in plasma density and temperature possible. Every system requires a variety of components, such as mode converters, waveguide bends, launchers, and directional couplers. Some of these components are discussed here, along with ongoing work to improve their performance. 8 refs

  13. Characterization and modelling of microwave multi dipole plasmas. Application to multi dipolar plasma assisted sputtering; Caracterization et modelisation des plasmas micro-onde multi-dipolaires. Application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Tan Vinh [Universite Joseph Fourier/CNRS-IN2P3, 53 Avenue des Martyrs, F-38026 Grenoble (France)

    2006-07-01

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mTorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mTorr argon pressures. The modelling of electron trajectories near

  14. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  15. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  16. Decomposition of methane hydrate for hydrogen production using microwave and radio frequency in-liquid plasma methods

    International Nuclear Information System (INIS)

    Rahim, Ismail; Nomura, Shinfuku; Mukasa, Shinobu; Toyota, Hiromichi

    2015-01-01

    This research involves two in-liquid plasma methods of methane hydrate decomposition, one using radio frequency wave (RF) irradiation and the other microwave radiation (MW). The ultimate goal of this research is to develop a practical process for decomposition of methane hydrate directly at the subsea site for fuel gas production. The mechanism for methane hydrate decomposition begins with the dissociation process of methane hydrate formed by CH_4 and water. The process continues with the simultaneously occurring steam methane reforming process and methane cracking reaction, during which the methane hydrate is decomposed releasing CH_4 into H_2, CO and other by-products. It was found that methane hydrate can be decomposed with a faster rate of CH_4 release using microwave irradiation over that using radio frequency irradiation. However, the radio frequency plasma method produces hydrogen with a purity of 63.1% and a CH conversion ratio of 99.1%, which is higher than using microwave plasma method which produces hydrogen with a purity of 42.1% and CH_4 conversion ratio of 85.5%. - Highlights: • The decomposition of methane hydrate is proposed using plasma in-liquid method. • Synthetic methane hydrate is used as the sample for decomposition in plasma. • Hydrogen can be produced from decomposition of methane hydrate. • Hydrogen purity is higher when using radio frequency stimulation.

  17. Transmission characteristics of microwave in a glow-discharge dusty plasma

    Science.gov (United States)

    Jia, Jieshu; Yuan, Chengxun; Gao, Ruilin; Liu, Sha; Yue, Feng; Wang, Ying; Zhou, Zhong-Xiang; Wu, Jian; Li, Hui

    2016-07-01

    In this study, the propagation characteristics of electromagnetic wave in a glow discharge plasma with dust particles are experimentally investigated. A helium alternating current glow discharge plasmas have been successfully generated. Measurements of the plasma parameters using Langmuir probes, in the absence of dust particles, provide plasma densities (ne) of 1017 m-3 and electron temperatures (Te) ranging from 2 to 4 eV. Dusty plasmas are made by adding 30 nm radius aluminum oxide (Al2O3) particles into the helium plasma. The density of the dust particle (nd) in the device is about 1011-1012 m-3. The propagation characteristics of electromagnetic waves are determined by a vector network analyzer with 4-6 GHz antennas. An apparent attenuation by the dust is observed, and the measured attenuation data are approximately in accordance with the theoretical calculations. The effects of gas pressure and input power on the propagation are also investigated. Results show that the transmission attenuation increases with the gas pressure and input power, the charged dust particles play a significant role in the microwave attenuation.

  18. Multichannel microwave interferometer with an antenna switching system for electron density measurement in a laboratory plasma experiment

    Energy Technology Data Exchange (ETDEWEB)

    Kawamori, Eiichirou; Lin, Yu-Hsiang [Institute of Space and Plasma Sciences, National Cheng Kung University, Tainan 70101, Taiwan (China); Mase, Atsushi [Art, Science and Technology Center for Cooperative Research, Kyushu University, Kasuga 816-8580 (Japan); Nishida, Yasushi; Cheng, C. Z. [Institute of Space and Plasma Sciences, National Cheng Kung University, Tainan 70101, Taiwan (China); Plasma and Space Science Center, National Cheng Kung University, Tainan 70101, Taiwan (China)

    2014-02-15

    This study presents a simple and powerful technique for multichannel measurements of the density profile in laboratory plasmas by microwave interferometry. This technique uses electromechanical microwave switches to temporally switch the connection between multiple receiver antennas and one phase-detection circuit. Using this method, the phase information detected at different positions is rearranged into a time series that can be acquired from a minimum number of data acquisition channels (e.g., two channels in the case of quadrature detection). Our successfully developed multichannel microwave interferometer that uses the antenna switching method was applied to measure the radial electron density profiles in a magnetized plasma experiment. The advantage of the proposed method is its compactness and scalability to multidimensional measurement systems at low cost.

  19. Production of nitric oxide using a microwave plasma torch and its application to fungal cell differentiation

    International Nuclear Information System (INIS)

    Na, Young Ho; Kang, Min-Ho; Cho, Guang Sup; Choi, Eun Ha; Park, Gyungsoon; Uhm, Han Sup; Kumar, Naresh

    2015-01-01

    The generation of nitric oxide by a microwave plasma torch is proposed for its application to cell differentiation. A microwave plasma torch was developed based on basic kinetic theory. The analytical theory indicates that nitric oxide density is nearly proportional to oxygen molecular density and that the high-temperature flame is an effective means of generating nitric oxide. Experimental data pertaining to nitric oxide production are presented in terms of the oxygen input in units of cubic centimeters per minute. The apparent length of the torch flame increases as the oxygen input increases. The various levels of nitric oxide are observed depending on the flow rate of nitrogen gas, the mole fraction of oxygen gas, and the microwave power. In order to evaluate the potential of nitric oxide as an activator of cell differentiation, we applied nitric oxide generated from the microwave plasma torch to a model microbial cell (Neurospora crassa: non-pathogenic fungus). Germination and hyphal differentiation of fungal cells were not dramatically changed but there was a significant increase in spore formation after treatment with nitric oxide. In addition, the expression level of a sporulation related gene acon-3 was significantly elevated after 24 h upon nitric oxide treatment. Increase in the level of nitric oxide, nitrite and nitrate in water after nitric oxide treatment seems to be responsible for activation of fungal sporulation. Our results suggest that nitric oxide generated by plasma can be used as a possible activator of cell differentiation and development. (paper)

  20. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  1. Microwave energy transmission

    Energy Technology Data Exchange (ETDEWEB)

    Matsumoto, Hiroshi [Kyoto Univ. (Japan)

    1989-03-05

    Laying stress on the technological problems and effect on the environment of microwave energy transmission, recent scientific and engineering problems and related subjects are described. Because no fuel is required for the solar power generation, the power generation system can not be considered as an expensive one when the unit cost of energy is taken into consideration. Some of the important technological problems in the microwave energy transmission are accurate microwave beam control technology to receiving stations and improvement in the efficiency of transmission system. Microwave energy beam has effects on living bodies, communication, and plasma atmosphere of the earth. Microwave energy transmission using a space flyer unit is scheduled. Its objective is the development of microwave wireless transmission technology and the study of the correlation between high power microwave and ionosphere plasma. Experiments on such a small scale application as a microwave driven space ship to bring results seem also important. 12 refs., 13 figs.

  2. Interaction of high-current relativistic electron beams with plasma. Physical nature of the phenomenon and its application in microwave electronics

    International Nuclear Information System (INIS)

    Rukhadze, A.A.

    1981-01-01

    Pulsed high-current electron beams with characteristic parameters: electron energy 10 5 -10 7 eV, electron current 10 3 -10 6 A, pulse duration 10 -8 -10 -6 s, beam energy 10 2 -10 6 J and power 10 8 -10 13 W, are widely used in different branches of science and technology such as controlled thermonuclear fusion, relativistic microwave electronics, powerful semiconductors, chemical and gaseous lasers, new principles of heavy-ion acceleration, and long-distance energy transmission. The paper discusses a new branch of science - pulsed high-current electronics, which has its own experimental technique and methods of theoretical analysis. Parts I and II determine what is meant by ''high current'' in an electron beam and calculate the maximum obtainable current values; these calculations are made for the simplest geometrical configurations realizable in practice. Current methods for theoretical analysis of high-current electron beam physics are described, together with classification of current experimental devices for generating such beams according to high-current parameters. The stability of electron beams is discussed and the concept of critical currents is introduced. Part III gives a detailed account of plasma-beam instability which occurs on the interaction of a high-current electron beam with high-density space-limited plasma. The linear and non-linear stages of beam instability are considered. The given theory is used for calculations for amplifiers and microwave generators of electromagnetic radiation. Finally, the experimental achievements in high-current relativistic microwave electronics are reviewed. (author)

  3. Laser diagnostics of atomic hydrogen and oxygen production in rf and microwave plasma discharges

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1993-01-01

    The research for this thesis involved the application of two-photon allowed laser-induced fluorescence (TALIF) to the study of atomic hydrogen and oxygen production in industrial scale radio-frequency and microwave plasma discharge apparatus. Absolute atomic hydrogen concentration profiles were measured in a Gaseous Electronics Conference Reference Cell installed at Wright-Patterson AFB, Ohio operating with a simple H 2 discharge. Two-dimensional atomic hydrogen concentration profiles were also measured in an ASTEX HPMM microwave plasma diamond deposition reactor during actual diamond growth. In addition absolute atomic oxygen concentrations were measured in the ASTEX system. Particular attention as paid to refining the concentration calibration technique and in determining a correction to account for the collisional quenching of excited state fluorescence in high pressure gases

  4. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    International Nuclear Information System (INIS)

    Hamaguchi, Satoshi

    2013-01-01

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed

  5. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    Energy Technology Data Exchange (ETDEWEB)

    Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan)

    2013-07-11

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed.

  6. Characterization of low-temperature microwave loss of thin aluminum oxide formed by plasma oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Chunqing, E-mail: cdeng@uwaterloo.ca; Otto, M.; Lupascu, A., E-mail: alupascu@uwaterloo.ca [Institute for Quantum Computing, Department of Physics and Astronomy, and Waterloo Institute for Nanotechnology, University of Waterloo, Waterloo, Ontario N2L 3G1 (Canada)

    2014-01-27

    We report on the characterization of microwave loss of thin aluminum oxide films at low temperatures using superconducting lumped resonators. The oxide films are fabricated using plasma oxidation of aluminum and have a thickness of 5 nm. We measure the dielectric loss versus microwave power for resonators with frequencies in the GHz range at temperatures from 54 to 303 mK. The power and temperature dependence of the loss are consistent with the tunneling two-level system theory. These results are relevant to understanding decoherence in superconducting quantum devices. The obtained oxide films are thin and robust, making them suitable for capacitors in compact microwave resonators.

  7. Microwave enhanced Fenton-like process for the treatment of high concentration pharmaceutical wastewater

    International Nuclear Information System (INIS)

    Yang Yu; Wang Peng; Shi Shujie; Liu Yuan

    2009-01-01

    This paper explored a novel process for wastewater treatment, i.e. microwave enhanced Fenton-like process. This novel process was introduced to treat high concentration pharmaceutical wastewater with initial COD loading of 49,912.5 mg L -1 . Operating parameters were investigated and the optimal condition included as follows: microwave power was 300 W, radiation time was 6 min, initial pH was 4.42, H 2 O 2 dosage was 1300 mg L -1 and Fe 2 (SO 4 ) 3 dosage was 4900 mg L -1 , respectively. Within the present experimental condition used, the COD removal and UV 254 removal reached to 57.53% and 55.06%, respectively, and BOD 5 /COD was enhanced from 0.165 to 0.470. The variation of molecular weight distribution indicated that both macromolecular substances and micromolecular substances were eliminated quite well. The structure of flocs revealed that one ferric hydrated ion seemed to connect with another ferric hydrated ion and/or organic compound molecule to form large-scale particles by means of van der waals force and/or hydrogen bond. Subsequently, these particles aggregated to form flocs and settled down. Comparing with traditional Fenton-like reaction and conventional heating assisted Fenton-like reaction, microwave enhanced Fenton-like process displayed superior treatment efficiency. Microwave was in favor of improving the degradation efficiency, the settling quality of sludge, as well as reducing the yield of sludge and enhancing the biodegradability of effluent. Microwave enhanced Fenton-like process is believed to be a promising treatment technology for high concentration and biorefractory wastewater.

  8. Synhesis of carbon nanotubes by plasma-enhanced chemical vapor deposition in an atmospheric-pressure microwave torch

    Czech Academy of Sciences Publication Activity Database

    Zajíčková, L.; Jašek, O.; Eliáš, M.; Synek, P.; Lazar, L.; Schneeweiss, Oldřich; Hanzlíková, Renáta

    2010-01-01

    Roč. 82, č. 6 (2010), s. 1259-1272 ISSN 0033-4545 Institutional research plan: CEZ:AV0Z20410507; CEZ:AV0Z20650511 Keywords : carbon * nanotubes * synthesis Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 2.128, year: 2010

  9. Microwave Tokamak Experiment

    International Nuclear Information System (INIS)

    Anon.

    1988-01-01

    The Microwave Tokamak Experiment, now under construction at the Laboratory, will use microwave heating from a free-electron laser. The intense microwave pulses will be injected into the tokamak to realize several goals, including a demonstration of the effects of localized heat deposition within magnetically confined plasma, a better understanding of energy confinement in tokamaks, and use of the new free-electron laser technology for plasma heating. The experiment, soon to be operational, provides an opportunity to study dense plasmas heated by powers unprecedented in the electron-cyclotron frequency range required by the especially high magnetic fields used with the MTX and needed for reactors. 1 references, 5 figures, 3 tables

  10. The Barrier Properties of PET Coated DLC Film Deposited by Microwave Surface-Wave PECVD

    Science.gov (United States)

    Yin, Lianhua; Chen, Qiang

    2017-12-01

    In this paper we report the investigation of diamond-like carbon (DLC) deposited by microwave surface-wave plasma enhanced chemical vapor deposition (PECVD) on the polyethylene terephthalate (PET) web for the purpose of the barrier property improvement. In order to characterize the properties of DLC coatings, we used several substrates, silicon wafer, glass, and PET web and KBr tablet. The deposition rate was obtained by surface profiler based on the DLC deposited on glass substrates; Fourier transform infrared spectroscope (FTIR) was carried out on KBr tablets to investigate chemical composition and bonding structure; the morphology of the DLC coating was analyzed by atomic force microscope (AFM) on Si substrates. For the barrier properties of PET webs, we measured the oxygen transmission rate (OTR) and water vapor transmission rate (WVTR) after coated with DLC films. We addressed the film barrier property related to process parameters, such as microwave power and pulse parameter in this work. The results show that the DLC coatings can greatly improve the barrier properties of PET webs.

  11. Spatio-temporal dynamics of a pulsed microwave argon plasma: ignition and afterglow

    International Nuclear Information System (INIS)

    Carbone, Emile; Sadeghi, Nader; Vos, Erik; Hübner, Simon; Van Veldhuizen, Eddie; Van Dijk, Jan; Nijdam, Sander; Kroesen, Gerrit

    2015-01-01

    In this paper, a detailed investigation of the spatio-temporal dynamics of a pulsed microwave plasma is presented. The plasma is ignited inside a dielectric tube in a repetitively pulsed regime at pressures ranging from 1 up to 100 mbar with pulse repetition frequencies from 200 Hz up to 500 kHz. Various diagnostic techniques are employed to obtain the main plasma parameters both spatially and with high temporal resolution. Thomson scattering is used to obtain the electron density and mean electron energy at fixed positions in the dielectric tube. The temporal evolution of the two resonant and two metastable argon 4s states are measured by laser diode absorption spectroscopy. Nanosecond time-resolved imaging of the discharge allows us to follow the spatio-temporal evolution of the discharge with high temporal and spatial resolution. Finally, the temporal evolution of argon 4p and higher states is measured by optical emission spectroscopy. The combination of these various diagnostics techniques gives deeper insight on the plasma dynamics during pulsed microwave plasma operation from low to high pressure regimes. The effects of the pulse repetition frequency on the plasma ignition dynamics are discussed and the plasma-off time is found to be the relevant parameter for the observed ignition modes. Depending on the delay between two plasma pulses, the dynamics of the ionization front are found to be changing dramatically. This is also reflected in the dynamics of the electron density and temperature and argon line emission from the plasma. On the other hand, the (quasi) steady state properties of the plasma are found to depend only weakly on the pulse repetition frequency and the afterglow kinetics present an uniform spatio-temporal behavior. However, compared to continuous operation, the time-averaged metastable and resonant state 4s densities are found to be significantly larger around a few kHz pulsing frequency. (paper)

  12. Optical emission spectroscopy for quantification of ultraviolet radiations and biocide active species in microwave argon plasma jet at atmospheric pressure

    Energy Technology Data Exchange (ETDEWEB)

    Wattieaux, G., E-mail: gaetan.wattieaux@laplace.univ-tlse.fr; Yousfi, M.; Merbahi, N.

    2013-11-01

    This work deals with absorption and mainly emission spectrometry of a microwave induced surfatron plasma jet launched in ambient air and using an Argon flow carrier gas. The Ar flow rate varies between 1 and 3 L/min and the microwave power between 40 and 60 W. The analysis of the various spectra has led to the determination of the ozone and atomic oxygen concentrations, ultraviolet (UV) irradiance separating UVA, UVB and UVC, gas temperature, plasma electron density and excitation temperature. Most of these diagnostics are spatially resolved along the plasma jet axis. It is shown more particularly that rotational temperature obtained from OH(A-X) spectra ranges between 800 K to 1000 K while the apparent temperature of the plasma jet remains lower than about 325 K which is compatible with biocide treatment without significant thermal effect. The electron density reaches 1.2 × 10{sup 14} cm{sup −3}, the excitation temperature is about 4000 K, the UVC radiation represents only 5% of the UV radiations emitted by the device, the ozone concentration is found to reach 88 ± 27 ppm in the downstream part of the plasma jet at a distance of 30 mm away from the quartz tube outlet of the surfatron and the atomic oxygen concentration lies between 10 and 80 ppm up to a distance of 20 mm away from the quartz tube outlet. Ozone is identified as the main germicidal active species produced by the device since its concentration is in accordance with bacteria inactivation durations usually reported using such plasma devices. Human health hazard assessment is carried out all along this study since simple solutions are reminded to respect safety standards for exposures to ozone and microwave leakage. In this study, an air extraction unit is used and a Faraday cage is set around the quartz tube of the surfatron and the plasma jet. These solutions should be adopted by users of microwave induced plasma in open air conditions because according to the literature, this is not often the

  13. Optical emission spectroscopy for quantification of ultraviolet radiations and biocide active species in microwave argon plasma jet at atmospheric pressure

    International Nuclear Information System (INIS)

    Wattieaux, G.; Yousfi, M.; Merbahi, N.

    2013-01-01

    This work deals with absorption and mainly emission spectrometry of a microwave induced surfatron plasma jet launched in ambient air and using an Argon flow carrier gas. The Ar flow rate varies between 1 and 3 L/min and the microwave power between 40 and 60 W. The analysis of the various spectra has led to the determination of the ozone and atomic oxygen concentrations, ultraviolet (UV) irradiance separating UVA, UVB and UVC, gas temperature, plasma electron density and excitation temperature. Most of these diagnostics are spatially resolved along the plasma jet axis. It is shown more particularly that rotational temperature obtained from OH(A-X) spectra ranges between 800 K to 1000 K while the apparent temperature of the plasma jet remains lower than about 325 K which is compatible with biocide treatment without significant thermal effect. The electron density reaches 1.2 × 10 14 cm −3 , the excitation temperature is about 4000 K, the UVC radiation represents only 5% of the UV radiations emitted by the device, the ozone concentration is found to reach 88 ± 27 ppm in the downstream part of the plasma jet at a distance of 30 mm away from the quartz tube outlet of the surfatron and the atomic oxygen concentration lies between 10 and 80 ppm up to a distance of 20 mm away from the quartz tube outlet. Ozone is identified as the main germicidal active species produced by the device since its concentration is in accordance with bacteria inactivation durations usually reported using such plasma devices. Human health hazard assessment is carried out all along this study since simple solutions are reminded to respect safety standards for exposures to ozone and microwave leakage. In this study, an air extraction unit is used and a Faraday cage is set around the quartz tube of the surfatron and the plasma jet. These solutions should be adopted by users of microwave induced plasma in open air conditions because according to the literature, this is not often the case

  14. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  15. Synthesis and growth mechanism of Fe-catalyzed carbon nanotubes by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jiang Jun; Feng Tao; Cheng Xinhong; Dai Lijuan; Cao Gongbai; Jiang Bingyao; Wang Xi; Liu Xianghuai; Zou Shichang

    2006-01-01

    Plasma-enhanced chemical vapor deposition (PECVD) was used to grow Fe-catalyzed carbon nanotubes (CNTs). The nanotubes had a uniform diameter in the range of about 10-20 nm. A base growth mode was responsible for the CNTs growth using a mixture of H 2 (60 sccm) and C 2 H 2 (15 sccm). For a mixture of H 2 (100 sccm) and C 2 H 2 (25 sccm), a complicated growth mechanism took place involving both the base growth and the tip growth. X-ray photoelectron spectroscopy measurements revealed that the grown CNTs contained C-H covalent bonds and Fe-C bonds located at the interface between them and the substrates. The factors determining the growth mechanism of CNTs are discussed and their growth mechanisms with the different gas ratios are suggested

  16. Spatially resolved emission spectroscopic investigation of microwave-induced reactive low-power plasma jets

    International Nuclear Information System (INIS)

    Arnold, Thomas; Grabovski, Sergey; Schindler, Axel; Wagner, Hans-Erich

    2004-01-01

    A microwave-induced Ar/SF 6 plasma jet is characterized by means of optical emission spectroscopy. Rotational temperatures from unresolved N 2 bands and excitation temperatures from Fe lines as well as electron densities (H β Stark broadening) have been estimated along the plasma jet axis using a side-on configuration. The SF 6 gas flow rate and chamber pressure were varied from 10 to 250 sccm and 20 to 500 mbar, respectively. Three characteristic jet regions have been observed: the plasma ignition zone, followed by the gas mixing zone and a relaxing zone

  17. Enhanced microwave absorption properties in cobalt–zinc ferrite based nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Poorbafrani, A., E-mail: a.poorbafrani@gmail.com; Kiani, E.

    2016-10-15

    In an attempt to find a solution to the problem of the traditional spinel ferrite used as the microwave absorber, the Co{sub 0.6}Zn{sub 0.4}Fe{sub 2}O{sub 4}–Paraffin nanocomposites were investigated. Cobalt–zinc ferrite powders, synthesized through PVA sol–gel method, were combined with differing concentrations of Paraffin wax. The nanocomposite samples were characterized employing various experimental techniques including X-Ray Diffraction (XRD), Field Emission Scanning Electron Microscopy (FESEM), Alternating Gradient Force Magnetometer (AGFM), and Vector Network Analyzer (VNA). The saturation magnetization and coercivity were enhanced utilizing appropriate stoichiometry, coordinate agent, and sintering temperature required for the preparation of cobalt–zinc ferrite. The complex permittivity and permeability spectra, and Reflection Loss (RL) of Co{sub 0.6}Zn{sub 0.4}Fe{sub 2}O{sub 4}–Paraffin nanocomposites were measured in the frequency range of 1–18 GHz. The microwave absorption properties of nanocomposites indicated that the absorbing composite containing 20 wt% of paraffin manifests the strongest microwave attenuation ability. The composite exhibited the reflection loss less than –10 dB in the whole C-band and 30% of the X-band frequencies. - Highlights: • We enhanced the magnetic properties of cobalt–zinc Ferrite nanocomposites. • The samples showed absorption in the whole C-band and 30% of the X-band frequencies. • We tried to solve the problem of the spinel ferrite utilized as efficient absorber. • We enhanced the microwave reflection loss over extended frequency ranges.

  18. Application of microwave air plasma in the destruction of trichloroethylene and carbon tetrachloride at atmospheric pressure

    Energy Technology Data Exchange (ETDEWEB)

    Rubio, S.J., E-mail: f62rugas@uco.es [Departamento de Fisica, Campus de Rabanales, Edificio Einstein, Planta Baja, Universidad de Cordoba (Spain); Quintero, M.C.; Rodero, A. [Departamento de Fisica, Campus de Rabanales, Edificio Einstein, Planta Baja, Universidad de Cordoba (Spain)

    2011-02-15

    In this study, the destruction rate of a volatile waste destruction system based on a microwave plasma torch operating at atmospheric pressure was investigated. Atmospheric air was used to maintain the plasma and was introduced by a compressor, which resulted in lower operating costs compared to other gases such as argon and helium. To isolate the output gases and control the plasma discharge atmosphere, the plasma was coupled to a reactor. The effect of the gas flow rate, microwave power and initial concentration of compound on the destruction efficiency of the system was evaluated. In this study, trichloroethylene and carbon tetrachloride were used as representative volatile organic compounds to determine the destruction rate of the system. Based on the experimental results, at an applied microwave power less than 1000 W, the proposed system can reduce input concentrations in the ppmv range to output concentrations at the ppbv level. High air flow rates and initial concentrations produced energy efficiency values greater than 1000 g/kW h. The output gases and species present in the plasma were analysed by gas chromatography and optical emission spectroscopy, respectively, and negligible amounts of halogenated compounds resulting from the cleavage of C{sub 2}HCl{sub 3} and CCl{sub 4} were observed. The gaseous byproducts of decomposition consisted mainly of CO{sub 2}, NO and N{sub 2}O, as well as trace amounts of Cl{sub 2} and solid CuCl.

  19. Application of microwave air plasma in the destruction of trichloroethylene and carbon tetrachloride at atmospheric pressure.

    Science.gov (United States)

    Rubio, S J; Quintero, M C; Rodero, A

    2011-02-15

    In this study, the destruction rate of a volatile waste destruction system based on a microwave plasma torch operating at atmospheric pressure was investigated. Atmospheric air was used to maintain the plasma and was introduced by a compressor, which resulted in lower operating costs compared to other gases such as argon and helium. To isolate the output gases and control the plasma discharge atmosphere, the plasma was coupled to a reactor. The effect of the gas flow rate, microwave power and initial concentration of compound on the destruction efficiency of the system was evaluated. In this study, trichloroethylene and carbon tetrachloride were used as representative volatile organic compounds to determine the destruction rate of the system. Based on the experimental results, at an applied microwave power less than 1000 W, the proposed system can reduce input concentrations in the ppmv range to output concentrations at the ppbv level. High air flow rates and initial concentrations produced energy efficiency values greater than 1000 g/kW h. The output gases and species present in the plasma were analysed by gas chromatography and optical emission spectroscopy, respectively, and negligible amounts of halogenated compounds resulting from the cleavage of C(2)HCl(3) and CCl(4) were observed. The gaseous byproducts of decomposition consisted mainly of CO(2), NO and N(2)O, as well as trace amounts of Cl(2) and solid CuCl. Copyright © 2010 Elsevier B.V. All rights reserved.

  20. Characterization and modeling of multi-dipolar microwave plasmas: application to multi-dipolar plasma assisted sputtering; Caracterisation et modelisation des plasmas micro-onde multi-dipolaires: application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, T.V

    2006-12-15

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mtorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mtorr argon pressures. The modelling of electron trajectories near

  1. Microwave-Enhanced Thermal Desorption of Polyhalogenated Biphenyls from Contaminated Soil

    Czech Academy of Sciences Publication Activity Database

    Kaštánek, P.; Kaštánek, František; Hájek, Milan

    2010-01-01

    Roč. 136, č. 3 (2010), s. 295-300 ISSN 0733-9372 Institutional research plan: CEZ:AV0Z40720504 Keywords : microwave * experiments * desorption Subject RIV: CI - Industrial Chemistry, Chemical Engineering Impact factor: 1.117, year: 2010

  2. Microwave plasmatrons for giant integrated circuit processing

    Energy Technology Data Exchange (ETDEWEB)

    Petrin, A.B.

    2000-02-01

    A method for calculating the interaction of a powerful microwave with a plane layer of magnetoactive low-pressure plasma under conditions of electron cyclotron resonance is presented. In this paper, the plasma layer is situated between a plane dielectric layer and a plane metal screen. The calculation model contains the microwave energy balance, particle balance, and electron energy balance. The equation that expressed microwave properties of nonuniform magnetoactive plasma is found. The numerical calculations of the microwave-plasma interaction for a one-dimensional model of the problem are considered. Applications of the results for microwave plasmatrons designed for processing giant integrated circuits are suggested.

  3. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  4. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  5. Hierarchy of Electronic Properties of Chemically Derived and Pristine Graphene Probed by Microwave Imaging

    KAUST Repository

    Kundhikanjana, Worasom

    2009-11-11

    Local electrical imaging using microwave impedance microscope is performed on graphene in different modalities, yielding a rich hierarchy of the local conductivity. The low-conductivity graphite oxide and its derivatives show significant electronic inhomogeneity. For the conductive chemical graphene, the residual defects lead to a systematic reduction of the microwave signals. In contrast, the signals on pristine graphene agree well with a lumped-element circuit model. The local impedance information can also be used to verify the electrical contact between overlapped graphene pieces. © 2009 American Chemical Society.

  6. Microwave frequency sweep interferometer for plasma density measurements in ECR ion sources: Design and preliminary results

    Energy Technology Data Exchange (ETDEWEB)

    Torrisi, Giuseppe [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University Mediterranea of Reggio Calabria, Reggio Calabria (Italy); Mascali, David; Neri, Lorenzo; Leonardi, Ornella; Celona, Luigi; Castro, Giuseppe; Agnello, Riccardo; Caruso, Antonio; Passarello, Santi; Longhitano, Alberto; Gammino, Santo [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Sorbello, Gino [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University of Catania, Catania, Italy and INFN-LNS, Catania (Italy); Isernia, Tommaso [University Mediterranea of Reggio Calabria, Reggio Calabria (Italy)

    2016-02-15

    The Electron Cyclotron Resonance Ion Sources (ECRISs) development is strictly related to the availability of new diagnostic tools, as the existing ones are not adequate to such compact machines and to their plasma characteristics. Microwave interferometry is a non-invasive method for plasma diagnostics and represents the best candidate for plasma density measurement in hostile environment. Interferometry in ECRISs is a challenging task mainly due to their compact size. The typical density of ECR plasmas is in the range 10{sup 11}–10{sup 13} cm{sup −3} and it needs a probing beam wavelength of the order of few centimetres, comparable to the chamber radius. The paper describes the design of a microwave interferometer developed at the LNS-INFN laboratories based on the so-called “frequency sweep” method to filter out the multipath contribution in the detected signals. The measurement technique and the preliminary results (calibration) obtained during the experimental tests will be presented.

  7. Influence of the density of the microwave plasma in the nitridation of the AISI 4140 steel

    International Nuclear Information System (INIS)

    Chirino O, S.; Camps C, E.; Escobar A, L.; Mejia H, J.A.

    2004-01-01

    A source of microwaves plasma type ECR was used to modify those mechanical properties of the surface of steel pieces AISI 4140. The experiments were carried out in a range of pressure among 4 X 10 -4 and 7 X 10 -4 Torr using one mixture of gases 60/40 hydrogen / nitrogen and an incident power of the microwaves of 400 W. Previous to the treatment of the samples, the plasma was studied using one Langmuir probe to determine the temperature of the electrons and the density of the plasma, the species excited in the plasma were determined by means of Optical emission spectroscopy. All the samples were treated during 50 min in a regime of low temperature (- 250 C), and the surface hardness it was increased up of 100% of their initial value, with a depth of penetration of the nitrogen of 4.5 μ m. The biggest hardness and depth of penetration of the nitrogen were obtained when the biggest density in the plasma was used to carry out the experiments. (Author)

  8. Development of a multi-channel horn mixer array for microwave imaging plasma diagnostics

    International Nuclear Information System (INIS)

    Ito, Naoki; Kuwahara, Daisuke; Nagayama, Yoshio

    2015-01-01

    Microwave to millimeter-wave diagnostics techniques, such as interferometry, reflectometry, scattering, and radiometry, have been powerful tools for diagnosing magnetically confined plasmas. The resultant measurements have clarified several physics issues, including instability, wave phenomena, and fluctuation-induced transport. Electron cyclotron emission imaging has been an important tool in the investigation of temperature fluctuations, while reflectometry has been employed to measure plasma density profiles and their fluctuations. We have developed a horn-antenna mixer array (HMA), a 50 - 110 GHz 1D antenna array, which can be easily stacked as a 2D array. This article describes an upgrade to the horn mixer array that combines well-characterized mixers, waveguide-to-microstrip line transitions, intermediate frequency amplifiers, and internal local oscillator modules using a monolithic microwave integrated circuit technology to improve system performance. We also report on the use of a multi-channel HMA system. (author)

  9. A comparative study of nitrogen plasma effect on field emission characteristics of single wall carbon nanotubes synthesized by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Husain, Mushahid, E-mail: mush_reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India)

    2014-12-15

    Highlights: • Vertically aligned single wall carbon nanotubes (SWCNTs) have been successfully grown on nickel (Ni) deposited silicon substrate. • The diameter distribution of the grown (SWCNTs) is in the range 1–2 nm. • A current density of 25.0 mA/cm{sup 2} at 1.9 V/μm of the grown SWCNTs is observed with a high turn-on field (E{sub to}) of 1.3 V/μm. • After N{sub 2} nitrogen plasma treatment, huge current density of 81.5 mA/cm{sup 2} at 2.0 V/μm was recorded with low E{sub to} of 1.2 V/μm. • The comparison of these two typical results indicates a drastic enhancement in the field emission properties after plasma treatments. - Abstract: Vertically aligned single wall carbon nanotubes (SWCNTs) with large scale control of diameter, length and alignment have successfully been grown by plasma enhanced chemical vapor deposition (PECVD) system. The nickel (Ni) as catalyst deposited on silicon (Si) substrate was used to grow the SWCNTs. Field emission (FE) characteristics of the as grown SWCNTs were measured using indigenously designed setup in which a diode is configured in such a way that by applying negative voltage on the copper plate (cathode) with respect to stainless steel anode plate, current density can be recorded. To measure the FE characteristics, SWCNTs film pasted on the copper plate with silver epoxy was used as electron emitter source. The effective area of anode was ∼78.5 mm{sup 2} for field emission measurements. The emission measurements were carried out under high vacuum pressure of the order of 10{sup −6} Torr to minimize the electron scattering and degradation of the emitters. The distance between anode and cathode was kept 500 μm (constant) during entire field emission studies. The grown SWCNTs are excellent field emitters, having emission current density higher than 25 mA/cm{sup 2} at turn-on field 1.3 V/μm. In order to enhance the field emission characteristics, the as grown SWCNTs have been treated under nitrogen (N{sub 2

  10. Impact of Microwave Treatment on Chemical Constituents in Fresh Rhizoma Gastrodiae (Tianma by UPLC-MS Analysis

    Directory of Open Access Journals (Sweden)

    Qimeng Fan

    2014-01-01

    Full Text Available Fresh Rhizoma Gastrodiae (Tianma was processed in a microwave oven at 2450 MHz in order to study the effect on the main chemical component changes taking place during microwave treatment. It was found that microwave affected the chemical composition of Tianma. Seven compounds, including gastrodin, gastrodigenin (p-hydroxybenzylalcohol, p-hydroxybenzaldehyde, vanillyl alcohol, vanillin, adenine, and 5-hydroxymethylfurfural, were identified in this study. As major active compounds, the contents of gastrodin and gastrodigenin in MWT Tianma were both twice as much as those in raw Tianma. Besides, the MS data show that there are still some unidentified compositions in Tianma, and there are also many converted compounds in MWT Tianma, which is worthy of further work. The results have indicated that microwave treated fresh Tianma might be helpful in designing the processing of traditional Chinese medicine and the application of microwave technology in traditional Chinese medicine needs to be researched further in the future.

  11. Carbon dioxide elimination and regeneration of resources in a microwave plasma torch.

    Science.gov (United States)

    Uhm, Han S; Kwak, Hyoung S; Hong, Yong C

    2016-04-01

    Carbon dioxide gas as a working gas produces a stable plasma-torch by making use of 2.45 GHz microwaves. The temperature of the torch flame is measured by making use of optical spectroscopy and a thermocouple device. Two distinctive regions are exhibited, a bright, whitish region of a high-temperature zone and a bluish, dimmer region of a relatively low-temperature zone. The bright, whitish region is a typical torch based on plasma species where an analytical investigation indicates dissociation of a substantial fraction of carbon dioxide molecules, forming carbon monoxides and oxygen atoms. The emission profiles of the oxygen atoms and the carbon monoxide molecules confirm the theoretical predictions of carbon dioxide disintegration in the torch. Various hydrocarbon materials may be introduced into the carbon dioxide torch, regenerating new resources and reducing carbon dioxide concentration in the torch. As an example, coal powders in the carbon dioxide torch are converted into carbon monoxide according to the reaction of CO2 + C → 2CO, reducing a substantial amount of carbon dioxide concentration in the torch. In this regards, the microwave plasma torch may be one of the best ways of converting the carbon dioxides into useful new materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  12. A parametric study of the microwave plasma-assisted combustion of premixed ethylene/air mixtures

    Science.gov (United States)

    Fuh, Che A.; Wu, Wei; Wang, Chuji

    2017-11-01

    A parametric study of microwave argon plasma assisted combustion (PAC) of premixed ethylene/air mixtures was carried out using visual imaging, optical emission spectroscopy and cavity ringdown spectroscopy as diagnostic tools. The parameters investigated included the plasma feed gas flow rate, the plasma power, the fuel equivalence ratio and the total flow rate of the fuel/air mixture. The combustion enhancement effects were characterized by the minimum ignition power, the flame length and the fuel efficiency of the combustor. It was found that: (1) increasing the plasma feed gas flow rate resulted in a decrease in the flame length, an increase in the minimum ignition power for near stoichiometric fuel equivalence ratios and a corresponding decrease in the minimum ignition power for ultra-lean and rich fuel equivalence ratios; (2) at a constant plasma power, increasing the total flow rate of the ethylene/air mixture from 1.0 slm to 1.5 slm resulted in an increase in the flame length and a reduction in the fuel efficiency; (3) increasing the plasma power resulted in a slight increase in flame length as well as improved fuel efficiency with fewer C2(d) and CH(A) radicals present downstream of the flame; (4) increasing the fuel equivalence ratio caused an increase in flame length but at a reduced fuel efficiency when plasma power was kept constant; and (5) the ground state OH(X) number density was on the order of 1015 molecules/cm3 and was observed to drop downstream along the propagation axis of the flame at all parameters investigated. Results suggest that each of the parameters independently influences the PAC processes.

  13. Immunobiological effect of bitemporal exposure of rabbits to microwaves

    Energy Technology Data Exchange (ETDEWEB)

    Bogolyubov, V.M.; Pershin, S.B.; Frenkel' , I.D.; Sidorov, V.D.; Galenchik, A.I.; Ponomarev, Yu.T.; Bobkova, A.S.; Kuz' min, S.N.; Moshiashvili, I.Ya.; Kozlova, N.N.; Korovkina, E.G.; Agibalov, Yu.V.

    1987-01-01

    The authors investigate the effects of microwave radiation on the immunological behavior of the thyroid and various hormones of rabbits. Irradiation was carried out on the heads of the animals. They were then divided into four groups depending on the period of exposure. The number of hemolysis-forming cells against sheep red blood cells and the concentration of serum immunoglobulins were determined. Levels of TSH, triiodothyronine, thyroxine, testosterone and prostaglandins in serum or blood plasma were determined by radioimmunoassay and the concentration of total 11-hydroxycorticosteroids in the adrenals and plasma were determined by fluorimetry. Microwave radiation of the temporo-parietal region of the head was found to decrease the number of background hemolysis-forming cells. An increase in glucocorticoid function was recorded. Thyroid function was depressed. The plasma 11-hydroxycorticosteroid level was significantly raised. It is concluded in general that microwave irradiation leads to activation of the hypothalamo-hypophyseo-adrenal system with consequent enhancement of the glucocorticoid function of the adrenal cortex and depression of thyroid function.

  14. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  15. Selective Grafting of Primary Amines onto Carbon Nanotubes via Free-Radical Treatment in Microwave Plasma Post-Discharge

    Directory of Open Access Journals (Sweden)

    Philippe Dubois

    2012-01-01

    Full Text Available A novel strategy to graft functional groups at the surface of carbon nanotubes (CNTs is discussed. Aiming at grafting nitrogen containing groups, and more specifically primary amine covalent functionalization, CNTs were exposed under atomic nitrogen flow arising from an Ar + N2 microwave plasma. The primary amine functions were identified and quantified through chemical derivatization with 4-(trifluoromethylbenzaldehyde and characterized through X-ray photoelectron spectroscopy. The increase of the selectivity in the primary amines grafting onto CNTs, up to 66.7% for treatment of CNT powder, was performed via the reduction of post-treatment oxygen contamination and the addition of hydrogen in the experimental set-up, more particularly in the plasma post-discharge chamber. The analyses of nitrogenated and primary amine functions grafting on the CNT surface suggest that atomic nitrogen (N• and reduced nitrogen species (NH• and NH2• react preferentially with defect sites of CNTs and, then, only atomic nitrogen continues to react on the CNT surface, creating defects.

  16. Microwave enhanced recovery of nickel-copper ore: communition and floatability aspects.

    Science.gov (United States)

    Henda, R; Hermas, A; Gedye, R; Islam, M R

    2005-01-01

    A study describing the effect of microwave radiation, at a frequency of 2450 MHz, on the processes of communication and flotation of a complex sulphide nickel-copper ore is presented. Ore communication has been investigated under standard radiation-free conditions and after ore treatment in a radiated environment as a function of ore size, exposure time to radiation, and microwave power. The findings show that communication is tremendously improved by microwave radiation with values of the relative work index as low as 23% at a microwave power of 1.406 kW and after 10 s of exposure time. Communication is affected by exposure time and microwave power in a nontrivial manner. In terms of ore floatability, the experimental tests have been carried out on a sample of 75 microm in size under different exposure times. The results show that both ore concentrate recoveries and grades of nickel and copper are significantly enhanced after microwave treatment of the ore with relative increases in recovered concentrate, grade of nickel, and grade of copper of 26 wt%, 15 wt%, and 27%, respectively, at a microwave power of 1330 kW and after 30 s of exposure time.

  17. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  18. Diffusion and drift regimes of plasma ionization wave propagation in a microwave field

    International Nuclear Information System (INIS)

    Khodataev, K.V.; Gorelik, B.R.

    1997-01-01

    Investigation into diffusion and drift modes of a plasma ionization wave propagation in the microwave field are conducted within the framework of a one-dimensional model with regard to gas ionization by electron shock in an electrical field, adhesion, mobility and diffusion of electrons

  19. Structure and properties of the Stainless steel AISI 316 nitrided with microwave plasma

    International Nuclear Information System (INIS)

    Becerril R, F.

    1999-01-01

    In this work were presented the results obtained by nitridation on stainless steel AISI 316 using a plasma generated through a microwave discharge with an external magnetic field using several moistures hydrogen / nitrogen to form a plasma. The purpose of nitridation was to increase the surface hardness of stainless steel through a phase formation knew as γN which has been reported that produces such effect without affect the corrosion resistance proper of this material. (Author)

  20. MTX [Microwave Tokamak Experiment] diagnostic and auxiliary systems for confinement, transport, and plasma physics studies

    International Nuclear Information System (INIS)

    Hooper, E.B.; Allen, S.L.; Casper, T.A.; Thomassen, K.I.

    1989-01-01

    This note describes the diagnostics and auxiliary systems on the Microwave Tokamak Experiment (MTX) for confinement, transport, and other plasma physics studies. It is intended as a reference on the installed and planned hardware on the machine for those who need more familiarity with this equipment. Combined with the tokamak itself, these systems define the opportunities and capabilities for experiments in the MTX facility. We also illustrate how these instruments and equipment are to be used in carrying out the MTX Operations Plan. Near term goals for MTX are focussed on the absorption and heating by the microwave beam from the FEL, but the Plan also includes using the facility to study fundamental phenomena in the plasma, to control MHD activity, and to drive current noninductively

  1. Large enhancement of deuteron polarization with frequency modulated microwaves

    CERN Document Server

    AUTHOR|(CDS)2067425; Arik, S; Arvidson, A; Badelek, B; Ballintijn, M K; Bardin,; Baum, G; Berglund, P; Betev, L; Birda, I G; Birsa, R; Bjrkholm, P; Bonner, B E; de Botton, N; Boutemeur, M; Bradamante, Franco; Bressan, A; Brullc, A; Buchanan, J; Bültmann, S; Burtin, E; Cavata, C; Chen, J P; Clement, J; Clocchiatti, M; Corcoran, M D; Crabb, D; Cranshaw, J; Çuhadar-Dönszelmann, T; Deshpande, S; Dalla Torre, A; Van Dantzig, R; Dhawan, S; Dulya, C; Dyring, A; Eichblatt, S; Faivre, Jean-Claude; Fasching, D; Day, D; Feinstein, F; Fernández, C; Frois, B; Garabatos, C; Garzón, J A; Gaussiran, T; Giorgi, M; von Goeler, E; Goloutvin, Igor A; Gómez, A; Gracia, G; De Groot, N; Grosse-Perdekamp, M; Gülmez, E; Hasegawa, T; Hautle, P; Hayashi, N; Heusch, C A; Horikawa, D; von Harrach, N; Hughes, V W; Igo, G; Ishimoto, S; Iwata, T; De Jong, M; Kabu, E M; Kageya, T; Kaiser, R; Karev, A; Kessler, H J; Ketel, T J; Kiryushin, Yu T; Kishi, A; Kisselev, Yu; Klostermann, L; Krämer, Dietrich; Kukhtin, V; Kyynarinen, J; Lamanna, M; Landgraf, U; Lau, V; Krivokhijinea, K; Layda, T; Le Go, J M; Lehár, F; de Lesquen, A; Lichtenstadt, J; Lindqvist, T; Litmaath, M; López-Ponte, S; Loewe, M; Magnon, A; Mallot, G K; Marie, F; Martin, A; Martino, J; Matsuda, T; Mayes, B; McCarthy, J S; van Middelkoop, K; Medved, G; Miller, D; Mitchell, J; Mori, K; Moromisato, J; Mutchler, G S; Nagaitsev, A; Nassalski, J; Naumann, Lutz; Neganov, B; Niinikoski, T O; Oberski, J E J; Ogawa, A; Okumi, S; Ozben, C S; Penzo, Aldo L; Pérez, C A; Perrot-Kunne, F; Piegaia, R; Pinsky, L; Platchkov, S; Pló, M; Pose, D; Postma, D; Peshekhonov, H; Pretz, J; Pussieux, T; Pyrlik, J; Reyhancan, I; Rieubland, Jean Michel; Rijllart, A; Roberts, J B; Rock, S E; Rodríguez, M; Rondio, E; Rondon, O; Ropelewski, Leszek; Rosado, A; Sabo, I; Saborido, J; Salvato, G; Sandacz, A; Sanders, D; Savin, I; Schiavon, Paolo; Schüler, K P; Segel, R; Seitz, R; Semertzidis, Y; Sergeev, S; Sever, F; Shanahan, P; Sichtermann, E P; Smirnov, G; Staude, A; Steinmetz, A; Stuhrmann, H; Teichert, K M; Tessarotto, F; Thiel, W; Velasco, M; Vogt, J; Voss, R; Weinstein, R; Whitten, C; Willumeit, R; Windmolders, R; Wislicki, W; Witzmann, A; Yañez, A; Zanetti, A M; Zhao, J; Zamiatin, N I

    1996-01-01

    We report a large enhancement of 1.7 in deuteron polarization up to values of 0.6 due to frequency modulation of the polarizing microwaves in a two liters polarized target using the method of dynamic nuclear polarization. This target was used during a deep inelastic polarized muon-deuteron scattering experiment at CERN. Measurements of the electron paramagnetic resonance absorption spectra show that frequency modulation gives rise to additional microwave absorption in the spectral wings. Although these results are not understood theoretically, they may provide a useful testing ground for the deeper understanding of dynamic nuclear polarization.

  2. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  3. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom

    2014-10-10

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.

  4. Equivalent circuit of a coaxial-line-based nozzleless microwave 915 MHz plasma source

    International Nuclear Information System (INIS)

    Miotk, R; Jasiński, M; Mizeraczyk, J

    2016-01-01

    This paper presents a new concept of an equivalent circuit of a microwave plasma source (MPS) used for gas treatment. The novelty of presented investigations is the use of the Weissfloch circuit as equivalent of an area of waveguide discontinuity in the MPS which is a result of entering a coaxial-line structure. Furthermore, in this area the microwave discharge is generated. Verification of the proposed method was carried out. The proposed equivalent circuit enabled calculating the MPS tuning characteristics and comparing them with those measured experimentally. This process allowed us to determine the impedance Z_P ofplasma in the MPS. (paper)

  5. Modeling of electron behaviors under microwave electric field in methane and air pre-mixture gas plasma assisted combustion

    Science.gov (United States)

    Akashi, Haruaki; Sasaki, K.; Yoshinaga, T.

    2011-10-01

    Recently, plasma-assisted combustion has been focused on for achieving more efficient combustion way of fossil fuels, reducing pollutants and so on. Shinohara et al has reported that the flame length of methane and air premixed burner shortened by irradiating microwave power without increase of gas temperature. This suggests that electrons heated by microwave electric field assist the combustion. They also measured emission from 2nd Positive Band System (2nd PBS) of nitrogen during the irradiation. To clarify this mechanism, electron behavior under microwave power should be examined. To obtain electron transport parameters, electron Monte Carlo simulations in methane and air mixture gas have been done. A simple model has been developed to simulate inside the flame. To make this model simple, some assumptions are made. The electrons diffuse from the combustion plasma region. And the electrons quickly reach their equilibrium state. And it is found that the simulated emission from 2nd PBS agrees with the experimental result. Recently, plasma-assisted combustion has been focused on for achieving more efficient combustion way of fossil fuels, reducing pollutants and so on. Shinohara et al has reported that the flame length of methane and air premixed burner shortened by irradiating microwave power without increase of gas temperature. This suggests that electrons heated by microwave electric field assist the combustion. They also measured emission from 2nd Positive Band System (2nd PBS) of nitrogen during the irradiation. To clarify this mechanism, electron behavior under microwave power should be examined. To obtain electron transport parameters, electron Monte Carlo simulations in methane and air mixture gas have been done. A simple model has been developed to simulate inside the flame. To make this model simple, some assumptions are made. The electrons diffuse from the combustion plasma region. And the electrons quickly reach their equilibrium state. And it is found

  6. Factors affecting the adhesion of microwave plasma deposited siloxane films on polycarbonate

    International Nuclear Information System (INIS)

    Muir, B.W.; Thissen, H.; Simon, G.P.; Murphy, P.J.; Griesser, H.J.

    2006-01-01

    The effects of a radiofrequency oxygen plasma pretreatment and residual water content in the substrate on the adhesion of microwave plasma deposited tetramethyldisiloxane thin films on Bisphenol-A polycarbonate (BPA-PC) were investigated. Samples were characterised using a crosshatch adhesion test, optical and electron microscopy, and X-ray photoelectron spectroscopy. It was found that the use of a low power (5 W) and low treatment time (0.1 s) oxygen plasma can improve adhesion while greater treatment times (1-30 s) and higher oxygen plasma powers (40 W) resulted in a decreased level of adhesion. In addition, it was shown that a BPA-PC water content greater than 90 ppm resulted in rapid adhesion failure of deposited films at the substrate-plasma polymer interface during outdoor weathering. All films degraded substantially when exposed to environmental weathering, indicating ageing reactions within the plasma polymer films themselves, and at the bulk polymer-coating interface

  7. High-power broad-band tunable microwave oscillator, driven by REB in plasma

    Energy Technology Data Exchange (ETDEWEB)

    Kuzelev, M V; Loza, O T; Ponomarev, A V; Rukhadze, A A; Strel` kov, P S; Shkvarunets, A G; Ulyanov, D K [General Physics Inst. of Russian Academy of Sciences, Moscow (Russian Federation)

    1997-12-31

    The radiation spectra of a plasma relativistic broad-band microwave oscillator were measured. A hollow relativistic electron beam (REB) was injected into the plasma waveguide, consisting of annular plasma in a circular metal waveguide. The radiation spectra were measured by means of a calorimeter-spectrometer with a large cross section in the band of 3-39 GHz. The mean frequency was tunable in the band of 20-27 GHz, the spectrum width was 5-25 GHz with a power level of 40-85 MW. Calculations were carried out based on non-linear theory, taking into account electromagnetic noise amplification due to REB injection into the plasma waveguide. According to the theory the radiation regime should change from the single-particle regime to the collective regime when the plasma density and the gap between the annular plasma and REB are increased. Comparison of the experimental results with the non-linear theory explains some peculiarities of the measured spectrum. (author). 4 figs., 2 refs.

  8. Modeling of plasma chemical processes in the artificial ionized layer in the upper atmosphere by the nanosecond corona discharge

    Science.gov (United States)

    Vikharev, A. L.; Gorbachev, A. M.; Ivanov, O. A.; Kolisko, A. L.; Litvak, A. G.

    1993-08-01

    The plasma chemical processes in the corona discharge formed in air by a series of high voltage pulses of nanosecond duration are investigated experimentally. The experimental conditions (reduced electric field, duration and repetition frequency of the pulses, gas pressure in the chamber) modeled the regime of creation of the artificial ionized layer (AIL) in the upper atmosphere by a nanosecond microwave discharge. It was found that in a nanosecond microwave discharge predominantly generation of ozone occurs, and that the production of nitrogen dioxide is not large. The energy expenditures for the generation of one O 3 molecule were about 15 eV. On the basis of the experimental results the prognosis of the efficiency of ozone generation in AIL was made.

  9. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weimin [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Zhou, Xiaoyan, E-mail: zhouxiaoyan@njfu.edu.cn [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Zhang, Xiaotao [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Bian, Jie [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Wan, Jinglin [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China)

    2017-06-15

    Highlights: • Plasma working under low pressure is easy to realize industrialization. • Enhancing process finished within 75 s. • Plasma treatment leads to the increase in equilibrium contact angle by 330%. • Tinfoil film with simple chemical structure was used to reveal the mechanism. - Abstract: The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Si−O−C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  10. Decontamination of biological warfare agents by a microwave plasma torch

    International Nuclear Information System (INIS)

    Lai, Wilson; Lai, Henry; Kuo, Spencer P.; Tarasenko, Olga; Levon, Kalle

    2005-01-01

    A portable arc-seeded microwave plasma torch running stably with airflow is described and applied for the decontamination of biological warfare agents. Emission spectroscopy of the plasma torch indicated that this torch produced an abundance of reactive atomic oxygen that could effectively oxidize biological agents. Bacillus cereus was chosen as a simulant of Bacillus anthracis spores for biological agent in the decontamination experiments. Decontamination was performed with the airflow rate of 0.393 l/s, corresponding to a maximum concentration of atomic oxygen produced by the torch. The experimental results showed that all spores were killed in less than 8 s at 3 cm distance, 12 s at 4 cm distance, and 16 s at 5 cm distance away from the nozzle of the torch

  11. Pyrolysis of methane by microwaves. Pt. 1

    International Nuclear Information System (INIS)

    Avni, R.; Winefordner, J.D.; Nickel, H.

    1975-04-01

    The pyrolysis of methane and mixtures of argon-methane by microwaves (2,450 MHz) was investigated. The microwave plasma diagnostic study was performed using electrical probes, namely, the double floating probe technique. Parameters such as electric field strength and current densities were measured and from their relationship the electron temperature, electric conductivity, electron and ion densities were evaluated as function of gas pressure, microwave power input and distance of the probe from the microwave cavity. Various spectroscopic techniques were used for the measurement of temperatures in the microwave plasma; the 'reversal temperature' by measuring the intensities of the electronic vibrational bands of CN and OH molecules and 'rotational temperature' from the measured intensities of rotational OH lines. The 'rotational' as well as the 'reversal temperature' were found to be identical and this temperature was assumed to be the temperature of the gas in the microwave plasma. Energy balance calculation, based upon the electrical energy input and thermal losses, were performed in order to determine if steady state conditions existed in the microwave plasma. Emission and absorption spectroscopy were used for determining the active species formed in the pyrolysis of methane and also of mixtures of CH 4 -Ar, by the microwave plasma. (orig.) [de

  12. Novel edible oil sources: Microwave heating and chemical properties.

    Science.gov (United States)

    Hashemi, Seyed Mohammad Bagher; Mousavi Khaneghah, Amin; Koubaa, Mohamed; Lopez-Cervantes, Jaime; Yousefabad, Seyed Hossein Asadi; Hosseini, Seyedeh Fatemeh; Karimi, Masoumeh; Motazedian, Azam; Asadifard, Samira

    2017-02-01

    The aim of this work was to investigate the effect of various microwave heating times (1, 3, 5, 10, and 15min) on the chemical properties of novel edible oil sources, including Mashhadi melon (Cucumis melo var. Iranians cv. Mashhadi), Iranian watermelon (Citrullus lanatus cv. Fire Fon), pumpkin (Cucurbita pepo subsp. pepo var. Styriaca), and yellow apple (Malus domestica cv. Golden Delicious) seed oils. The evaluated parameters were peroxide value (PV), conjugated diene (CD) and triene (CT) values, carbonyl value (CV), p-anisidine value (AnV), oil stability index (OSI), radical scavenging activity (RSA), total tocopherols, total phenolics, as well as chlorophyll and carotenoid contents. Results showed that extended microwave heating involves decreased quality of the seed oils, mainly due to the formation of primary and secondary oxidation products. Microwave heating time also affects the total contents of chlorophylls, carotenoids, phenolics and tocopherols, which clearly decrease by increasing the exposure time. The order of oxidative stability of the analyzed edible oils was pumpkin>Mashhadi melon>Iranian watermelon>yellow apple. The obtained results demonstrated the promising potential of these novel edible oils for different food applications. Copyright © 2016 Elsevier Ltd. All rights reserved.

  13. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  14. Microwave exposure as a fast and cost-effective alternative of oxygen plasma treatment of indium-tin oxide electrode for application in organic solar cells

    Science.gov (United States)

    Soultati, Anastasia; Kostis, Ioannis; Papadimitropoulos, Giorgos; Zeniou, Angelos; Gogolides, Evangelos; Alexandropoulos, Dimitris; Vainos, Nikos; Davazoglou, Dimitris; Speliotis, Thanassis; Stathopoulos, Nikolaos A.; Argitis, Panagiotis; Vasilopoulou, Maria

    2017-12-01

    Pre-treatment methods are commonly employed to clean as well as to modify electrode surfaces. Many previous reports suggest that modifying the surface properties of indium tin oxide (ITO) by oxygen plasma treatment is a crucial step for the fabrication of high performance organic solar cells. In this work, we propose a fast and cost-effective microwave exposure step for the modification of the surface properties of ITO anode electrodes used in organic solar cells. It is demonstrated that a short microwave exposure improves the hydrophilicity and reduces the roughness of the ITO surface, as revealed by contact angle and atomic force microscopy (AFM) measurements, respectively, leading to a better quality of the PEDOT:PSS film coated on top of it. Similar results were obtained with the commonly used oxygen plasma treatment of ITO suggesting that microwave exposure is an effective process for modifying the surface properties of ITO with the benefits of low-cost, easy and fast processing. In addition, the influence of the microwave exposure of ITO anode electrode on the performance of an organic solar cell based on the poly(3-hexylthiophene):[6,6]-phenyl C70 butyric acid methyl ester (P3HT:PC70BM) blend is investigated. The 71% efficiency enhancement obtained in the microwave annealed-ITO based device as compared to the device with the as-received ITO was mainly attributed to the improvement in the short circuit current (J sc) and decreased leakage current caused by the reduced series and the increased shunt resistances and also by the higher charge generation efficiency, and the reduced recombination losses.

  15. Plasma-assisted CO2 conversion: optimizing performance via microwave power modulation

    Science.gov (United States)

    Britun, Nikolay; Silva, Tiago; Chen, Guoxing; Godfroid, Thomas; van der Mullen, Joost; Snyders, Rony

    2018-04-01

    Significant improvement in the energy efficiency of plasma-assisted CO2 conversion is achieved with applied power modulation in a surfaguide microwave discharge. The obtained values of CO2 conversion and energy efficiency are, respectively, 0.23 and 0.33 for a 0.95 CO2  +  0.05 N2 gas mixture. Analysis of the energy relaxation mechanisms shows that power modulation can potentially affect the vibrational-translational energy exchange in plasma. In our case, however, this mechanism does not play a major role, likely due to the low degree of plasma non-equilibrium in the considered pressure range. Instead, the gas residence time in the discharge active zone together with plasma pulse duration are found to be the main factors affecting the CO2 conversion efficiency at low plasma pulse repetition rates. This effect is confirmed experimentally by the in situ time-resolved two-photon absorption laser-induced fluorescence measurements of CO molecular density produced in the discharge as a result of CO2 decomposition.

  16. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  17. Correlation of near-Earth proton enhancements >100 MeV with parameters of solar microwave bursts

    Science.gov (United States)

    Grechnev, Victor; Kiselev, Valentin; Meshalkina, Nataliya; Chertok, Ilya

    2017-09-01

    We analyze the relations between various combinations of peak fluxes and fluences of solar microwave bursts at 35 GHz recorded with the Nobeyama Radio Polarimeters during 1990–2015, and corresponding parameters of proton enhancements with E>100 MeV exceeding 0.1 pfu registered by GOES monitors in near-Earth environment. The highest correlation has been found between the microwave and proton fluences. This fact reflects a dependence of the total number of protons on the total duration of the acceleration process. In the events with strong flares, the correlation coefficients of proton fluences with microwave and soft X-ray fluences are higher than those with speeds of coronal mass ejections. The results indicate a statistically larger contribution of flare processes to acceleration of high-energy protons. Acceleration by shock waves seems to be less important at high energies in events associated with strong flares, although its contribution probably prevails in weaker events. The probability of a detectable proton enhancement was found to directly depend on the peak flux and duration of a microwave burst. This can be used for diagnostics of proton enhancements based on microwave observations.

  18. Effects of low-temperature pretreatment on enhancing properties of refuse-derived fuel via microwave irradiation.

    Science.gov (United States)

    Liu, Zhen; Wang, Han-Qing; Zhou, Yue-Yun; Zhang, Xiao-Dong; Liu, Jian-Wen

    2017-07-01

    The present study focuses on pretreatment of enhancing the properties of refuse-derived fuel (RDF) via low-temperature microwave irradiation. These improved properties include lower chlorine content, a more porous surface structure and better combustion characteristics. In this study, low-temperature microwave irradiation was carried out in a modified microwave apparatus and the range of temperature was set to be 220-300℃. We found that the microwave absorbability of RDF was enhanced after being partly carbonized. Moreover, with the increasing of the final temperature, the organochlorine removal ratio was greatly increased to 80% and the content of chlorine was dramatically decreased to an extremely low level. It was also interesting to find that the chlorine of RDF was mainly released as HCl rather than organic chloride volatiles. The finding is just the same as the polyvinyl chloride pyrolysis process. In addition, pores and channels emerged during the modifying operation and the modified RDF has better combustibility and combustion stability than traditional RDF. This work revealed that low-temperature modification of RDF via microwave irradiation is significant for enhancing the quality of RDF and avoiding HCl erosion of equipment substantially.

  19. Development and Testing of a High Capacity Plasma Chemical Reactor in the Ukraine

    Energy Technology Data Exchange (ETDEWEB)

    Reilly, Raymond W.

    2012-07-30

    This project, Development and Testing of a High Capacity Plasma Chemical Reactor in the Ukraine was established at the Kharkiv Institute of Physics and Technology (KIPT). The associated CRADA was established with Campbell Applied Physics (CAP) located in El Dorado Hills, California. This project extends an earlier project involving both CAP and KIPT conducted under a separate CRADA. The initial project developed the basic Plasma Chemical Reactor (PCR) for generation of ozone gas. This project built upon the technology developed in the first project, greatly enhancing the output of the PCR while also improving reliability and system control.

  20. Microwave activation of palladium nanoparticles for enhanced ethanol electrocatalytic oxidation reaction in alkaline medium

    CSIR Research Space (South Africa)

    Rohwer, MB

    2015-02-01

    Full Text Available in alkaline medium (in terms of high mass activity stability and fast reaction kinetics). The remarkable microwave-induced properties on the Pd catalyst promise to revolutionize the use of microwave for catalyst activation for enhanced heterogeneous catalysis...

  1. Characterization of near-infrared nonmetal atomic emission from an atmospheric helium microwave-induced plasma using a Fourier transform spectrophotometer

    International Nuclear Information System (INIS)

    Hubert, J.; Van Tra, H.; Chi Tran, K.; Baudais, F.L.

    1986-01-01

    A new approach for using Fourier transform spectroscopy (FTS) for the detection of atomic emission from an atmospheric helium plasma has been developed and the results obtained are described. Among the different types of plasma source available, the atmospheric pressure microwave helium plasma appears to be an efficient excitation source for the determination of nonmetal species. The more complete microwave plasma emission spectra of Cl, Br, I, S, O, P, C, N, and He in the near-infrared region were obtained and their corrected relative emission intensities are reported. This makes qualitative identification simple, and aids in the quantitative analysis of atomic species. The accuracy of the emission wavelengths obtained with the Fourier transform spectrophotometer was excellent and the resolution provided by the FTS allowed certain adjacent emission lines to be adequate for analytical applications

  2. Generation and confinement of microwave gas-plasma in photonic dielectric microstructure.

    Science.gov (United States)

    Debord, B; Jamier, R; Gérôme, F; Leroy, O; Boisse-Laporte, C; Leprince, P; Alves, L L; Benabid, F

    2013-10-21

    We report on a self-guided microwave surface-wave induced generation of ~60 μm diameter and 6 cm-long column of argon-plasma confined in the core of a hollow-core photonic crystal fiber. At gas pressure of 1 mbar, the micro-confined plasma exhibits a stable transverse profile with a maximum gas-temperature as high as 1300 ± 200 K, and a wall-temperature as low as 500 K, and an electron density level of 10¹⁴ cm⁻³. The fiber guided fluorescence emission presents strong Ar⁺ spectral lines in the visible and near UV. Theory shows that the observed combination of relatively low wall-temperature and high ionisation rate in this strongly confined configuration is due to an unprecedentedly wide electrostatic space-charge field and the subsequent ion acceleration dominance in the plasma-to-gas power transfer.

  3. 8 GHz, high power, microwave system for heating of thermonuclear plasmas

    International Nuclear Information System (INIS)

    Di Giovenale, S.; Fortunato, T.; Mirizzi, F.; Roccon, M.; Sassi, M.; Tuccillo, A.A.; Maffia, G.; Baldi, L.

    1993-01-01

    The Frascati Tokamak Upgrade (FTU) is a machine included in the European Thermonuclear Fusion Program aimed at investigating high density plasmas in the presence of powerful additional RF heating systems. The Lower Hybrid Resonant Heating (LHRH) system, based on 9 independent modules, works at 8 GHz, and will generate, at full performances, a total amount of 9 MW, in the pulsed regime (pulse length = 1 s, duty cycle = 1/600). The microwave power source is a gyrotron oscillator, developed by Thomson Tubes Electroniques (France) for this specific application, and capable of producing up to 1 MW. An overmoded, low loss, circular waveguide transmits the RF power toward the plasma; an array of 12x4 rectangular waveguides (the 'grill') launches this power into the plasma. The paper describes the LHRH system for FTU and analyses both its main performances and experimental results

  4. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  5. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  6. Effect of microwave-enhanced superconductivity in YBa2Cu3O7 bi-crystalline grain boundary weak-links

    International Nuclear Information System (INIS)

    Fu, C.M.; Chen, C.M.; Lin, H.C.

    1994-01-01

    We have studied systematically the effect of microwave irradiation on the temperature dependent resistivity R(T) and the current-voltage (I-V) characteristics of YBa 2 Cu 3 O 7-x (YBCO) bicrystalline grain boundary weak-links (GBWLs), with grain boundary of three different tilt angles. The superconducting transition temperature, T c , has significant enhancement upon microwave irradiation. The microwave enhanced T c is increased as a function of incidence microwave power, but limited to an optimum power level. The GBWLs of 45 degrees tilt boundary has shown to be most sensitive to the microwave irradiation power, and the GBWLs of 36.8 degrees tilt boundary has displayed a moderate response. In contrast, no enhancement of T c was observed in the GBWLs of 24 degrees tilt boundary, as well as in the uniform films. Under the microwave irradiation, the R(T) dependence is hysteretic as the transition taken from superconducting state to normal state and vice versa. Mechanisms associated with the redistribution of nonequilibrium quasiparticles under microwave irradiation are discussed

  7. Nonlinear phenomena in the interaction of microwaves with the low-temperature argon plasma flux

    International Nuclear Information System (INIS)

    Armand, N.A.; Lisitskaya, A.A.; Rogashkov, S.A.; Rogashkova, A.I.; Chmil', A.I.; Shustin, E.G.

    1982-01-01

    Theoretical and experimental investigations of nonlinear effects arising during the passing of SHF waves across an argon plasma jet flowing from an arc plasmatron have been carried on. It is shown that under conditions of the radiowave propagation through low temperature plasma moving across the direction of the wave propagation modes of both the wave self-focusing and its nonlinear asymmetrical refaction can be accomplished. The effect of the formation and propagation of the additional ionization region in a microwave flow initiated with plasma independently produced in the region of the maximum amplitude of the SHF field has been experimentally discovered [ru

  8. III Workshop on Microwave Reflectometry for Fusion Plasma Diagnostics

    International Nuclear Information System (INIS)

    Sanchez, J.; Luna, E. de la.

    1997-11-01

    Microwave reflectometry is based on the analysis of the properties (phase delay, time delay, amplitude) of a millimeter wave beam which is launched and reflected at the plasma critical layer. Operation with a fixed frequency beam can be used to analyze the electron density fluctuations in the reflecting region. If several frequencies are launched, information about the density profile can be obtained. In these proceedings, a collection of papers is presented on the issues of density fluctuation studies and profile analysis as well as a special contribution about the development of reflectometry for the ITER project. (Author) 145 refs

  9. III Workshop on Microwave Reflectometry for Fusion Plasma Diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez, J; Luna, E de la

    1997-11-01

    Microwave reflectometry is based on the analysis of the properties (phase delay, time delay, amplitude) of a millimeter wave beam which is launched and reflected at the plasma critical layer. Operation with a fixed frequency beam can be used to analyze the electron density fluctuations in the reflecting region. If several frequencies are launched, information about the density profile can be obtained. In these proceedings, a collection of papers is presented on the issues of density fluctuation studies and profile analysis as well as a special contribution about the development of reflectometry for the ITER project. (Author) 145 refs.

  10. Design of a microwave calorimeter for the microwave tokamak experiment

    International Nuclear Information System (INIS)

    Marinak, M.

    1988-01-01

    The initial design of a microwave calorimeter for the Microwave Tokamak Experiment is presented. The design is optimized to measure the refraction and absorption of millimeter rf microwaves as they traverse the toroidal plasma of the Alcator C tokamak. Techniques utilized can be adapted for use in measuring high intensity pulsed output from a microwave device in an environment of ultra high vacuum, intense fields of ionizing and non-ionizing radiation and intense magnetic fields. 16 refs

  11. Plasma density fluctuation measurements from coherent and incoherent microwave reflection

    International Nuclear Information System (INIS)

    Conway, G.D.; Schott, L.; Hirose, A.

    1996-01-01

    Using the spatial coherency present in a reflected microwave signal (Conway et al 1994 Rev. Sci. Instrum. 65 2920) it is possible to measure a coherent, Γ c , and an incoherent, Γ i , reflection coefficient (proportional to the radar cross section) from a turbulent plasma cutoff layer. Results acquired with a 17 GHz reflectometer from a STOR-M tokamak edge region (r/a ∼ 0.8) give significant Γ c and Γ i , which suggests two-dimensional structure in the reflection layer. Using a 'distorted-mirror' model for the plasma fluctuations, estimates of an effective radial width, σ, and poloidal correlation length, L p , can be derived from the reflection coefficients. STOR-M results typically give a σ of a few millimetres and an L p of a couple of centimetres. (author)

  12. Iron-based Nanocomposite Synthesised by Microwave Plasma Decomposition of Iron Pentacarbonyl

    Czech Academy of Sciences Publication Activity Database

    David, Bohumil; Pizúrová, Naděžda; Schneeweiss, Oldřich; Hoder, T.; Kudrle, V.; Janča, J.

    2007-01-01

    Roč. 263, - (2007), s. 147-152 ISSN 1012-0386. [Diffusion and Thermodynamics of Materials /IX/. Brno, 13.09.2006-15.09.2006] R&D Projects: GA ČR GA202/04/0221 Institutional research plan: CEZ:AV0Z20410507 Keywords : iron-based nanopowder * synthesis * microwave plasma method Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.483, year: 2005 http://www.scientific.net/3-908451-35-3/3.html

  13. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  14. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  15. Properties of hydrogenated amorphous silicon (a-Si:H) deposited using a microwave Ecr plasma

    International Nuclear Information System (INIS)

    Mejia H, J.A.

    1996-01-01

    Hydrogenated amorphous silicon (a-Si:H) films have been widely applied to semiconductor devices, such as thin film transistors, solar cells and photosensitive devices. In this work, the first Si-H-Cl alloys (obtained at the National Institute for Nuclear Research of Mexico) were formed by a microwave electron cyclotron resonance (Ecr) plasma CVD method. Gaseous mixtures of silicon tetrachloride (Si Cl 4 ), hydrogen and argon were used. The Ecr plasma was generated by microwaves at 2.45 GHz and a magnetic field of 670 G was applied to maintain the discharge after resonance condition (occurring at 875 G). Si and Cl contents were analyzed by Rutherford Backscattering Spectrometry (RBS). It was found that, increasing proportion of Si Cl 4 in the mixture or decreasing pressure, the silicon and chlorine percentages decrease. Optical gaps were obtained by spectrophotometry. Decreasing temperature, optical gap values increase from 1.4 to 1.5 eV. (Author)

  16. High excitation of the species in nitrogen–aluminum plasma generated by electron cyclotron resonance microwave discharge of N2 gas and pulsed laser ablation of Al target

    International Nuclear Information System (INIS)

    Liang, Peipei; Li, Yanli; Cai, Hua; You, Qinghu; Yang, Xu; Huang, Feiling; Sun, Jian; Xu, Ning; Wu, Jiada

    2014-01-01

    A reactive nitrogen–aluminum plasma generated by electron cyclotron resonance (ECR) microwave discharge of N 2 gas and pulsed laser ablation of an Al target is characterized spectroscopically by time-integrated and time-resolved optical emission spectroscopy (OES). The vibrational and rotational temperatures of N 2 species are determined by spectral simulation. The generated plasma strongly emits radiation from a variety of excited species including ambient nitrogen and ablated aluminum and exhibits unique features in optical emission and temperature evolution compared with the plasmas generated by a pure ECR discharge or by the expansion of the ablation plume. The working N 2 gas is first excited by ECR discharge and the excitation of nitrogen is further enhanced due to the fast expansion of the aluminum plume induced by target ablation, while the excitation of the ablated aluminum is prolonged during the plume expansion in the ECR nitrogen plasma, resulting in the formation of strongly reactive nitrogen–aluminum plasma which contains highly excited species with high vibrational and rotational temperatures. The enhanced intensities and the prolonged duration of the optical emissions of the combined plasma would provide an improved analytical capability for spectrochemical analysis. - Highlights: • ECR discharge and pulsed laser ablation generate highly excited ECR–PLA plasma. • The expansion of PLA plasma results in excitation enhancement of ECR plasma species. • The ECR plasma leads to excitation prolongation of PLA plasma species. • The ECR–PLA plasma emits strong emissions from a variety of excited species. • The ECR–PLA plasma maintains high vibrational–rotational temperatures for a long time

  17. Mechanism for microwave heating of 1-(4'-cyanophenyl)-4-propylcyclohexane characterized by in situ microwave irradiation NMR spectroscopy.

    Science.gov (United States)

    Tasei, Yugo; Yamakami, Takuya; Kawamura, Izuru; Fujito, Teruaki; Ushida, Kiminori; Sato, Motoyasu; Naito, Akira

    2015-05-01

    Microwave heating is widely used to accelerate organic reactions and enhance the activity of enzymes. However, the detailed molecular mechanism for the effect of microwave on chemical reactions is not yet fully understood. To investigate the effects of microwave heating on organic compounds, we have developed an in situ microwave irradiation NMR spectroscopy. (1)H NMR spectra of 1-(4'-cyanophenyl)-4-propylcyclohexane (PCH3) in the liquid crystalline and isotropic phases were observed under microwave irradiation. When the temperature was regulated at slightly higher than the phase transition temperature (Tc=45 °C) under a gas flow temperature control system, liquid crystalline phase mostly changed to the isotropic phase. Under microwave irradiation and with the gas flow temperature maintained at 20 °C, which is 25 °C below the Tc, the isotropic phase appeared stationary as an approximately 2% fraction in the liquid crystalline phase. The temperature of the liquid crystalline state was estimated to be 38 °C according to the line width, which is at least 7 °C lower than the Tc. The temperature of this isotropic phase should be higher than 45 °C, which is considered to be a non-equilibrium local heating state induced by microwave irradiation. Microwaves at a power of 195 W were irradiated to the isotropic phase of PCH3 at 50 °C and after 2 min, the temperature reached 220 °C. The temperature of PCH3 under microwave irradiation was estimated by measurement of the chemical shift changes of individual protons in the molecule. These results demonstrate that microwave heating generates very high temperature within a short time using an in situ microwave irradiation NMR spectrometer. Copyright © 2015 Elsevier Inc. All rights reserved.

  18. Microwave irradiation of lignocellulosic materials, 4: Enhancement of enzymatic susceptibility of microwave-irradiated softwoods

    International Nuclear Information System (INIS)

    Azuma, J.; Higashino, J.; Isaka, M.; Koshijima, T.

    1985-01-01

    Effect of microwave irradiation on the enzymatic susceptibility of various softwoods was investigated. The pH values of the reaction liquor dropped with increasing temperature to 2.9-3.3 at 230°C, consistent with increase in acidity (0.5-0.85 meq at 230-239° C). Above approximately 180°C, hemicellulose underwent acid-mediated autohydrolysis and became water-soluble yielding a mixture of oligosaccharides and monosaccharides. The composition of water-soluble portion was similar for all wood species tested. The maximum extents of saccharification below 240°C ranged between 36-62% for softwoods, while those for hardwoods were between 88-93%. The present investigation confirmed that microwave pretreatment enhanced the enzymatic susceptibility of various softwoods. However, further attempt should be needed to give higher values equal to those for hardwoods. (author)

  19. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  20. Recent Advancements in Microwave Imaging Plasma Diagnostics

    International Nuclear Information System (INIS)

    Park, H.; Chang, C.C.; Deng, B.H.; Domier, C.W.; Donni, A.J.H.; Kawahata, K.; Liang, C.; Liang, X.P.; Lu, H.J.; Luhmann, N.C. Jr.; Mase, A.; Matsuura, H.; Mazzucato, E.; Miura, A.; Mizuno, K.; Munsat, T.; Nagayama, K.; Nagayama, Y.; Pol, M.J. van de; Wang, J.; Xia, Z.G.; Zhang, W-K.

    2002-01-01

    Significant advances in microwave and millimeter wave technology over the past decade have enabled the development of a new generation of imaging diagnostics for current and envisioned magnetic fusion devices. Prominent among these are revolutionary microwave electron cyclotron emission imaging (ECEI), microwave phase imaging interferometers, imaging microwave scattering and microwave imaging reflectometer (MIR) systems for imaging electron temperature and electron density fluctuations (both turbulent and coherent) and profiles (including transport barriers) on toroidal devices such as tokamaks, spherical tori, and stellarators. The diagnostic technology is reviewed, and typical diagnostic systems are analyzed. Representative experimental results obtained with these novel diagnostic systems are also presented

  1. Devulcanization of ground tire rubber: Physical and chemical changes after different microwave exposure times

    Directory of Open Access Journals (Sweden)

    P. S. Garcia

    2015-11-01

    Full Text Available Microwave devulcanization is known to be a promising and an efficient rubber recycling method which makes possible for the rubber to regain its fluidity, and makes it capable of being remolded and revulcanized. The focus of this work is to understand the physical and chemical changes that occur in the ground tire rubber after different microwave exposure periods. For this purpose chemical, thermal, rheological and morphological analyses were performed on the tire rubber, which contains natural rubber (NR and styrene-butadiene rubber (SBR as polymeric material. The results showed that the microwave treatment promoted the breaking of sulfur cross-links and consequently increased the rubber fluidity. However, long periods of exposure led to degradation and modification of some properties. At nanoscale, the deformation of the devulcanized NR domain under stress was observed, and the morphology obtained appears to be a droplet dispersion morphology. The most exposed samples presented only one glass transition temperature, and from this it was concluded that the treatment may have played an important role in the compatibilization of the elastomeric blend. Based on the results, it is required to control the microwave exposure time and polymeric degradation in order to achieve a regenerated rubber with satisfactory properties.

  2. Proceedings of microwave processing of materials 3

    International Nuclear Information System (INIS)

    Beatty, R.L.

    1992-01-01

    This book contains proceedings of the third MRS Symposium on Microwave Processing of Materials. Topics covered include: Microwave Processing Overviews, Numerical Modeling Techniques, Microwave Processing System Design, Microwave/Plasma Processing, Microwave/Materials Interactions, Microwave Processing of Ceramics, Microwave Processing of Polymers, Microwave Processing of Hazardous Wastes, Microwave NDE Techniques and Dielectric Properties and Measurements

  3. Si Nano wires Produced by Very High Frequency Plasma Enhanced Chemical Vapor Deposition (PECVD) via VLS Mechanism

    International Nuclear Information System (INIS)

    Yussof Wahab; Yussof Wahab; Habib Hamidinezhad; Habib Hamidinezhad

    2013-01-01

    Silicon nano wires (SiNWs) with diameter of about a few nanometers and length of 3 μm on silicon wafers were synthesized by very high frequency plasma enhanced chemical vapor deposition. Scanning electron microscopy (SEM) observations showed that the silicon nano wires were grown randomly and energy-dispersive X-ray spectroscopy analysis indicates that the nano wires have the composition of Si, Au and O elements. The SiNWs were characterized by high resolution transmission electron microscopy (HRTEM) and Raman spectroscopy. SEM micrographs displayed SiNWs that are needle-like with a diameter ranged from 30 nm at the top to 100 nm at the bottom of the wire and have length a few of micrometers. In addition, HRTEM showed that SiNWs consist of crystalline silicon core and amorphous silica layer. (author)

  4. Spectroscopic investigation of wave driven microwave plasmas

    International Nuclear Information System (INIS)

    Wijtvliet, R.; Felizardo, E.; Tatarova, E.; Dias, F. M.; Ferreira, C. M.; Nijdam, S.; Veldhuizen, E. V.; Kroesen, G.

    2009-01-01

    Large H atom line broadening was found throughout the volume of surface wave generated He-H 2 and H 2 microwave plasmas at low pressures. The measured Doppler temperatures corresponding to the H β , H γ , H δ , H ε , and H ζ line profiles were found to be higher than the rotational temperature of the hydrogen molecular Fulcher-α band and the Doppler temperature of the 667.1 nm singlet He line. No excessive broadening has been found. The Lorentzian and Gaussian widths as determined by fitting the spectral lines with a Voigt profile increase with the principal quantum number of the upper level. In contrast, no such dependence for the Gaussian width has been observed in an Ar-H 2 discharge. No population inversion has been observed from measurements of the relative intensities of transitions within the Balmer series.

  5. Isolation and characterization of cellulose nanofibers from bamboo using microwave liquefaction combined with chemical treatment and ultrasonication

    Science.gov (United States)

    Jiulong Xie; Chung Hse; Cornelis F. De Hoop; Tingxing Hu; Jinqiu Qi; Todd F. Shupe

    2016-01-01

    Cellulose nanofibers were successfully isolated from bamboo using microwave liquefaction combinedwith chemical treatment and ultrasonic nanofibrillation processes. The microwave liquefaction couldeliminate almost all the lignin in bamboo, resulting in high cellulose content residues within 7 min, andthe cellulose enriched residues could be readily purified by...

  6. A microwave powered sensor assembly for microwave ovens

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to a microwave powered sensor assembly for micro- wave ovens. The microwave powered sensor assembly comprises a microwave antenna for generating an RF antenna signal in response to microwave radiation at a predetermined excitation frequency. A dc power supply circuit...... of the microwave powered sensor assembly is operatively coupled to the RF antenna signal for extracting energy from the RF antenna signal and produce a power supply voltage. A sensor is connected to the power supply voltage and configured to measure a physical or chemical property of a food item under heating...... in a microwave oven chamber....

  7. Microwave Tokamak Experiment: Overview and status

    International Nuclear Information System (INIS)

    1990-05-01

    The Microwave Tokamak Experiment, now under construction at the Laboratory, will use microwave heating from a free-electron laser. The intense microwave pulses will be injected into the tokamak to realize several goals, including a demonstration of the effects of localized heat deposition within magnetically confined plasma, a better understanding of energy confinement in tokamaks, and use of the new free-electron laser technology for plasma heating. 3 figs., 3 tabs

  8. Microwave energy transmission system for solar power station

    Energy Technology Data Exchange (ETDEWEB)

    Matsumoto, Hiroshi

    1988-05-05

    This paper deals with a microwave wireless energy transmission system which will be required for a solar power station under investigation, particularly, it describes its foundation and future investigation. It is supposed that for realization of microwave wireless transmission techniques, it is most important to investigate the effect of strong microwave beams on a plasma environment, establish control techniques for microwave beams in which a retro-directive system is combined with a computer control system, and develop a semiconductor transmission module. Institute of Space and Astronautical Science (Japan) made an experiment on the effect of microwaves on ionospheric plasma by using an observatory rocket. The institute has planned to make an experiment on a microwave energy transmission system which is to be mounted to a small-scale space flyer unit in order to examine the control of microwave beams and 10 KW power transmission, in addition to investigation on the interaction of microwave energy beams with a plasma environment. (4 figs, 3 tabs, 20 refs)

  9. A finite-difference time-domain simulation of high power microwave generated plasma at atmospheric pressures

    International Nuclear Information System (INIS)

    Ford, Patrick J.; Beeson, Sterling R.; Krompholz, Hermann G.; Neuber, Andreas A.

    2012-01-01

    A finite-difference algorithm was developed to calculate several RF breakdown parameters, for example, the formative delay time that is observed between the initial application of a RF field to a dielectric surface and the formation of field-induced plasma interrupting the RF power flow. The analysis is focused on the surface being exposed to a background gas pressure above 50 Torr. The finite-difference algorithm provides numerical solutions to partial differential equations with high resolution in the time domain, making it suitable for simulating the time evolving interaction of microwaves with plasma; in lieu of direct particle tracking, a macroscopic electron density is used to model growth and transport. This approach is presented as an alternative to particle-in-cell methods due to its low complexity and runtime leading to more efficient analysis for a simulation of a microsecond scale pulse. The effect and development of the plasma is modeled in the simulation using scaling laws for ionization rates, momentum transfer collision rates, and diffusion coefficients, as a function of electric field, gas type and pressure. The incorporation of plasma material into the simulation involves using the Z-transform to derive a time-domain algorithm from the complex frequency-dependent permittivity of plasma. Therefore, the effect of the developing plasma on the instantaneous microwave field is calculated. Simulation results are compared with power measurements using an apparatus designed to facilitate surface flashover across a polycarbonate boundary in a controlled N 2 , air, or argon environment at pressures exceeding 50 Torr.

  10. Microwave hyperthermia enhancement of methotrexate absorption in rat brains

    International Nuclear Information System (INIS)

    Lin, J.C.; Yuen, M.K.; Jung, D.T.

    1987-01-01

    The author studied enhanced absorption of methotrexate (MTX) in brains of male Wistar (10 weeks old, 500g) subjected to microwave hyperthermia. The rat was anesthetized using 40 mg/kg of sodium pentobarbital, IP and was placed in a stereotaxic head holder. Microwave energy (2450 MHz, 2.6 W/cm/sup 2/, CW) were applied directly to the left side of the rat's head by a coaxial applicator for 20 min. The body temperature was kept at 37.8 0 C. The brain temperature recorded in a similar group of animals using a Vitek probe was about 45 0 C. Three different MTX dosages, 50, 100 and 200 mg/kg, were injected intravenously immediately following microwave irradiation into three groups of rats in 1.5, 3 and 6 min., respectively. MTX was allowed to circulate for five min. before brains were removed for analysis. Standard HPLC procedures were applied to samples from anterior and posterior left hemisphere of the cerebrum, and the cerebellum. Samples from the right hemisphere were used for controls. The average absorption at the posterior left hemisphere was found to be 2.4, 9.6 and 12.4μg of MTX/g of brain tissue for 50, 100 and 200 mg/kg, respectively. These results indicate that MTX absorption is significantly increased in rat brains subjected to microwave hyperthermia treatment

  11. Experimental plasma physics

    International Nuclear Information System (INIS)

    Dreicer, H.; Banton, M.E.; Ingraham, J.C.; Wittman, F.; Wright, B.L.

    1976-01-01

    The Experimental Plasma Physics group's main efforts continue to be directed toward the understanding of the mechanisms of electromagnetic energy absorption in a plasma, and the resultant plasma heating and energy transport. The high-frequency spectrum of plasma waves parametrically excited by the microwave signal at high powers has been measured. The absorption of a small test microwave signal in a plasma made parametrically unstable by a separate high-power driver microwave signal was also studied

  12. Plasma source by microwaves: design description; Fuente de plasma por microondas: descripcion de diseno

    Energy Technology Data Exchange (ETDEWEB)

    Camps, E; Olea, O; Andrade, R; Anguiano, G

    1992-03-15

    The design of a device for the formation of a plasma with densities of the order of 10{sup 12} cm{sup -} {sup 3} and low temperatures (T{sub e} {approx} 40 eV) is described. For such purpose it was carried out in the device a microwave discharge (f{sub o} = 2.45 GHz) in a resonator of high Q factor, immersed in a static external magnetic field. The device worked in the regime {omega}{sub ce} {<=} {omega}{sub o}/2 ({omega}{sub ce}- cyclotron frequency of the electrons, ({omega}{sub o} = 2 {pi} f{sub o}) where is possible the excitement of non lineal phenomena of waves transformation. (Author)

  13. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  14. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  15. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  16. Influence of gas and treatment time on the surface modification of EPDM rubber treated at afterglow microwave plasmas

    Science.gov (United States)

    da Maia, J. V.; Pereira, F. P.; Dutra, J. C. N.; Mello, S. A. C.; Becerra, E. A. O.; Massi, M.; Sobrinho, A. S. da Silva

    2013-11-01

    The ethylene propylene diene monomer (EPDM) rubber possesses excellent physical/chemical bulk properties, is cost-effective, and has been used in the mechanical and aerospace industry. However, it has an inert surface and needs a surface treatment in order to improve its adhesion properties. Plasma modification is the most accepted technique for surface modification of polymers without affecting the properties of the bulk. In this study, an afterglow microwave plasma reactor was used to generate the plasma species responsible for the EPDM surface modification. The plasma modified surfaces were analyzed by means of contact angle measurement, adhesion tests, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy and scanning electron microscopy. Two experimental variables were analyzed: type of the plasma gases and exposure time were considered. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed and the best conditions tested there was an increase of the rupture strength of about 27%, that can be associated mainly with the creation of oxygen containing functional groups on the rubber surface (CO, COC and CO) identified by spectroscopic methods. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed. In various conditions tested the contact angles easily decreased more than 500%. What can be concluded that high wettability is a necessary condition to obtain good adhesion, but this is not a sufficient condition.

  17. Influence of gas and treatment time on the surface modification of EPDM rubber treated at afterglow microwave plasmas

    International Nuclear Information System (INIS)

    Maia, J.V. da; Pereira, F.P.; Dutra, J.C.N.; Mello, S.A.C.; Becerra, E.A.O.; Massi, M.; Sobrinho, A.S. da Silva

    2013-01-01

    The ethylene propylene diene monomer (EPDM) rubber possesses excellent physical/chemical bulk properties, is cost-effective, and has been used in the mechanical and aerospace industry. However, it has an inert surface and needs a surface treatment in order to improve its adhesion properties. Plasma modification is the most accepted technique for surface modification of polymers without affecting the properties of the bulk. In this study, an afterglow microwave plasma reactor was used to generate the plasma species responsible for the EPDM surface modification. The plasma modified surfaces were analyzed by means of contact angle measurement, adhesion tests, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy and scanning electron microscopy. Two experimental variables were analyzed: type of the plasma gases and exposure time were considered. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed and the best conditions tested there was an increase of the rupture strength of about 27%, that can be associated mainly with the creation of oxygen containing functional groups on the rubber surface (C-O, C-O-C and C=O) identified by spectroscopic methods. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed. In various conditions tested the contact angles easily decreased more than 500%. What can be concluded that high wettability is a necessary condition to obtain good adhesion, but this is not a sufficient condition.

  18. Influence of gas and treatment time on the surface modification of EPDM rubber treated at afterglow microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Maia, J.V. da, E-mail: jaisondamaia@hotmail.com [Plasmas and Processes Laboratory, Department of Physics, Technological Institute of Aeronautics, 12228-900 S. J. dos Campos, SP (Brazil); Department of Physics, Federal Institute of Santa Catarina, 89251-000 Jaraguá do Sul, SC (Brazil); Pereira, F.P. [Plasmas and Processes Laboratory, Department of Physics, Technological Institute of Aeronautics, 12228-900 S. J. dos Campos, SP (Brazil); Dutra, J.C.N.; Mello, S.A.C. [EBO, Chemistry Division, IAE, CTA, 12228-900 S. J. dos Campos, SP (Brazil); Becerra, E.A.O. [Department of Physics, Pontifical Catholic University of Rio de Janeiro, Rio de Janeiro, RJ (Brazil); Massi, M.; Sobrinho, A.S. da Silva [Plasmas and Processes Laboratory, Department of Physics, Technological Institute of Aeronautics, 12228-900 S. J. dos Campos, SP (Brazil)

    2013-11-15

    The ethylene propylene diene monomer (EPDM) rubber possesses excellent physical/chemical bulk properties, is cost-effective, and has been used in the mechanical and aerospace industry. However, it has an inert surface and needs a surface treatment in order to improve its adhesion properties. Plasma modification is the most accepted technique for surface modification of polymers without affecting the properties of the bulk. In this study, an afterglow microwave plasma reactor was used to generate the plasma species responsible for the EPDM surface modification. The plasma modified surfaces were analyzed by means of contact angle measurement, adhesion tests, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy and scanning electron microscopy. Two experimental variables were analyzed: type of the plasma gases and exposure time were considered. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed and the best conditions tested there was an increase of the rupture strength of about 27%, that can be associated mainly with the creation of oxygen containing functional groups on the rubber surface (C-O, C-O-C and C=O) identified by spectroscopic methods. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed. In various conditions tested the contact angles easily decreased more than 500%. What can be concluded that high wettability is a necessary condition to obtain good adhesion, but this is not a sufficient condition.

  19. Ultralow field emission from thinned, open-ended, and defected carbon nanotubes by using microwave hydrogen plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Jian-Hua, E-mail: jhdeng1983@163.com [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Lin; Wang, Fan-Jie; Yu, Bin; Li, Guo-Zheng; Li, De-Jun [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Guo-An [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2015-01-01

    Graphical abstract: Thinned, open-ended, and defected carbon nanotubes were prepared by using hydrogen plasma processing. The processed carbon nanotubes have far better field emission performance than that of the pristine ones. - Highlights: • CVD prepared CNT arrays were processed by microwave hydrogen plasma. • Thinned, open-ended, and defected CNTs were obtained. • Processed CNTs have far better field emission performance than the pristine ones. • Processed CNTs have applicable emission stability after being perfectly aged. - Abstract: Ultralow field emission is achieved from carbon nanotubes (CNTs) by using microwave hydrogen plasma processing. After the processing, typical capped CNT tips are removed, with thinned, open-ended, and defected CNTs left. Structural analyses indicate that the processed CNTs have more SP{sup 3}-hybridized defects as compared to the pristine ones. The morphology of CNTs can be readily controlled by adjusting microwave powers, which change the shape of CNTs by means of hydrogen plasma etching. Processed CNTs with optimal morphology are found to have an ultralow turn-on field of 0.566 V/μm and threshold field of 0.896 V/μm, much better than 0.948 and 1.559 V/μm of the as-grown CNTs, respectively. This improved FE performance is ascribed to the structural changes of CNTs after the processing. The thinned and open-ended shape of CNTs can facilitate electron tunneling through barriers and additionally, the increased defects at tube walls can serve as new active emission sites. Furthermore, our plasma processed CNTs exhibit excellent field emission stability at a large emission current density of 10.36 mA/cm{sup 2} after being perfectly aged, showing promising prospects in applications as high-performance vacuum electron sources.

  20. Convective model of a microwave discharge in a gas at atmospheric pressure in the form of a spatially localized plasma

    International Nuclear Information System (INIS)

    Skovoroda, A.A.

    1997-01-01

    Experiments and a theoretical model consistent with them are presented which show that a stationary microwave discharge in a gas at atmospheric pressure under the action of free convection due to the action of the buoyant force on the heated air can be spatially localized, taking a spheroidal shape. Vortex motion inside the spheroid gives this localized plasma formation some of the properties of a material body which are manifested in a distinct material isolation from the surrounding space, in the formation of a narrow thermal boundary layer and flow separation, and in the formation of secondary vortices in the wake region. The characteristic radius of the stationary localized plasma is governed mainly by the wavelength of the microwave radiation a∼0.137λ. Energy balance is established to a significant degree by convective cooling of the microwave-heated structure

  1. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  2. Controlled fabrication of the strong emission YVO4:Eu3+ nanoparticles and nanowires by microwave assisted chemical synthesis

    International Nuclear Information System (INIS)

    Huong, Tran Thu; Vinh, Le Thi; Phuong, Ha Thi; Khuyen, Hoang Thi; Anh, Tran Kim; Tu, Vu Duc; Minh, Le Quoc

    2016-01-01

    In this report, we are presenting the controlled fabrication results of the strong emission YVO 4 : Eu 3+ nanoparticles and nanowires by microwave which is assisted chemical synthesis. The effects of incorporated synthesis conditions such as microwave irradiated powers, pH values and concentration of chemical composition on properties of nanomaterials are also investigated to obtain the controllable size and homogenous morphology. Morphological and optical properties of YVO 4 : Eu 3+ prepared products which have been characterized by X-ray diffraction (XRD), field emission micrcroscopy (FESEM) and photoluminescence spectroscopy. As based from result of synthesized samples, we found that the changing of pH values, microwave irradiated powers and chemical composition rise to change reform the size and shape of materials from nanoparticles (diameter about 20 nm) to wires shape (with about 500÷800 nm length and 10÷20 nm width). The photoluminescence (PL) spectroscopy measurements of YVO 4 : Eu 3+ nanostructure materials under UV excitation showed that: the strong luminescence in red region with narrow lines corresponding to the intra-4f transitions of 5 D 0 – 7 F j (j=1, 2, 3, and 4) of Eu 3+ ions with the highest luminescence intensity of 5 D 0 → 7 F 2 transition. - Highlights: • The strong emission YVO 4 :Eu 3+ nanostructure materials were successfully synthesized by microwave assisted chemical synthesis. • The size, morphology and luminescence of the YVO 4 :Eu 3+ nanostructure materials can be controlled by the solution pH, microwave irradiated powers and chemical composition. • These YVO 4 :Eu 3+ nanostructure materials above can potentially applied in various fields of application, especially in luminescent labeling and visualization in biomedical application.

  3. Early results of microwave transmission experiments through an overly dense rectangular plasma sheet with microparticle injection

    Energy Technology Data Exchange (ETDEWEB)

    Gillman, Eric D., E-mail: eric.gillman.ctr@nrl.navy.mil [National Research Council Postdoctoral Associate at the U.S. Naval Research Laboratory, Washington, DC 20375 (United States); Amatucci, W. E. [U.S. Naval Research Laboratory, Washington, DC 20375 (United States)

    2014-06-15

    These experiments utilize a linear hollow cathode to create a dense, rectangular plasma sheet to simulate the plasma layer surrounding vehicles traveling at hypersonic velocities within the Earth's atmosphere. Injection of fine dielectric microparticles significantly reduces the electron density and therefore lowers the electron plasma frequency by binding a significant portion of the bulk free electrons to the relatively massive microparticles. Measurements show that microwave transmission through this previously overly dense, impenetrable plasma layer increases with the injection of alumina microparticles approximately 60 μm in diameter. This method of electron depletion is a potential means of mitigating the radio communications blackout experienced by hypersonic vehicles.

  4. Preparation of Hydrophobic Metal-Organic Frameworks via Plasma Enhanced Chemical Vapor Deposition of Perfluoroalkanes for the Removal of Ammonia

    Science.gov (United States)

    DeCoste, Jared B.; Peterson, Gregory W.

    2013-01-01

    Plasma enhanced chemical vapor deposition (PECVD) of perfluoroalkanes has long been studied for tuning the wetting properties of surfaces. For high surface area microporous materials, such as metal-organic frameworks (MOFs), unique challenges present themselves for PECVD treatments. Herein the protocol for development of a MOF that was previously unstable to humid conditions is presented. The protocol describes the synthesis of Cu-BTC (also known as HKUST-1), the treatment of Cu-BTC with PECVD of perfluoroalkanes, the aging of materials under humid conditions, and the subsequent ammonia microbreakthrough experiments on milligram quantities of microporous materials. Cu-BTC has an extremely high surface area (~1,800 m2/g) when compared to most materials or surfaces that have been previously treated by PECVD methods. Parameters such as chamber pressure and treatment time are extremely important to ensure the perfluoroalkane plasma penetrates to and reacts with the inner MOF surfaces. Furthermore, the protocol for ammonia microbreakthrough experiments set forth here can be utilized for a variety of test gases and microporous materials. PMID:24145623

  5. Surface modification and stability of detonation nanodiamonds in microwave gas discharge plasma

    International Nuclear Information System (INIS)

    Stanishevsky, Andrei V.; Walock, Michael J.; Catledge, Shane A.

    2015-01-01

    Graphical abstract: - Highlights: • Single and binary gas plasma modification of nanodiamond powders studied. • Temperature-dependent effect of N 2 and N 2 /H 2 plasma reported for the first time. • Role of H 2 in H 2 /N 2 and H 2 /O 2 plasma modification of nanodiamond discussed. - Abstract: Detonation nanodiamonds (DND), with low hydrogen content, were exposed to microwave plasma generated in pure H 2 , N 2 , and O 2 gases and their mixtures, and investigated using X-ray diffraction (XRD), Fourier Transform Infrared (FTIR), Raman, and X-ray photoelectron spectroscopies. Considerable alteration of the DND surface was observed under the plasma conditions for all used gases, but the diamond structure of the DND particle core was preserved in most cases. The stabilizing effect of H 2 in H 2 /N 2 and H 2 /O 2 binary gas plasmas on the DND structure and the temperature-dependent formation of various CNH x surface groups in N 2 and H 2 /N 2 plasmas were observed and discussed for the first time. DND surface oxidation and etching were the main effects of O 2 plasma, whereas the N 2 plasma led to DND surfaces rich in amide groups below 1073 K and nitrile groups at higher temperatures. Noticeable graphitization of the DND core structure was detected only in N 2 plasma when the substrate temperature was above 1103 K.

  6. Loads due to stray microwave radiation in ITER

    Energy Technology Data Exchange (ETDEWEB)

    Oosterbeek, Johan W. [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France); Eindhoven University of Technology, P.O. Box 513, 5600 AZ Eindhoven (Netherlands); Udintsev, Victor S.; Gandini, Franco [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France); Hirsch, Matthias; Laqua, Heinrich P. [Max-Planck-Institut für Plasmaphysik, EURATOM Association, Teilinstitut Greifswald, D-17489 Greifswald (Germany); Maassen, Nick [Eindhoven University of Technology, P.O. Box 513, 5600 AZ Eindhoven (Netherlands); Ma, Yunxing; Polevoi, Alexei; Sirinelli, Antoine; Vayakis, George; Walsh, Mike J. [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France)

    2015-10-15

    High-power microwaves generated by gyrotrons will be extensively used in ITER for a variety of purposes such as assisting plasma breakdown, plasma heating, current drive, tearing mode suppression and as a probing beam for the Collective Thomson Scattering diagnostic. In a number of these schemes absorption of the microwaves by the plasma will not be full and in some cases there could be no absorption at all. This may result in a directed beam with a high microwave power flux or – depending on location and plasma conditions – an approximately isotropic microwave power field. The contribution of electron cyclotron emission to these power densities is briefly discussed. Exposure to in-vessel components leads to absorption by metals and ceramics. In this paper microwave power densities are estimated and, following a brief review of absorption, thermal loads on in-vessel components are assessed. The paper is concluded by a discussion of the current approach to control such loads.

  7. Microwave-assisted versus conventional decomposition procedures applied to a ceramic potsherd standard reference material by inductively coupled plasma atomic emission spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Papadopoulou, D.N.; Zachariadis, G.A.; Anthemidis, A.N.; Tsirliganis, N.C.; Stratis, J.A

    2004-03-03

    Inductively coupled plasma atomic emission spectrometry (ICP-AES) is a powerful, sensitive analytical technique with numerous applications in chemical characterization including that of ancient pottery, mainly due to its multi-element character, and the relatively short time required for the analysis. A critical step in characterization studies of ancient pottery is the selection of a suitable decomposition procedure for the ceramic matrix. The current work presents the results of a comparative study of six decomposition procedures applied on a standard ceramic potsherd reference material, SARM 69. The investigated decomposition procedures included three microwave-assisted decomposition procedures, one wet decomposition (WD) procedure by conventional heating, one combined microwave-assisted and conventional heating WD procedure, and one fusion procedure. Chemical analysis was carried out by ICP-AES. Five major (Si, Al, Fe, Ca, Mg), three minor (Mn, Ba, Ti) and two trace (Cu, Co) elements were determined and compared with their certified values. Quantitation was performed at two different spectral lines for each element and multi-element matrix-matched calibration standards were used. The recovery values for the six decomposition procedures ranged between 75 and 110% with a few notable exceptions. Data were processed statistically in order to evaluate the investigated decomposition procedures in terms of recovery, accuracy and precision, and eventually select the most appropriate one for ancient pottery analysis.

  8. Plasma upflows and microwave emission in hot supra-arcade structure associated with AN M1.6 limb flare

    International Nuclear Information System (INIS)

    Kim, S.; Shibasaki, K.; Bain, H.-M.; Cho, K.-S.

    2014-01-01

    We have investigated a supra-arcade structure associated with an M1.6 flare, which occurred on the south-east limb on 2010 November 4. It is observed in EUV with the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory, microwaves at 17 and 34 GHz with the Nobeyama Radioheliograph (NoRH), and soft X-rays of 8-20 keV with RHESSI. Interestingly, we found exceptional properties of the supra-arcade thermal plasma from the AIA 131 Å and the NoRH: (1) plasma upflows along large coronal loops and (2) enhancing microwave emission. RHESSI detected two soft X-ray sources, a broad one in the middle of the supra-arcade structure and a bright one just above the flare-arcade. We estimated the number density and thermal energy for these two source regions during the decay phase of the flare. In the supra-arcade source, we found that there were increases of the thermal energy and the density at the early and last stages, respectively. On the contrary, the density and thermal energy of the source on the top of the flare-arcade decreases throughout. The observed upflows imply that there is continuous energy supply into the supra-arcade structure from below during the decay phase of the flare. It is hard to explain by the standard flare model in which the energy release site is located high in the corona. Thus, we suggest that a potential candidate of the energy source for the hot supra-arcade structure is the flare-arcade, which has exhibited a predominant emission throughout.

  9. Optimum design of a microwave interferometer for plasma density measurement

    International Nuclear Information System (INIS)

    Lindberg, L.; Eriksson, A.

    1980-11-01

    Theoretical and practical problems arising in the application of microwave interferometry to density measurements on transient plasmas are discussed. The conditions for unambiquous measurements in a density range as wide as possible are analyzed. It is shown that the initial zero adjustment of the interferometer bridge recommended in many text books is the worst possible choice of initial condition when the aim is high initial sensitivity at low densities. The analytic expressions needed for unambiquous evaluation of any phase shift from a few degrees to several times π (counting of fringes) are derived. The practical design of the interferometer circuit and its inherent error sources due to reflexions and non-ideal component properties are discussed. The results are applied to an interferometer operating at 80 GHz used on a pulsed plasma experiment. The minimum measurable phase shift is 2deg and the range of linear densities that have been measured is = 1 . 10 16 - 3 . 10 18 m -2

  10. Diamond films deposited by oxygen-enhanced linear plasma chemistry

    Czech Academy of Sciences Publication Activity Database

    Kromka, Alexander; Babchenko, Oleg; Ižák, Tibor; Varga, Marián; Davydova, Marina; Krátká, Marie; Rezek, Bohuslav

    2013-01-01

    Roč. 5, č. 6 (2013), s. 509-514 ISSN 2164-6627 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP108/12/0996 Institutional support: RVO:68378271 Keywords : diamond films * process gas chemistry * pulsed microwave plasma * surface conductivity of diamond Subject RIV: BM - Solid Matter Physics ; Magnetism

  11. Measurements of energy distribution and thrust for microwave plasma coupling of electrical energy to hydrogen for propulsion

    Science.gov (United States)

    Morin, T.; Chapman, R.; Filpus, J.; Hawley, M.; Kerber, R.; Asmussen, J.; Nakanishi, S.

    1982-01-01

    A microwave plasma system for transfer of electrical energy to hydrogen flowing through the system has potential application for coupling energy to a flowing gas in the electrothermal propulsion concept. Experimental systems have been designed and built for determination of the energy inputs and outputs and thrust for the microwave coupling of energy to hydrogen. Results for experiments with pressure in the range 100 microns-6 torr, hydrogen flow rate up to 1000 micronmoles/s, and total absorbed power to 700 w are presented.

  12. Plasma-based determination of inorganic contaminants in waste of electric and electronic equipment after microwave-induced combustion

    Energy Technology Data Exchange (ETDEWEB)

    Mello, Paola A.; Diehl, Lisarb O.; Oliveira, Jussiane S.S.; Muller, Edson I. [Departamento de Química, Universidade Federal de Santa Maria, Av. Roraima, 1000, 97105-900, Santa Maria (Brazil); Mesko, Marcia F. [Centro de Ciências Químicas, Farmacêuticas e de Alimentos, Universidade Federal de Pelotas, Campus Capão do Leão, 96900-010 Pelotas, RS (Brazil); Flores, Erico M.M., E-mail: ericommf@gmail.com [Departamento de Química, Universidade Federal de Santa Maria, Av. Roraima, 1000, 97105-900, Santa Maria (Brazil)

    2015-03-01

    A systematic study was performed for the determination of inorganic contaminants in polymeric waste from electrical and electronic equipment (EEE) for achieving an efficient digestion to minimize interferences in determination using plasma-based techniques. The determination of As, Br, Cd, Co, Cr, Cu, Ni, Pb, Sb, and Zn by inductively coupled plasma mass spectrometry (ICP-MS) and also by inductively coupled plasma optical emission spectrometry (ICP OES) was carried out after digestion using microwave-induced combustion (MIC). Arsenic and Hg were determined by flow-injection chemical vapor generation inductively coupled plasma mass spectrometry (FI-CVG-ICP-MS). Dynamic reaction cell inductively coupled plasma mass spectrometry (DRC-ICP-MS) with ammonia was also used for Cr determination. The suitability of MIC for digestion of sample masses up to 400 mg was demonstrated using microcrystalline cellulose as aid for combustion of polymers from waste of EEEs that usually contain flame retardants that impair the combustion. The composition and concentration of acid solutions (HNO{sub 3} or HNO{sub 3} plus HCl) were evaluated for metals and metalloids and NH{sub 4}OH solutions were investigated for Br absorption. Accuracy was evaluated by comparison of results with those obtained using high pressure microwave-assisted wet digestion (HP-MAWD) and also by the analysis of certified reference material (CRM) of polymer (EC680k—low-density polyethylene). Bromine determination was only feasible using digestion by MIC once losses were observed when HP-MAWD was used. Lower limits of detection were obtained for all analytes using MIC (from 0.005 μg g{sup −1} for Co by ICP-MS up to 3.120 μg g{sup −1} for Sb by ICP OES) in comparison to HP-MAWD due to the higher sample mass that can be digested (400 mg) and the use of diluted absorbing solutions. The combination of HNO{sub 3} and HCl for digestion showed to be crucial for quantitative recovery of some elements, as Cr and Sb

  13. Microplasmas ignited and sustained by microwaves

    Science.gov (United States)

    Hopwood, Jeffrey; Hoskinson, Alan R.; Gregório, José

    2014-12-01

    The challenges and benefits of microwave-induced microdischarges are reviewed. Transmission lines, resonators and surface wave launchers may be used for coupling microwave power to very small plasmas. Fortunately, microplasmas are typically much smaller than the wavelength of microwaves, and the electromagnetic problem may be treated electrostatically within the plasma. It is possible to trap electrons within small discharge gaps if the amplitude of electron oscillation is smaller than the plasma size. Typically occurring above 0.3 GHz, this condition results in lower breakdown fields than are required by direct current or radio frequency systems. Trapping of electrons also decreases the electrode potential to only tens of volts and makes the plasma density invariant in time. The steady-state microplasma produces electron densities of up to 1015 cm-3 in argon but the electrons are not in equilibrium with the low gas temperatures (500-1000 K). Microwave discharges are compared with other forms of microplasma and guidelines for device selection are recommended. Scale-up of microplasmas using array concepts are presented followed by some exciting new applications.

  14. Microwave emission from flaring magnetic loops

    International Nuclear Information System (INIS)

    Vlahos, L.

    1980-01-01

    The microwave emission from a flaring loop is considered. In particular the author examines the question: What will be the characteristics of the radio emission at centimeter wavelengths from a small compact flaring loop when the mechanism which pumps magnetic energy into the plasma in the form of heating and/or electron acceleration satisfies the conditions: (a) the magnetic energy is released in a small volume compared to the volume of the loop, and the rate at which magnetic energy is transformed into plasma energy is faster than the energy losses from the same volume. This causes a local enhancement of the temperature by as much as one or two orders of magnitude above the coronal temperature; (b) The bulk of the energy released goes into heating the plasma and heats primarily the electrons. (Auth.)

  15. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  16. An extraordinary transmission analogue for enhancing microwave antenna performance

    Directory of Open Access Journals (Sweden)

    Sarin V. Pushpakaran

    2015-10-01

    Full Text Available The theory of diffraction limit proposed by H.A Bethe limits the total power transfer through a subwavelength hole. Researchers all over the world have gone through different techniques for boosting the transmission through subwavelength holes resulting in the Extraordinary Transmission (EOT behavior. We examine computationally and experimentally the concept of EOT nature in the microwave range for enhancing radiation performance of a stacked dipole antenna working in the S band. It is shown that the front to back ratio of the antenna is considerably enhanced without affecting the impedance matching performance of the design. The computational analysis based on Finite Difference Time Domain (FDTD method reveals that the excitation of Fabry-Perot resonant modes on the slots is responsible for performance enhancement.

  17. Dendrimer-assisted controlled growth of carbon nanotubes for enhanced thermal interface conductance

    International Nuclear Information System (INIS)

    Amama, Placidus B; Cola, Baratunde A; Sands, Timothy D; Xu, Xianfan; Fisher, Timothy S

    2007-01-01

    Multi-walled carbon nanotubes (MWCNTs) with systematically varied diameter distributions and defect densities were reproducibly grown from a modified catalyst structure templated in an amine-terminated fourth-generation poly(amidoamine) (PAMAM) dendrimer by microwave plasma-enhanced chemical vapor deposition. Thermal interface resistances of the vertically oriented MWCNT arrays as determined by a photoacoustic technique reveal a strong correlation with the quality as assessed by Raman spectroscopy. This study contributes not only to the development of an active catalyst via a wet chemical route for structure-controlled MWCNT growth, but also to the development of efficient and low-cost MWCNT-based thermal interface materials with thermal interface resistances ≤10 mm 2 K W -1

  18. Hierarchy of Electronic Properties of Chemically Derived and Pristine Graphene Probed by Microwave Imaging

    KAUST Repository

    Kundhikanjana, Worasom; Lai, Keji; Wang, Hailiang; Dai, Hongjie; Kelly, Michael A.; Shen, Zhi-xun

    2009-01-01

    inhomogeneity. For the conductive chemical graphene, the residual defects lead to a systematic reduction of the microwave signals. In contrast, the signals on pristine graphene agree well with a lumped-element circuit model. The local impedance information can

  19. Effect of microwave-enhanced superconductivity in YBa{sub 2}Cu{sub 3}O{sub 7} bi-crystalline grain boundary weak-links

    Energy Technology Data Exchange (ETDEWEB)

    Fu, C.M.; Chen, C.M.; Lin, H.C. [National Chiao-Tung Univ., Taiwan (China)] [and others

    1994-12-31

    We have studied systematically the effect of microwave irradiation on the temperature dependent resistivity R(T) and the current-voltage (I-V) characteristics of YBa{sub 2}Cu{sub 3}O{sub 7-x} (YBCO) bicrystalline grain boundary weak-links (GBWLs), with grain boundary of three different tilt angles. The superconducting transition temperature, T{sub c}, has significant enhancement upon microwave irradiation. The microwave enhanced T{sub c} is increased as a function of incidence microwave power, but limited to an optimum power level. The GBWLs of 45{degrees} tilt boundary has shown to be most sensitive to the microwave irradiation power, and the GBWLs of 36.8{degrees} tilt boundary has displayed a moderate response. In contrast, no enhancement of T{sub c} was observed in the GBWLs of 24{degrees} tilt boundary, as well as in the uniform films. Under the microwave irradiation, the R(T) dependence is hysteretic as the transition taken from superconducting state to normal state and vice versa. Mechanisms associated with the redistribution of nonequilibrium quasiparticles under microwave irradiation are discussed.

  20. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  1. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Science.gov (United States)

    Chen, Weimin; Zhou, Xiaoyan; Zhang, Xiaotao; Bian, Jie; Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi; Wan, Jinglin

    2017-06-01

    The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Sisbnd Osbnd C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  2. Surface modification and stability of detonation nanodiamonds in microwave gas discharge plasma

    Energy Technology Data Exchange (ETDEWEB)

    Stanishevsky, Andrei V., E-mail: astan@uab.edu; Walock, Michael J.; Catledge, Shane A.

    2015-12-01

    Graphical abstract: - Highlights: • Single and binary gas plasma modification of nanodiamond powders studied. • Temperature-dependent effect of N{sub 2} and N{sub 2}/H{sub 2} plasma reported for the first time. • Role of H{sub 2} in H{sub 2}/N{sub 2} and H{sub 2}/O{sub 2} plasma modification of nanodiamond discussed. - Abstract: Detonation nanodiamonds (DND), with low hydrogen content, were exposed to microwave plasma generated in pure H{sub 2}, N{sub 2}, and O{sub 2} gases and their mixtures, and investigated using X-ray diffraction (XRD), Fourier Transform Infrared (FTIR), Raman, and X-ray photoelectron spectroscopies. Considerable alteration of the DND surface was observed under the plasma conditions for all used gases, but the diamond structure of the DND particle core was preserved in most cases. The stabilizing effect of H{sub 2} in H{sub 2}/N{sub 2} and H{sub 2}/O{sub 2} binary gas plasmas on the DND structure and the temperature-dependent formation of various CNH{sub x} surface groups in N{sub 2} and H{sub 2}/N{sub 2} plasmas were observed and discussed for the first time. DND surface oxidation and etching were the main effects of O{sub 2} plasma, whereas the N{sub 2} plasma led to DND surfaces rich in amide groups below 1073 K and nitrile groups at higher temperatures. Noticeable graphitization of the DND core structure was detected only in N{sub 2} plasma when the substrate temperature was above 1103 K.

  3. Influence of microwave frequency electromagnetic radiation on terpene emission and content in aromatic plants.

    Science.gov (United States)

    Soran, Maria-Loredana; Stan, Manuela; Niinemets, Ülo; Copolovici, Lucian

    2014-09-15

    Influence of environmental stress factors on both crop and wild plants of nutritional value is an important research topic. The past research has focused on rising temperatures, drought, soil salinity and toxicity, but the potential effects of increased environmental contamination by human-generated electromagnetic radiation on plants have little been studied. Here we studied the influence of microwave irradiation at bands corresponding to wireless router (WLAN) and mobile devices (GSM) on leaf anatomy, essential oil content and volatile emissions in Petroselinum crispum, Apium graveolens and Anethum graveolens. Microwave irradiation resulted in thinner cell walls, smaller chloroplasts and mitochondria, and enhanced emissions of volatile compounds, in particular, monoterpenes and green leaf volatiles (GLV). These effects were stronger for WLAN-frequency microwaves. Essential oil content was enhanced by GSM-frequency microwaves, but the effect of WLAN-frequency microwaves was inhibitory. There was a direct relationship between microwave-induced structural and chemical modifications of the three plant species studied. These data collectively demonstrate that human-generated microwave pollution can potentially constitute a stress to the plants. Copyright © 2014 Elsevier GmbH. All rights reserved.

  4. Influence of microwave frequency electromagnetic radiation on terpene emission and content in aromatic plants

    Science.gov (United States)

    Soran, Maria-Loredana; Stan, Manuela; Niinemets, Ülo; Copolovici, Lucian

    2015-01-01

    Influence of environmental stress factors on both crop and wild plants of nutritional value is an important research topic. The past research has focused on rising temperatures, drought, soil salinity and toxicity, but the potential effects of increased environmental contamination by human-generated electromagnetic radiation on plants have little been studied. Here we studied the influence of microwave irradiation at bands corresponding to wireless router (WLAN) and mobile devices (GSM) on leaf anatomy, essential oil content and volatile emissions in Petroselinum crispum, Apium graveolens and Anethum graveolens. Microwave irradiation resulted in thinner cell walls, smaller chloroplasts and mitochondria, and enhanced emissions of volatile compounds, in particular, monoterpenes and green leaf volatiles. These effects were stronger for WLAN-frequency microwaves. Essential oil content was enhanced by GSM-frequency microwaves, but the effect of WLAN-frequency microwaves was inhibitory. There was a direct relationship between microwave-induced structural and chemical modifications of the three plant species studied. These data collectively demonstrate that human-generated microwave pollution can potentially constitute a stress to the plants. PMID:25050479

  5. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  6. A review of micro-wave techniques in plasma studies; Survol des techniques micro-ondes pour l'etude des plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Consoli, T [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1964-07-01

    The problem of the application of micro-wave techniques to the study of plasma properties is considered in this report. In section I, the author summarizes a few fundamental laws concerning the theory of waves in an ionised medium as well as measurable effects of transverse and longitudinal propagation. Section II is a rapid review of the experimental methods and of the various measurements which may be effected in very high frequency plasmas. Only recent experimental work carried out since the last U. R.S.I. Assembly is considered. Section III is devoted to micro-wave techniques developed during this period in the laboratories of the Applied Physics Service. These techniques deal with longitudinal propagation and in particular with the propagation along a right mode. Section IV is a general view of similar studies undertaken in European Research Centres working on plasma physics or controlled fusion. Section V is a contribution concerning three particular topics from the Juelich and Max Planck Institute laboratories. (author) [French] On etudie dans ce rapport le probleme de l'application des techniques micro-ondes a l'etude des proprietes d'un plasma. Dans la section I, l'auteur rappelle rapidement quelques lois fondamentales de la theorie des ondes dans un milieu ionise ainsi que les effets mesurables en propagation transversale et longitudinale. La section II est une revue rapide des methodes experimentales et des diverses mesures qui peuvent etre faites dans les plasmas aux tres hautes frequences. On ne considere seulement que les etudes experimentales recentes depuis la derniere assemblee de l'U.R.S.I. La section III est consacree aux techniques hyperfrequences developpees durant la meme periode dans les laboratoires des Services de Physique Appliquee. Ces techniques se rapportent a la propagation longitudinale et particulierement a la propagation suivant le mode droit. La section IV est un panorama de travaux similaires entrepris dans les centres europeens

  7. Effects of boron addition on a-Si90Ge10:H films obtained by low frequency plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Perez, Arllene M; Renero, Francisco J; Zuniga, Carlos; Torres, Alfonso; Santiago, Cesar

    2005-01-01

    Optical, structural and electric properties of (a-(Si 90 Ge 10 ) 1-y B y :H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10 -3 to 10 1 Ω -1 cm -1 when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV

  8. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    International Nuclear Information System (INIS)

    Prysiazhnyi, Vadym; Slavicek, Pavel; Klima, Milos; Mikmekova, Eliska

    2016-01-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O 2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure. (paper)

  9. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Science.gov (United States)

    Vadym, Prysiazhnyi; Pavel, Slavicek; Eliska, Mikmekova; Milos, Klima

    2016-04-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure.

  10. Visualization of the microwave beam generated by a plasma relativistic microwave amplifier

    Energy Technology Data Exchange (ETDEWEB)

    Alekseev, I. S.; Ivanov, I. E.; Strelkov, P. S., E-mail: strelkov@fpl.gpi.ru [Russian Academy of Science, Prokhorov General Physics Institute (Russian Federation); Tarakanov, V. P., E-mail: karat@msk.su [Russian Academy of Sciences, Joint Institute for High Temperatures (Russian Federation); Ulyanov, D. K. [Russian Academy of Science, Prokhorov General Physics Institute (Russian Federation)

    2017-03-15

    A method based on the detection of emission of a dielectric screen with metal microinclusions in open air is applied to visualize the transverse structure of a high-power microwave beam. In contrast to other visualization techniques, the results obtained in this work provide qualitative information not only on the electric field strength, but also on the structure of electric field lines in the microwave beam cross section. The interpretation of the results obtained with this method is confirmed by numerical simulations of the structure of electric field lines in the microwave beam cross section by means of the CARAT code.

  11. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  12. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  13. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  14. Microwave assisted in situ synthesis of Ag–NaCMC films and their reproducible surface-enhanced Raman scattering signals

    International Nuclear Information System (INIS)

    Jiang, Tao; Li, Junpeng; Zhang, Li; Wang, Binbing; Zhou, Jun

    2014-01-01

    Graphical abstract: Two kinds of Ag–NaCMC films for surface-enhanced Raman scattering (SERS) were prepared by conventional heating and microwave assisted in situ reduction methods without any additional capping or reducing agents. A relatively narrow and symmetric surface plasmon resonance band was observed in the absorption spectra of the films fabricated by the microwave assisted in situ reduction method. More uniform silver nanoparticles (NPs) implied by the symmetric absorption spectrum were further confirmed by the scanning electron microscopy images. After the simulation of the E-field intensity distribution around the silver NPs in NaCMC film, the Raman scattering enhancement factors (EFs) of these films were then investigated with 4-mercaptobenzoic acid molecule as a SERS reporter. Improved reproducibility of SERS signal was obtained in the microwave assisted synthesized Ag–NaCMC film, although it maintained an EF as only 1.11 × 10 8 . The reproducible SERS signal of the Ag–NaCMC film is particularly attractive and this microwave assisted in situ reduction method is suitable for the production of excellent substrate for biosensor application. - Highlights: • The synthesis of Ag–NaCMC films was successfully fulfilled by a low-cost microwave method. • More uniform silver nanoparticles were observed in Ag–NaCMC film synthesized by microwave. • Improved reproducibility of SERS signal was obtained in microwave synthesized Ag–NaCMC film. - Abstract: Two kinds of Ag–NaCMC films for surface-enhanced Raman scattering (SERS) were prepared by conventional heating and microwave assisted in situ reduction methods without any additional capping or reducing agents. A relatively narrow and symmetric surface plasmon resonance band was observed in the absorption spectra of the films fabricated by the microwave assisted in situ reduction method. More uniform silver nanoparticles (NPs) implied by the symmetric absorption spectrum were further confirmed by

  15. A Cherenkov-emission Microwave Source*

    Science.gov (United States)

    Lai, C. H.; Yoshii, J.; Katsouleas, T.; Hairapetian1, G.; Joshi, C.; Mori, W.

    1996-11-01

    In an unmagnetized plasma, there is no Cherenkov emission because the phase velocity vf of light is greater than c. In a magnetized plasma, the situation is completely changed. There is a rich variety of plasma modes with phase velocities vf 2 c which can couple to a fast particle. In the magnetized plasma, a fast particle, a particle beam, or even a short laser pulse excites a Cherenkov wake that has both electrostatic and electromagnetic components. Preliminary simulations indicate that at the vacuum/plasma boundary, the wake couples to a vacuum microwave with an amplitude equal to the electromagnetic component in the plasma. For a weakly magnetized plasma, the amplitude of the out-coupled radiation is approximately wc/wp times the amplitude of the wake excited in the plasma by the beam, and the frequency is approximately wp. Since plasma wakes as high as a few GeV/m are produced in current experiments, the potential for a high-power (i.e., GWatt) coherent microwave to THz source exists. In this talk, a brief overview of the scaling laws will be presented, followed by 1-D and 2-D PIC simulations. Prospects for a tuneable microwave source experiment based on this mechanism at the UCLA plasma wakefield accelerator facility will be discussed. *Work supported by AFOSR Grant #F4 96200-95-0248 and DOE Grant # DE-FG03-92ER40745. 1Now at Hughes Research Laboratories, Malibu, CA 90265

  16. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  17. Computer model for the recombination zone of a microwave-plasma electrothermal rocket

    Energy Technology Data Exchange (ETDEWEB)

    Filpus, J.W.; Hawley, M.C.

    1987-01-01

    As part of a study of the microwave-plasma electrothermal rocket, a computer model of the flow regime below the plasma has been developed. A second-order model, including axial dispersion of energy and material and boundary conditions at infinite length, was developed to partially reproduce the absence of mass-flow rate dependence that was seen in experimental temperature profiles. To solve the equations of the model, a search technique was developed to find the initial derivatives. On integrating with a trial set of initial derivatives, the values and their derivatives were checked to judge whether the values were likely to attain values outside the practical regime, and hence, the boundary conditions at infinity were likely to be violated. Results are presented and directions for further development are suggested. 17 references.

  18. Air-water ‘tornado’-type microwave plasmas applied for sugarcane biomass treatment

    Science.gov (United States)

    Bundaleska, N.; Tatarova, E.; Dias, F. M.; Lino da Silva, M.; Ferreira, C. M.; Amorim, J.

    2014-02-01

    The production of cellulosic ethanol from sugarcane biomass is an attractive alternative to the use of fossil fuels. Pretreatment is needed to separate the cellulosic material, which is packed with hemicellulose and lignin in cell wall of sugarcane biomass. A microwave ‘tornado’-type air-water plasma source operating at 2.45 GHz and atmospheric pressure has been applied for this purpose. Samples of dry and wet biomass (˜2 g) have been exposed to the late afterglow plasma stream. The experiments demonstrate that the air-water highly reactive plasma environment provides a number of long-lived active species able to destroy the cellulosic wrapping. Scanning electron microscopy has been applied to analyse the morphological changes occurring due to plasma treatment. The effluent gas streams have been analysed by Fourier-transform infrared spectroscopy (FT-IR). Optical emission spectroscopy and FT-IR have been applied to determine the gas temperature in the discharge and late afterglow plasma zones, respectively. The optimal range of the operational parameters is discussed along with the main active species involved in the treatment process. Synergistic effects can result from the action of singlet O2(a 1Δg) oxygen, NO2, nitrous acid HNO2 and OH hydroxyl radical.

  19. Air–water ‘tornado’-type microwave plasmas applied for sugarcane biomass treatment

    International Nuclear Information System (INIS)

    Bundaleska, N; Tatarova, E; Dias, F M; Lino da Silva, M; Ferreira, C M; Amorim, J

    2014-01-01

    The production of cellulosic ethanol from sugarcane biomass is an attractive alternative to the use of fossil fuels. Pretreatment is needed to separate the cellulosic material, which is packed with hemicellulose and lignin in cell wall of sugarcane biomass. A microwave ‘tornado’-type air–water plasma source operating at 2.45 GHz and atmospheric pressure has been applied for this purpose. Samples of dry and wet biomass (∼2 g) have been exposed to the late afterglow plasma stream. The experiments demonstrate that the air–water highly reactive plasma environment provides a number of long-lived active species able to destroy the cellulosic wrapping. Scanning electron microscopy has been applied to analyse the morphological changes occurring due to plasma treatment. The effluent gas streams have been analysed by Fourier-transform infrared spectroscopy (FT-IR). Optical emission spectroscopy and FT-IR have been applied to determine the gas temperature in the discharge and late afterglow plasma zones, respectively. The optimal range of the operational parameters is discussed along with the main active species involved in the treatment process. Synergistic effects can result from the action of singlet O 2 (a  1 Δ g ) oxygen, NO 2 , nitrous acid HNO 2 and OH hydroxyl radical. (paper)

  20. Fundamental aspects of plasma chemical physics Thermodynamics

    CERN Document Server

    Capitelli, Mario; D'Angola, Antonio

    2012-01-01

    Fundamental Aspects of Plasma Chemical Physics - Thermodynamics develops basic and advanced concepts of plasma thermodynamics from both classical and statistical points of view. After a refreshment of classical thermodynamics applied to the dissociation and ionization regimes, the book invites the reader to discover the role of electronic excitation in affecting the properties of plasmas, a topic often overlooked by the thermal plasma community. Particular attention is devoted to the problem of the divergence of the partition function of atomic species and the state-to-state approach for calculating the partition function of diatomic and polyatomic molecules. The limit of ideal gas approximation is also discussed, by introducing Debye-Huckel and virial corrections. Throughout the book, worked examples are given in order to clarify concepts and mathematical approaches. This book is a first of a series of three books to be published by the authors on fundamental aspects of plasma chemical physics.  The next bo...

  1. Plasma polymerization by Softplasma

    DEFF Research Database (Denmark)

    Jiang, J.; Wu, Zhenning; Benter, Maike

    2008-01-01

    , external electrode, and electrodeless microwave or high frequency reactors. [3] Softplasma™ is an internal electrode plasma setup powered by low frequenc~ gower supply. It was developed in late 90s for surface treatment of silicone rubber. [ ]- 5] It is a low pressure, low electron density, 3D homogenous......In the late 19th century, the first depositions - known today as plasma polymers, were reported. In the last century, more and more research has been put into plasma polymers. Many different deposition systems have been developed. [1, 2] Shi F. F. broadly classified them into internal electrode...... plasma. In this study, we are presenting the surface modification"pf polymers by plasma polymerization using Softplasma™. Softplasma™ can be used for two major types of polymerization: polymerization of vinyl monomers, where plasma acts as initiator; chemical vapour deposition, where plasma acts...

  2. Wave propagation in a quasi-chemical equilibrium plasma

    Science.gov (United States)

    Fang, T.-M.; Baum, H. R.

    1975-01-01

    Wave propagation in a quasi-chemical equilibrium plasma is studied. The plasma is infinite and without external fields. The chemical reactions are assumed to result from the ionization and recombination processes. When the gas is near equilibrium, the dominant role describing the evolution of a reacting plasma is played by the global conservation equations. These equations are first derived and then used to study the small amplitude wave motion for a near-equilibrium situation. Nontrivial damping effects have been obtained by including the conduction current terms.

  3. Kinetic advantages of using microwaves in the emulsion polymerization of MMA

    Energy Technology Data Exchange (ETDEWEB)

    Costa, C. [Departamento de Engenharia Quimica, Universidade Federal de Santa Catarina, Campus Universitario, CEP: 88040-900, Florianopolis, SC (Brazil); Santos, A.F.; Fortuny, M. [Programa de Mestrado em Engenharia de Processos, Universidade Tiradentes, Instituto de Tecnologia e Pesquisa, Av. Murilo Dantas, 300, CEP: 49032-490, Aracaju, SE (Brazil); Araujo, P.H.H. [Departamento de Engenharia Quimica, Universidade Federal de Santa Catarina, Campus Universitario, CEP: 88040-900, Florianopolis, SC (Brazil); Sayer, C. [Departamento de Engenharia Quimica, Universidade Federal de Santa Catarina, Campus Universitario, CEP: 88040-900, Florianopolis, SC (Brazil)], E-mail: csayer@enq.ufsc.br

    2009-03-01

    Microwave irradiation has been an interesting alternative for heating systems and several chemical reactions. In polymerization processes, microwaves can enhance reaction rates or improve specific characteristics of the formed polymer. In this work, the use of microwave irradiation in emulsion polymerization reactions has been studied, using a commercial microwave reactor, which is able to perform syntheses under controlled conditions of temperature and power. Methyl methacrylate emulsion polymerization reactions were faster, resulting in smaller polymer particles, in comparison to the conventional heating method (reactions in a jacketed reactor). Different effects were observed in the emulsion polymerization of butyl acrylate. To study the effect of high power microwave irradiation upon the emulsion polymerization, a pulsed irradiation strategy was developed, in which the samples were repeatedly heated within short intervals of time (about 27 s) at the maximum microwave power. A significant reduction of the total time of irradiation was observed in reactions carried out under the pulsed scheme, showing the kinetic advantages of using microwaves in emulsion polymerization processes.

  4. Detailed spectra of high power broadband microwave radiation from interactions of relativistic electron beams with weakly magnetized plasmas

    International Nuclear Information System (INIS)

    Kato, K.G.; Benford, G.; Tzach, D.

    1983-01-01

    Prodigious quantities of microwave energy are observed uniformly across a wide frequency band when a relativistic electron beam (REB) penetrates a plasma. Measurement calculations are illustrated. A model of Compton-like boosting of ambient plasma waves by beam electrons, with collateral emission of high frequency photons, qualitatively explain the spectra. A transition in spectral behavior is observed from the weak to strong turbulence theories advocated for Type III solar burst radiation, and further into the regime the authors characterize as super-strong REB-plasma interactions

  5. ORNL TNS program: microwave start-up of tokamak plasmas near electron cyclotron and upper hybrid resonances

    International Nuclear Information System (INIS)

    Peng, Y.K.M.; Borowski, S.K.

    1977-12-01

    The scenario of toroidal plasma start-up with microwave initiation and heating near the electron cyclotron frequency is suggested and examined here. We assume microwave irradiation from the high field side and an anomalously large absorption of the extraordinary waves near the upper hybrid resonance. The dominant electron energy losses are assumed to be due to magnetic field curvature and parallel drifts, ionization of neutrals, cooling by ions, and radiation by low Z impurities. It is shown by particle and energy balance considerations that electron temperatures around 250 eV and densities of 10 12 to 10 13 cm -3 can be maintained, at least in a narrow region near the upper hybrid resonance, with modest microwave powers in the Impurity Study Experiment (ISX) (120 kW at 28 GHz) and The Next Step (TNS) (0.57 MW at 120 GHz). The loop voltages required for start-up from these initial plasmas are also estimated. It is shown that the loop voltage can be reduced by a factor of five to ten from that for unassisted start-up without an increase in the resistive loss in volt-seconds. If this reduction in loop voltage is verified in the ISX experiments, substantial savings in the cost of power supplies for the ohmic heating (OH) and equilibrium field (EF) coils can be realized in future large tokamaks

  6. Microplasmas ignited and sustained by microwaves

    International Nuclear Information System (INIS)

    Hopwood, Jeffrey; Hoskinson, Alan R; Gregório, José

    2014-01-01

    The challenges and benefits of microwave-induced microdischarges are reviewed. Transmission lines, resonators and surface wave launchers may be used for coupling microwave power to very small plasmas. Fortunately, microplasmas are typically much smaller than the wavelength of microwaves, and the electromagnetic problem may be treated electrostatically within the plasma. It is possible to trap electrons within small discharge gaps if the amplitude of electron oscillation is smaller than the plasma size. Typically occurring above 0.3 GHz, this condition results in lower breakdown fields than are required by direct current or radio frequency systems. Trapping of electrons also decreases the electrode potential to only tens of volts and makes the plasma density invariant in time. The steady-state microplasma produces electron densities of up to 10 15  cm −3 in argon but the electrons are not in equilibrium with the low gas temperatures (500–1000 K). Microwave discharges are compared with other forms of microplasma and guidelines for device selection are recommended. Scale-up of microplasmas using array concepts are presented followed by some exciting new applications. (paper)

  7. Removal and sterilization of biofilms and planktonic bacteria by microwave-induced argon plasma at atmospheric pressure

    International Nuclear Information System (INIS)

    Lee, Mi Hee; Park, Bong Joo; Jin, Soo Chang; Kim, Dohyun; Kim, Jungsung; Park, Jong-Chul; Han, Inho; Hyun, Soon O; Chung, Kie-Hyung

    2009-01-01

    Microbial biofilms are a functional matrix of microbial cells, enveloped in polysaccharides, enzymes and virulence factors secreted by them that can develop on indwelling medical devices and biomaterials. Plasma sterilization has been widely studied in recent years for biological applications. In this study, we evaluated the possibility of removal and anti-recovery of biofilms by microwave-induced argon plasma at atmospheric pressure. We observed that all bacterial biofilms formatted by Gram-negative and Gram-positive bacteria are removed in less than 20 s, and the growth inhibitions of planktonic bacteria within biofilms are also confirmed by plasma exposure for 5 s. These results suggest that our plasma system can be applied to medical and biological fields where the removal of biofilms and their debris is required.

  8. Electrical transport properties of graphene nanowalls grown at low temperature using plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Zhao, Rong; Ahktar, Meysam; Alruqi, Adel; Dharmasena, Ruchira; Jasinski, Jacek B.; Thantirige, Rukshan M.; Sumanasekera, Gamini U.

    2017-05-01

    In this work, we report the electrical transport properties of uniform and vertically oriented graphene (graphene nanowalls) directly synthesized on multiple substrates including glass, Si/SiO2 wafers, and copper foils using radio-frequency plasma enhanced chemical vapor deposition (PECVD) with methane (CH4) as the precursor at relatively low temperatures. The temperature for optimum growth was established with the aid of transmission electron microscopy, scanning electron microscopy, and Raman spectroscopy. This approach offers means for low-cost graphene nanowalls growth on an arbitrary substrate with the added advantage of transfer-free device fabrication. The temperature dependence of the electrical transport properties (resistivity and thermopower) were studied in the temperature range, 30-300 K and analyzed with a combination of 2D-variable range hopping (VRH) and thermally activated (TA) conduction mechanisms. An anomalous temperature dependence of the thermopower was observed for all the samples and explained with a combination of a diffusion term having a linear temperature dependence plus a term with an inverse temperature dependence.

  9. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  10. Enhanced production of electron cyclotron resonance plasma by exciting selective microwave mode on a large-bore electron cyclotron resonance ion source with permanent magnet.

    Science.gov (United States)

    Kimura, Daiju; Kurisu, Yosuke; Nozaki, Dai; Yano, Keisuke; Imai, Youta; Kumakura, Sho; Sato, Fuminobu; Kato, Yushi; Iida, Toshiyuki

    2014-02-01

    We are constructing a tandem type ECRIS. The first stage is large-bore with cylindrically comb-shaped magnet. We optimize the ion beam current and ion saturation current by a mobile plate tuner. They change by the position of the plate tuner for 2.45 GHz, 11-13 GHz, and multi-frequencies. The peak positions of them are close to the position where the microwave mode forms standing wave between the plate tuner and the extractor. The absorbed powers are estimated for each mode. We show a new guiding principle, which the number of efficient microwave mode should be selected to fit to that of multipole of the comb-shaped magnets. We obtained the excitation of the selective modes using new mobile plate tuner to enhance ECR efficiency.

  11. Enhanced production of electron cyclotron resonance plasma by exciting selective microwave mode on a large-bore electron cyclotron resonance ion source with permanent magnet

    Energy Technology Data Exchange (ETDEWEB)

    Kimura, Daiju, E-mail: kimura@nf.eie.eng.osaka-u.ac.jp; Kurisu, Yosuke; Nozaki, Dai; Yano, Keisuke; Imai, Youta; Kumakura, Sho; Sato, Fuminobu; Kato, Yushi; Iida, Toshiyuki [Division of Electrical, Electronic and Information Engineering, Graduate School of Engineering, Osaka University, 2-1 Yamada-oka, Suita-shi, Osaka 565-0871 (Japan)

    2014-02-15

    We are constructing a tandem type ECRIS. The first stage is large-bore with cylindrically comb-shaped magnet. We optimize the ion beam current and ion saturation current by a mobile plate tuner. They change by the position of the plate tuner for 2.45 GHz, 11–13 GHz, and multi-frequencies. The peak positions of them are close to the position where the microwave mode forms standing wave between the plate tuner and the extractor. The absorbed powers are estimated for each mode. We show a new guiding principle, which the number of efficient microwave mode should be selected to fit to that of multipole of the comb-shaped magnets. We obtained the excitation of the selective modes using new mobile plate tuner to enhance ECR efficiency.

  12. Plasma characterization using terahertz-wave-enhanced fluorescence

    International Nuclear Information System (INIS)

    Liu Jingle; Zhang, X.-C.

    2010-01-01

    We demonstrate that the terahertz-wave-enhanced fluorescence emission from excited atoms or molecules can be employed in the characterization of laser-induced gas plasmas. The electron relaxation time and plasma density were deduced through applying the electron impact excitation/ionization and electron-ion recombination processes to the measured time-dependent enhanced fluorescence. The electron collision dynamics of nitrogen plasma excited at different gas pressures and laser pulse energies have been systematically investigated. This plasma characterization method provides picosecond temporal resolution and enables omnidirectional optical signal collection.

  13. The Peculiar Solar Minimum 23/24 Revealed by the Microwave Butterfly Diagram

    Science.gov (United States)

    Gopalswamy, Natchimuthuk; Yashiro, Seiji; Makela, Pertti; Shibasaki, Kiyoto; Hathaway, David

    2010-01-01

    The diminished polar magnetic field strength during the minimum between cycles 23 and 24 is also reflected in the thermal radio emission originating from the polar chromosphere. During solar minima, the polar corona has extended coronal holes containing intense unipolar flux. In microwave images, the coronal holes appear bright, with a brightness enhancement of 500 to 2000 K with respect to the quiet Sun. The brightness enhancement corresponds to the upper chromosphere, where the plasma temperature is approx.10000 K. We constructed a microwave butterfly diagram using the synoptic images obtained by the Nobeyama radioheliograph (NoRH) showing the evolution of the polar and low latitude brightness temperature. While the polar brightness reveals the chromospheric conditions, the low latitude brightness is attributed to active regions in the corona. When we compared the microwave butterfly diagram with the magnetic butterfly diagram, we found a good correlation between the microwave brightness enhancement and the polar field strength. The microwave butterfly diagram covers part of solar cycle 22, whole of cycle 23, and part of cycle 24, thus enabling comparison between the cycle 23/24 and cycle 22/23 minima. The microwave brightness during the cycle 23/24 minimum was found to be lower than that during the cycle 22/23 minimum by approx.250 K. The reduced brightness temperature is consistent with the reduced polar field strength during the cycle 23/24 minimum seen in the magnetic butterfly diagram. We suggest that the microwave brightness at the solar poles is a good indicator of the speed of the solar wind sampled by Ulysses at high latitudes..

  14. Influence of the density of the microwave plasma in the nitridation of the AISI 4140 steel; Influencia de la densidad del plasma de microondas en la nitruracion de acero AISI 4140

    Energy Technology Data Exchange (ETDEWEB)

    Chirino O, S.; Camps C, E.; Escobar A, L.; Mejia H, J.A. [ININ, 52045 Ocoyoacac, Estado de Mexico (Mexico)

    2004-07-01

    A source of microwaves plasma type ECR was used to modify those mechanical properties of the surface of steel pieces AISI 4140. The experiments were carried out in a range of pressure among 4 X 10{sup -4} and 7 X 10{sup -4} Torr using one mixture of gases 60/40 hydrogen / nitrogen and an incident power of the microwaves of 400 W. Previous to the treatment of the samples, the plasma was studied using one Langmuir probe to determine the temperature of the electrons and the density of the plasma, the species excited in the plasma were determined by means of Optical emission spectroscopy. All the samples were treated during 50 min in a regime of low temperature (- 250 C), and the surface hardness it was increased up of 100% of their initial value, with a depth of penetration of the nitrogen of 4.5 {mu} m. The biggest hardness and depth of penetration of the nitrogen were obtained when the biggest density in the plasma was used to carry out the experiments. (Author)

  15. Controlled fabrication of the strong emission YVO{sub 4}:Eu{sup 3+} nanoparticles and nanowires by microwave assisted chemical synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Huong, Tran Thu, E-mail: tthuongims@gmail.com [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Vinh, Le Thi [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Department of Chemistry, Hanoi University of Mining and Geology (Viet Nam); Phuong, Ha Thi [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Department of Chemistry, Hanoi University of Medicine (Viet Nam); Khuyen, Hoang Thi [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Anh, Tran Kim [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Duy Tan University, 14/25 Quang Trung, Da Nang (Viet Nam); Tu, Vu Duc [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Physics, National Chung Cheng University, 168 University Road, Min-Hsiung, Chia-Yi 62102, Taiwan (China); Minh, Le Quoc [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam); Duy Tan University, 14/25 Quang Trung, Da Nang (Viet Nam)

    2016-05-15

    In this report, we are presenting the controlled fabrication results of the strong emission YVO{sub 4}: Eu{sup 3+} nanoparticles and nanowires by microwave which is assisted chemical synthesis. The effects of incorporated synthesis conditions such as microwave irradiated powers, pH values and concentration of chemical composition on properties of nanomaterials are also investigated to obtain the controllable size and homogenous morphology. Morphological and optical properties of YVO{sub 4}: Eu{sup 3+} prepared products which have been characterized by X-ray diffraction (XRD), field emission micrcroscopy (FESEM) and photoluminescence spectroscopy. As based from result of synthesized samples, we found that the changing of pH values, microwave irradiated powers and chemical composition rise to change reform the size and shape of materials from nanoparticles (diameter about 20 nm) to wires shape (with about 500÷800 nm length and 10÷20 nm width). The photoluminescence (PL) spectroscopy measurements of YVO{sub 4}: Eu{sup 3+} nanostructure materials under UV excitation showed that: the strong luminescence in red region with narrow lines corresponding to the intra-4f transitions of {sup 5}D{sub 0}–{sup 7}F{sub j} (j=1, 2, 3, and 4) of Eu{sup 3+} ions with the highest luminescence intensity of {sup 5}D{sub 0}→{sup 7}F{sub 2} transition. - Highlights: • The strong emission YVO{sub 4}:Eu{sup 3+} nanostructure materials were successfully synthesized by microwave assisted chemical synthesis. • The size, morphology and luminescence of the YVO{sub 4}:Eu{sup 3+} nanostructure materials can be controlled by the solution pH, microwave irradiated powers and chemical composition. • These YVO{sub 4}:Eu{sup 3+} nanostructure materials above can potentially applied in various fields of application, especially in luminescent labeling and visualization in biomedical application.

  16. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  17. Effects of boron addition on a-Si(90)Ge(10):H films obtained by low frequency plasma enhanced chemical vapour deposition.

    Science.gov (United States)

    Pérez, Arllene M; Renero, Francisco J; Zúñiga, Carlos; Torres, Alfonso; Santiago, César

    2005-06-29

    Optical, structural and electric properties of (a-(Si(90)Ge(10))(1-y)B(y):H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10(-3) to 10(1) Ω(-1) cm(-1) when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV.

  18. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  19. Spectroscopic diagnostics and modelling of silane microwave plasmas

    International Nuclear Information System (INIS)

    Fantz, U.

    1998-01-01

    Low-pressure silane plasmas (2-20 Pa) diluted with the noble gases helium and argon as well as hydrogen were generated by microwave excitation in order to determine plasma parameters and absolute particle number densities. Specific silane radicals (SiH, Si, H 2 , H) were measured by means of optical emission spectroscopy, whereas particle densities of silane, disilane and molecular hydrogen were measured with mass spectroscopy. Experimental results confirm model calculations, which were carried out to determine number densities of all silane radicals and of higher silanes as well as electron temperature. The electron temperature varies from 1.5 to 4 eV depending on pressure and gas mixture. The temperature of heavy particles is 450 K and the electron number density is 9x10 16 m -3 . The rotational temperatures of SiH are between room temperature and 2000 K due to increasing dissociative excitation. In the plasma the number density of silane is reduced, whereas the number density of molecular hydrogen is close to the silane density, which is fed in. Particle densities of SiH 3 , disilane and atomic hydrogen are in the range of a few per cent of the silane number density. At low pressure the SiH 2 density is similar to SiH 3 and decreases with increasing pressure due to heavy particle collisions with silane producing higher silanes. Particle densities of SiH and Si are only in the range of some 10 -3 of the silane density decreasing with increasing collisions of heavy particles with silane and molecular hydrogen. In mixtures with argon Penning reactions increase the silane dissociation. (author)

  20. Growth of thin SiC films on Si single crystal wafers with a microwave excited plasma of methane gas

    DEFF Research Database (Denmark)

    Dhiman, Rajnish; Morgen, Per

    2013-01-01

    Wehave studied the growth and properties of SiC films on Siwafers, under ultrahigh vacuumbackground con- ditions, using a remote-, microwave excited,methane plasma as a source of active carbon and hydrogen,while the Si substrates were held at a temperature of near 700 °C. The reaction is diffusio......Wehave studied the growth and properties of SiC films on Siwafers, under ultrahigh vacuumbackground con- ditions, using a remote-, microwave excited,methane plasma as a source of active carbon and hydrogen,while the Si substrates were held at a temperature of near 700 °C. The reaction...... lowdensity of these, and are otherwise very uniform and poly- crystalline. They are characterized with scanning electron microscopy, atomic force microscopy, X-ray photo- electron spectroscopy, X-ray diffraction, and hardnessmeasurements....