WorldWideScience

Sample records for microwave plasma source

  1. Simple microwave plasma source at atmospheric pressure

    International Nuclear Information System (INIS)

    Kim, Jeong H.; Hong, Yong C.; Kim, Hyoung S.; Uhm, Han S.

    2003-01-01

    We have developed a thermal plasma source operating without electrodes. One electrodeless torch is the microwave plasma-torch, which can produce plasmas in large quantities. We can generate plasma at an atmospheric pressure by marking use of the same magnetrons used as commercial microwave ovens. Most of the magnetrons are operated at the frequency of 2.45 GHz; the magnetron power microwave is about 1kW. Electromagnetic waves from the magnetrons propagate through a shorted waveguide. Plasma was generated under a resonant condition, by an auxiliary ignition system. The plasma is stabilized by vortex stabilization. Also, a high-power and high-efficiency microwave plasma-torch has been operated in air by combining two microwave plasma sources with 1kW, 2.45 GHz. They are arranged in series to generate a high-power plasma flame. The second torch adds all its power to the plasma flame of the first torch. Basically, electromagnetic waves in the waveguide were studied by a High Frequency Structure Simulator (HFSS) code and preliminary experiments were conducted

  2. Development of a long-slot microwave plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Kuwata, Y., E-mail: euo1304@mail4.doshisha.ac.jp; Kasuya, T.; Miyamoto, N.; Wada, M. [Graduate School of Science and Engineering, Doshisha University, Kyotanabe, Kyoto 610-0321 (Japan)

    2016-02-15

    A 20 cm long 10 cm wide microwave plasma source was realized by inserting two 20 cm long 1.5 mm diameter rod antennas into the plasma. Plasma luminous distributions around the antennas were changed by magnetic field arrangement created by permanent magnets attached to the source. The distributions appeared homogeneous in one direction along the antenna when the spacing between the antenna and the source wall was 7.5 mm for the input microwave frequency of 2.45 GHz. Plasma density and temperature at a plane 20 cm downstream from the microwave shield were measured by a Langmuir probe array at 150 W microwave power input. The measured electron density and temperature varied over space from 3.0 × 10{sup 9} cm{sup −3} to 5.8 × 10{sup 9} cm{sup −3}, and from 1.1 eV to 2.1 eV, respectively.

  3. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  4. Microwave interferometry of PEOS plasma sources

    International Nuclear Information System (INIS)

    Weber, B.V.; Commisso, R.J.; Goodrich, P.J.; Hinshelwood, D.D.; Neri, J.M.

    1988-01-01

    A 70 GHz microwave interferometer is used to measure the electron density for various configurations of sources used in plasma erosion opening switch (PEOS) experiments. The interferometer is a phase quadrature system, so the density can be measured as a function of time without ambiguity. Measurements have been made for carbon guns and flashboards driven by a .6 μF. 25 kV capacitor. The plasma density from a gun rises to its peak value in about 10 μs. Then decays in the next 40 μs. A metal screen placed between the gun and the microwave beam attenuates the plasma density by a factor greater than the geometrical transparency of the screen. Density measurements as a function of distance from the gun are analyzed to give the plasma spatial dependence, and the particle flux density and flow velocity are calculated from the continuity equation. Density values used to model previous PEOS experiments are comparable to the values measured here. The flashboard sources produce a denser, faster plasma that is more difficult to diagnose with the interferometer than the gun plasma because of refractive bending of the microwave beam. Reducing the plasma length reduces the refractive bending enough that some measurements are possible. Direct comparison with Gamble II PEOS experiments that used these flashboard sources may not be possible at this frequency because of refraction, but estimates based on measurements at larger distances give reasonable agreement with values used to model these experiments. Other measurements that will be presented include the effects of plasma flow against metal walls, effects of changing the driving current waveform, measurements made in actual experimental configurations and comparisons with Faraday cup and electric probe measurements

  5. The low-cost microwave plasma sources for science and industry applications

    Science.gov (United States)

    Tikhonov, V. N.; Aleshin, S. N.; Ivanov, I. A.; Tikhonov, A. V.

    2017-11-01

    Microwave plasma torches proposed in the world market are built according to a scheme that can be called classical: power supply - magnetron head - microwave isolator with water load - reflected power meter - matching device - actual plasma torch - sliding short circuit. The total cost of devices from this list with a microwave generator of 3 kW in the performance, for example, of SAIREM (France), is about 17,000 €. We have changed the classical scheme of the microwave plasmathrone and optimised design of the waveguide channel. As a result, we can supply simple and reliable sources of microwave plasma (complete with our low-budget microwave generator up to 3 kW and a simple plasmathrone of atmospheric pressure) at a price from 3,000 €.

  6. Analysis of the tuning characteristics of microwave plasma source

    International Nuclear Information System (INIS)

    Miotk, Robert; Jasiński, Mariusz; Mizeraczyk, Jerzy

    2016-01-01

    In this paper, we present an analysis of the tuning characteristics of waveguide-supplied metal-cylinder-based nozzleless microwave plasma source. This analysis has enabled to estimate the electron concentration n_e and electron frequency collisions ν in the plasma generated in nitrogen and in a mixture of nitrogen and ethanol vapour. The parameters n_e and ν are the basic quantities that characterize the plasma. The presented new plasma diagnostic method is particularly useful, when spectroscopic methods are useless. The presented plasma source is currently used in research of a hydrogen production from liquids.

  7. Plasma relativistic microwave electronics

    International Nuclear Information System (INIS)

    Kuzelev, M.V.; Loza, O.T.; Rukhadze, A.A.; Strelkov, P.S.; Shkvarunets, A.G.

    2001-01-01

    One formulated the principles of plasma relativistic microwave electronics based on the induced Cherenkov radiation of electromagnetic waves at interaction of a relativistic electron beam with plasma. One developed the theory of plasma relativistic generators and accelerators of microwave radiation, designed and studied the prototypes of such devices. One studied theoretically the mechanisms of radiation, calculated the efficiencies and the frequency spectra of plasma relativistic microwave generators and accelerators. The theory findings are proved by the experiment: intensity of the designed sources of microwave radiation is equal to 500 μW, the frequency of microwave radiation is increased by 7 times (from 4 up to 28 GHz), the width of radiation frequency band may vary from several up to 100%. The designed sources of microwave radiation are no else compared in the electronics [ru

  8. Analysis of the tuning characteristics of microwave plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Miotk, Robert, E-mail: rmiotk@imp.gda.pl; Jasiński, Mariusz [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-231 Gdańsk (Poland); Mizeraczyk, Jerzy [Department of Marine Electronics, Gdynia Maritime University, Morska 81-87, 81-225 Gdynia (Poland)

    2016-04-15

    In this paper, we present an analysis of the tuning characteristics of waveguide-supplied metal-cylinder-based nozzleless microwave plasma source. This analysis has enabled to estimate the electron concentration n{sub e} and electron frequency collisions ν in the plasma generated in nitrogen and in a mixture of nitrogen and ethanol vapour. The parameters n{sub e} and ν are the basic quantities that characterize the plasma. The presented new plasma diagnostic method is particularly useful, when spectroscopic methods are useless. The presented plasma source is currently used in research of a hydrogen production from liquids.

  9. Microwave plasma source having improved switching operation from plasma ignition phase to normal ion extraction phase

    International Nuclear Information System (INIS)

    Sakudo, N.; Abe, K.; Koike, H.; Okada, O.; Tokiguchi, K.

    1985-01-01

    In a microwave plasma source, a discharge space supplied with a microwave electric field is supplied with a DC magnetic field. A material to be ionized is introduced into the discharge space to produce plasma, whereby ions are extracted through an ion extracting system. A switch is provided for effecting through switching operation the change-over of the magnetic field applied to the discharge space from the intensity for the ignition of plasma to the intensity for ion extraction in succession to completion of the plasma ignition

  10. Microwave power coupling in a surface wave excited plasma

    Directory of Open Access Journals (Sweden)

    Satyananda Kar

    2015-01-01

    Full Text Available In recent decades, different types of plasma sources have been used for various types of plasma processing, such as, etching and thin film deposition. The critical parameter for effective plasma processing is high plasma density. One type of high density plasma source is Microwave sheath-Voltage combination Plasma (MVP. In the present investigation, a better design of MVP source is reported, in which over-dense plasma is generated for low input microwave powers. The results indicate that the length of plasma column increases significantly with increase in input microwave power.

  11. Characterization of a microwave generated plasma

    International Nuclear Information System (INIS)

    Root, D.J.; Mahoney, L.; Asmussen, J.

    1986-01-01

    Recent experiments have demonstrated a microwave ion beam source without and with static magnetic fields in inert gases and in oxygen gases. This plasma generation configuration also has uses in the areas of plasma processing such as plasma etching, plasma assisted thin flim deposition and plasma assisted oxide growth. These ion beam and plasma processing applications have provided motivation to investigate microwave discharge properties, such as electron density, electron temperature, gas temperature, degree of ionization, etc., of the microwave generated plasma over a wide range of experimental operating conditions. This paper presents the results of experimental measurements which attempt to characterize the experimental microwave discharge in the absence of a static magnetic field. Measurements from a double probe, which is located in the plasma in a zero microwave field region, are presented in argon, xenon and oxygen gases. Variations of plasma density and electron temperature versus absorbed microwave power, gas pressure (0.2 m Torr to 200 m Torr) and discharge diffusion length are presented and compared to dc positive column discharge theory

  12. Compact microwave ion source

    International Nuclear Information System (INIS)

    Leung, K.N.; Walther, S.; Owren, H.W.

    1985-05-01

    A small microwave ion source has been fabricated from a quartz tube with one end enclosed by a two grid accelerator. The source is also enclosed by a cavity operated at a frequency of 2.45 GHz. Microwave power as high as 500 W can be coupled to the source plasma. The source has been operated with and without multicusp fields for different gases. In the case of hydrogen, ion current density of 200 mA/cm -2 with atomic ion species concentration as high as 80% has been extracted from the source

  13. A Cherenkov-emission Microwave Source*

    Science.gov (United States)

    Lai, C. H.; Yoshii, J.; Katsouleas, T.; Hairapetian1, G.; Joshi, C.; Mori, W.

    1996-11-01

    In an unmagnetized plasma, there is no Cherenkov emission because the phase velocity vf of light is greater than c. In a magnetized plasma, the situation is completely changed. There is a rich variety of plasma modes with phase velocities vf 2 c which can couple to a fast particle. In the magnetized plasma, a fast particle, a particle beam, or even a short laser pulse excites a Cherenkov wake that has both electrostatic and electromagnetic components. Preliminary simulations indicate that at the vacuum/plasma boundary, the wake couples to a vacuum microwave with an amplitude equal to the electromagnetic component in the plasma. For a weakly magnetized plasma, the amplitude of the out-coupled radiation is approximately wc/wp times the amplitude of the wake excited in the plasma by the beam, and the frequency is approximately wp. Since plasma wakes as high as a few GeV/m are produced in current experiments, the potential for a high-power (i.e., GWatt) coherent microwave to THz source exists. In this talk, a brief overview of the scaling laws will be presented, followed by 1-D and 2-D PIC simulations. Prospects for a tuneable microwave source experiment based on this mechanism at the UCLA plasma wakefield accelerator facility will be discussed. *Work supported by AFOSR Grant #F4 96200-95-0248 and DOE Grant # DE-FG03-92ER40745. 1Now at Hughes Research Laboratories, Malibu, CA 90265

  14. Microwave produced plasma in a Toroidal Device

    Science.gov (United States)

    Singh, A. K.; Edwards, W. F.; Held, E. D.

    2010-11-01

    A currentless toroidal plasma device exhibits a large range of interesting basic plasma physics phenomena. Such a device is not in equilibrium in a strict magneto hydrodynamic sense. There are many sources of free energy in the form of gradients in plasma density, temperature, the background magnetic field and the curvature of the magnetic field. These free energy sources excite waves and instabilities which have been the focus of studies in several devices in last two decades. A full understanding of these simple plasmas is far from complete. At Utah State University we have recently designed and installed a microwave plasma generation system on a small tokamak borrowed from the University of Saskatchewan, Saskatoon, Canada. Microwaves are generated at 2.45 GHz in a pulsed dc mode using a magnetron from a commercial kitchen microwave oven. The device is equipped with horizontal and vertical magnetic fields and a transformer to impose a toroidal electric field for current drive. Plasmas can be obtained over a wide range of pressure with and without magnetic fields. We present some preliminary measurements of plasma density and potential profiles. Measurements of plasma temperature at different operating conditions are also presented.

  15. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  16. Microwave and optical diagnostics in a gadolinium plasma

    International Nuclear Information System (INIS)

    Larousse, B.

    1997-01-01

    The optimization of the separation process of the gadolinium isotopes by Ion Cyclotron Resonance requires a precise knowledge of the physical characteristics of the plasma. Thus, two kinds of diagnostics have been developed: the first one to estimate the microwave power inside the source and the second one to measure the density of atomic and ionic of the gadolinium inside the plasma source and in front of the collector. Microwave diagnostic: A microstrip antenna has been designed and developed in order to characterize the microwave at 36 GHz frequency in the plasma source. The experimental results for different plasma regimes are presented. The measurements inside the plasma source show a maximum of microwave absorption for an argon pressure of 10 -4 mb (93% of absorption of the incident wave in the conditions of isotope separation). Laser absorption diagnostic: The theory of laser absorption in presence of a magnetic field is recalled and the first results are presented. In the spectral range between 560 and 620 nm, corresponding to high energy levels of gadolinium, no signal is obtained so that the density is below the detection limit 10 10 cm -3 . In the spectral range between 380 and 400 nm, two lines are observed, issue from the fundamental and metastable (633 cm -1 ) levels. The density of metastable level of gadolinium ions is about 10 10 cm -3 with a relative precision of 15 % and its variation is studied as a function of argon pressure, at different sections of the plasma column (source, collector). The achieved set of measurements has been performed in order to check the theoretical models. (author)

  17. Equivalent circuit of a coaxial-line-based nozzleless microwave 915 MHz plasma source

    International Nuclear Information System (INIS)

    Miotk, R; Jasiński, M; Mizeraczyk, J

    2016-01-01

    This paper presents a new concept of an equivalent circuit of a microwave plasma source (MPS) used for gas treatment. The novelty of presented investigations is the use of the Weissfloch circuit as equivalent of an area of waveguide discontinuity in the MPS which is a result of entering a coaxial-line structure. Furthermore, in this area the microwave discharge is generated. Verification of the proposed method was carried out. The proposed equivalent circuit enabled calculating the MPS tuning characteristics and comparing them with those measured experimentally. This process allowed us to determine the impedance Z_P ofplasma in the MPS. (paper)

  18. Plasma Electronics. Theoretical and Experimental Investigations of Plasma Nonlinearity in the Powerful Microwave Oscillators

    International Nuclear Information System (INIS)

    Bliokh, Yu.P.

    2001-01-01

    During more than 50 years of Plasma Electronics development a great number of experimental and theoretical results have been achieved. These results allow understanding of physical processes which originate under charged particles beams interaction with a plasma. However, one essential aspect of such interaction remains insufficiently studied. The question is about a correlation between conditions of microwave excitation by a beam in plasma and plasma parameters. Each of these effects, namely the influence of plasma parameters on conditions of microwave excitation by a beam and plasma parameters variations under the influence of propagating microwave radiation are well known and investigated enough. However their common action under beam-plasma instability (BPI) development were not studied systematically, although the role of such reciprocal influence on character of these processes may be very large. The aim of this report is a review of recent theoretical and experimental investigations of such plasma nonlinearity in plasma-filled trawling-wave tubes. N.M.Zemlyansky and E.A.Kornilov have done experiments in Kharkov Institute of Physics and Technology (KhPhTI). Development of the theoretical model was started in KhPhTI (Yu.P.Bliokh, Ya.B.Fainberg, M.G.Lyubarsky, and V.O.Podobinsky) and continues by author in Technion. The developed theory takes into account two main reasons of the plasma density redistribution: high frequency pressure (HFP) force which ''push out'' plasma from the regions with increased microwave amplitude, or microwave discharge, which appears in the region where amplitude is large enough. Displaced (under HFP action) or additionally originating (under (BPD) development) plasma propagates from the disturbance source in the form of slow plasma waves (for example, ion-sound or magneto-sound waves), and the BPI develops in the nonhomogeneous plasma. It changes both magnitude and longitudinal distribution of excited microwave amplitude. As a result

  19. Realization of high efficiency in a plasma-assisted microwave source with two-dimensional electron motion

    International Nuclear Information System (INIS)

    Shkvarunets, A.G.; Carmel, Y.; Nusinovich, G.S.; Abu-elfadl, T.M.; Rodgers, J.; Antonsen, T.M. Jr.; Granatstein, V.; Goebel, D.M.

    2002-01-01

    Conventional microwave sources utilize a strong axial magnetic field to guide an electron beam through an interaction region. A plasma-assisted slow wave microwave oscillator (Pasotron) can operate without an external magnetic field because the presence of ions neutralizes the space charge in the beam, permits the self-pinch forces to provide beam propagation, and allows for the radial motion of electrons under the action of transverse fields of the wave. While the inherent efficiency of conventional microwave sources with 1D electron flow is limited to 15%-20%, it is shown in this work that both the calculated and measured inherent efficiency of devices with 2D electron flow can be higher than 50%. Both in situ diagnostics and analysis confirmed that the enhanced efficiency is due to the fact that rf forces dominate the beam dynamics

  20. Production of hydrogen via conversion of hydrocarbons using a microwave plasma

    International Nuclear Information System (INIS)

    Jasinski, Mariusz; Dors, Miroslaw; Nowakowska, Helena; Mizeraczyk, Jerzy; Nichipor, Gerietta V

    2011-01-01

    In this paper, results of hydrogen production from hydrocarbons in an atmospheric pressure microwave plasma are presented. As sources of hydrogen, both methane CH 4 and tetrafluoroethane C 2 H 2 F 4 were tested. A new waveguide-based nozzleless cylinder-type microwave plasma source was used to convert hydrocarbons into hydrogen. The processed gaseous hydrocarbons were introduced into the plasma by four gas ducts which formed a swirl flow in the plasma reactor. The absorbed microwave power was up to 5 kW. The gas flow rate was up to 212 L min -1 . The hydrogen mass yield rate and the corresponding energetic hydrogen mass yield were up to 866 g[H 2 ] h -1 and 577 g [H 2 ] kWh -1 of microwave energy absorbed by the plasma, respectively. These parameters are better than our previous results when nitrogen was used as a swirl gas and much better than those typical for other plasma methods of hydrogen production (electron beam, gliding arc, plasmatron).

  1. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  2. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  3. PASOTRON high-energy microwave source

    Science.gov (United States)

    Goebel, Dan M.; Schumacher, Robert W.; Butler, Jennifer M.; Hyman, Jay, Jr.; Santoru, Joseph; Watkins, Ron M.; Harvey, Robin J.; Dolezal, Franklin A.; Eisenhart, Robert L.; Schneider, Authur J.

    1992-04-01

    A unique, high-energy microwave source, called PASOTRON (Plasma-Assisted Slow-wave Oscillator), has been developed. The PASOTRON utilizes a long-pulse E-gun and plasma- filled slow-wave structure (SWS) to produce high-energy pulses from a simple, lightweight device that utilizes no externally produced magnetic fields. Long pulses are obtained from a novel E-gun that employs a low-pressure glow discharge to provide a stable, high current- density electron source. The electron accelerator consists of a high-perveance, multi-aperture array. The E-beam is operated in the ion-focused regime where the plasma filling the SWS space-charge neutralizes the beam, and the self-pinch force compresses the beamlets and increases the beam current density. A scale-model PASOTRON, operating as a backward- wave oscillator in C-band with a 100-kV E-beam, has produced output powers in the 3 to 5 MW range and pulse lengths of over 100 microsecond(s) ec, corresponding to an integrated energy per pulse of up to 500 J. The E-beam to microwave-radiation power conversion efficiency is about 20%.

  4. Production of hydrogen via conversion of hydrocarbons using a microwave plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jasinski, Mariusz; Dors, Miroslaw; Nowakowska, Helena; Mizeraczyk, Jerzy [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-952 Gdansk (Poland); Nichipor, Gerietta V, E-mail: mj@imp.gda.pl [Joint Institute of Power and Nuclear Research, Academy of Sciences of Belarus, Minsk, Sosny 220109 (Belarus)

    2011-05-18

    In this paper, results of hydrogen production from hydrocarbons in an atmospheric pressure microwave plasma are presented. As sources of hydrogen, both methane CH{sub 4} and tetrafluoroethane C{sub 2}H{sub 2}F{sub 4} were tested. A new waveguide-based nozzleless cylinder-type microwave plasma source was used to convert hydrocarbons into hydrogen. The processed gaseous hydrocarbons were introduced into the plasma by four gas ducts which formed a swirl flow in the plasma reactor. The absorbed microwave power was up to 5 kW. The gas flow rate was up to 212 L min{sup -1}. The hydrogen mass yield rate and the corresponding energetic hydrogen mass yield were up to 866 g[H{sub 2}] h{sup -1} and 577 g [H{sub 2}] kWh{sup -1} of microwave energy absorbed by the plasma, respectively. These parameters are better than our previous results when nitrogen was used as a swirl gas and much better than those typical for other plasma methods of hydrogen production (electron beam, gliding arc, plasmatron).

  5. Microwave frequency sweep interferometer for plasma density measurements in ECR ion sources: Design and preliminary results

    Energy Technology Data Exchange (ETDEWEB)

    Torrisi, Giuseppe [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University Mediterranea of Reggio Calabria, Reggio Calabria (Italy); Mascali, David; Neri, Lorenzo; Leonardi, Ornella; Celona, Luigi; Castro, Giuseppe; Agnello, Riccardo; Caruso, Antonio; Passarello, Santi; Longhitano, Alberto; Gammino, Santo [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Sorbello, Gino [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University of Catania, Catania, Italy and INFN-LNS, Catania (Italy); Isernia, Tommaso [University Mediterranea of Reggio Calabria, Reggio Calabria (Italy)

    2016-02-15

    The Electron Cyclotron Resonance Ion Sources (ECRISs) development is strictly related to the availability of new diagnostic tools, as the existing ones are not adequate to such compact machines and to their plasma characteristics. Microwave interferometry is a non-invasive method for plasma diagnostics and represents the best candidate for plasma density measurement in hostile environment. Interferometry in ECRISs is a challenging task mainly due to their compact size. The typical density of ECR plasmas is in the range 10{sup 11}–10{sup 13} cm{sup −3} and it needs a probing beam wavelength of the order of few centimetres, comparable to the chamber radius. The paper describes the design of a microwave interferometer developed at the LNS-INFN laboratories based on the so-called “frequency sweep” method to filter out the multipath contribution in the detected signals. The measurement technique and the preliminary results (calibration) obtained during the experimental tests will be presented.

  6. Recent trends in atomic spectrometry with microwave-induced plasmas

    International Nuclear Information System (INIS)

    Broekaert, Jose A.C.; Siemens, Volker

    2004-01-01

    The state-of-the-art and trends of development in atomic spectrometry with microwave-induced plasmas (MIPs) since the 1998s are presented and discussed. This includes developments in devices for producing microwave plasma discharges, with reference also to miniaturized systems as well as to progress in sample introduction for microwave-induced plasmas, such as pneumatic and ultrasonic nebulization using membrane desolvation, to the further development of gaseous analyte species generation systems and to both spark and laser ablation (LA). The features of microwave-induced plasma mass spectrometry (MIP-MS) as an alternative to inductively coupled plasma (ICP)-MS are discussed. Recent work on the use of microwave-induced plasma atomic spectrometry for trace element determinations and monitoring, their use as tandem sources and for particle sizing are discussed. Recent applications of the coupling of gas chromatography and MIP atomic spectrometry for the determination of organometallic compounds of heavy metals such as Pb, Hg, Se and Sn are reviewed and the possibilities of trapping for sensitivity enhancement, as required for many applications especially in environmental work, are showed at the hand of citations from the recent literature

  7. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  8. Plasma source by microwaves: design description

    International Nuclear Information System (INIS)

    Camps, E.; Olea, O.; Andrade, R.; Anguiano, G.

    1992-03-01

    The design of a device for the formation of a plasma with densities of the order of 10 12 cm - 3 and low temperatures (T e ∼ 40 eV) is described. For such purpose it was carried out in the device a microwave discharge (f o = 2.45 GHz) in a resonator of high Q factor, immersed in a static external magnetic field. The device worked in the regime ω ce ≤ ω o /2 (ω ce - cyclotron frequency of the electrons, (ω o = 2 π f o ) where is possible the excitement of non lineal phenomena of waves transformation. (Author)

  9. Converting a Microwave Oven into a Plasma Reactor: A Review

    Directory of Open Access Journals (Sweden)

    Victor J. Law

    2018-01-01

    Full Text Available This paper reviews the use of domestic microwave ovens as plasma reactors for applications ranging from surface cleaning to pyrolysis and chemical synthesis. This review traces the developments from initial reports in the 1980s to today’s converted ovens that are used in proof-of-principle manufacture of carbon nanostructures and batch cleaning of ion implant ceramics. Information sources include the US and Korean patent office, peer-reviewed papers, and web references. It is shown that the microwave oven plasma can induce rapid heterogeneous reaction (solid to gas and liquid to gas/solid plus the much slower plasma-induced solid state reaction (metal oxide to metal nitride. A particular focus of this review is the passive and active nature of wire aerial electrodes, igniters, and thermal/chemical plasma catalyst in the generation of atmospheric plasma. In addition to the development of the microwave oven plasma, a further aspect evaluated is the development of methodologies for calibrating the plasma reactors with respect to microwave leakage, calorimetry, surface temperature, DUV-UV content, and plasma ion densities.

  10. A microwave-augmented plasma torch module

    International Nuclear Information System (INIS)

    Kuo, S P; Bivolaru, Daniel; Williams, Skip; Carter, Campbell D

    2006-01-01

    A new plasma torch device which combines arc and microwave discharges to enhance the size and enthalpy of the plasma torch is described. A cylindrical-shaped plasma torch module is integrated into a tapered rectangular cavity to form a microwave adaptor at one end, which couples the microwave power injected into the cavity from the other end to the arc plasma generated by the torch module. A theoretical study of the microwave coupling from the cavity to the plasma torch, as the load, is presented. The numerical results indicate that the microwave power coupling efficiency exceeds 80%. Operational tests of the device indicate that the microwave power is coupled to the plasma torch and that the arc discharge power is increased. The addition of microwave energy enhances the height, volume and enthalpy of the plasma torch when the torch operates at a low airflow rate, and even when the flow speed is supersonic, a noticeable microwave effect on the plasma torch is observed. In addition, the present design allows the torch to be operated as both a fuel injector and igniter. Ignition of ethylene fuel injected through the centre of a tungsten carbide tube acting as the central electrode is demonstrated

  11. Microwave plasma for hydrogen production from liquids

    Directory of Open Access Journals (Sweden)

    Czylkowski Dariusz

    2016-06-01

    Full Text Available The hydrogen production by conversion of liquid compounds containing hydrogen was investigated experimentally. The waveguide-supplied metal cylinder-based microwave plasma source (MPS operated at frequency of 915 MHz at atmospheric pressure was used. The decomposition of ethanol, isopropanol and kerosene was performed employing plasma dry reforming process. The liquid was introduced into the plasma in the form of vapour. The amount of vapour ranged from 0.4 to 2.4 kg/h. Carbon dioxide with the flow rate ranged from 1200 to 2700 NL/h was used as a working gas. The absorbed microwave power was up to 6 kW. The effect of absorbed microwave power, liquid composition, liquid flow rate and working gas fl ow rate was analysed. All these parameters have a clear influence on the hydrogen production efficiency, which was described with such parameters as the hydrogen production rate [NL(H2/h] and the energy yield of hydrogen production [NL(H2/kWh]. The best achieved experimental results showed that the hydrogen production rate was up to 1116 NL(H2/h and the energy yield was 223 NL(H2 per kWh of absorbed microwave energy. The results were obtained in the case of isopropanol dry reforming. The presented catalyst-free microwave plasma method can be adapted for hydrogen production not only from ethanol, isopropanol and kerosene, but also from different other liquid compounds containing hydrogen, like gasoline, heavy oils and biofuels.

  12. Plasma properties of a modified beam-plasma type ion source

    International Nuclear Information System (INIS)

    Ishikawa, Junzo; Sano, Fumimichi; Tsuji, Hiroshi; Ektessabi, A.M.; Takagi, Toshinori

    1978-01-01

    The properties of the plasma produced by beam-plasma discharge were experimentally investigated. The ion source used for this work consists of three parts, that is, the ion-extracting region with an electron gun, the drift space and the collector region. Primary and secondary electron beams are injected in to the drift tube. The interaction between plasma and these electron beams causes production of high density plasma by virtue of the beam-plasma discharge. The gas inlet is located in the middle of the drift tube, so that the gas conductance is high. The energy of the primary and secondary electron beams is transferred to that microwaves through beam-plasma interaction. The microwaves heat the plasma electrons by the cyclotron resonance or other mechanism. The amount of the energetic plasma electrons is much larger than that of the beam electrons, so that neutral gas is ionized. The density of the produced plasma is 10 2 or 10 3 times as large as the plasma produced by impact ionization. With a probe located in the middle of the drift tube, the plasma density and the electron temperature can be measured, and the power and spectra of the microwaves can be detected. The microwave oscillation, the primary electron beam characteristics, and the gas pressure characteristics were studied. Larger current of the high energy primary of secondary electron beam is required for the effective discharge. The ion source has to be operated at the minimum gas pressure. The length of beam-plasma interaction and the magnetic field intensity in the drift tube are also important parameters. (Kato, T.)

  13. Precision microwave applicators and systems for plasma and materials processing

    International Nuclear Information System (INIS)

    Asmussen, J.; Garard, R.

    1988-01-01

    Modern applications of microwave energy have imposed new requirements upon microwave processing systems. Interest in energy efficiency, processing uniformity and control of process cycles has placed new design conditions upon microwave power oscillators, microwave systems and microwave applicator design. One approach of meeting new application requirements is the use of single-mode or controlled multimode applicators. The use of a single-mode applicator for plasma generation and materials processing will be presented. Descriptions of actual applicator designs for heating, curing, and processing of solid materials and the generations of high and low pressure discharges will be given. The impact of these applicators on the total microwave system including the microwave power source will be described. Specific examples of applicator and associated microwave systems will be detailed for the applications of (1) plasma thin film deposition and (2) the precision processing and diagnosis of materials. Methods of process control and diagnosis, control of process uniformity and process scale up are discussed

  14. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  15. Atmospheric pressure microwave plasma system with ring waveguide

    International Nuclear Information System (INIS)

    Liu Liang; Zhang Guixin; Zhu Zhijie; Luo Chengmu

    2007-01-01

    Some scientists used waveguide as the cavity to produce a plasma jet, while large volume microwave plasma was relatively hard to get in atmospheric pressure. However, a few research institutes have already developed devices to generate large volume of atmospheric pressure microwave plasma, such as CYRANNUS and SLAN series, which can be widely applied. In this paper, present a microwave plasma system with ring waveguide to excite large volume of atmospheric pressure microwave plasma, plot curves on theoretical disruption electric field of some working gases, emulate the cavity through software, measure the power density to validate and show the appearance of microwave plasma. At present, large volume of argon and helium plasma have already been generated steadily by atmospheric pressure microwave plasma system. This research can build a theoretical basis of microwave plasma excitation under atmospheric pressure and will be useful in study of the device. (authors)

  16. Microwave and optical diagnostics in a gadolinium plasma; Diagnostics hyperfrequence et optique dans un plasma magnetise de gadolinium

    Energy Technology Data Exchange (ETDEWEB)

    Larousse, B. [CEA Centre d`Etudes de Saclay, 91 - Gif-sur-Yvette (France). Dept. des Procedes d`Enrichissement]|[Conservatoire National des Arts et Metiers (CNAM), 75 - Paris (France)

    1997-12-31

    The optimization of the separation process of the gadolinium isotopes by Ion Cyclotron Resonance requires a precise knowledge of the physical characteristics of the plasma. Thus, two kinds of diagnostics have been developed: the first one to estimate the microwave power inside the source and the second one to measure the density of atomic and ionic of the gadolinium inside the plasma source and in front of the collector. Microwave diagnostic: A microstrip antenna has been designed and developed in order to characterize the microwave at 36 GHz frequency in the plasma source. The experimental results for different plasma regimes are presented. The measurements inside the plasma source show a maximum of microwave absorption for an argon pressure of 10{sup -4} mb (93% of absorption of the incident wave in the conditions of isotope separation). Laser absorption diagnostic: The theory of laser absorption in presence of a magnetic field is recalled and the first results are presented. In the spectral range between 560 and 620 nm, corresponding to high energy levels of gadolinium, no signal is obtained so that the density is below the detection limit 10{sup 10} cm{sup -3}. In the spectral range between 380 and 400 nm, two lines are observed, issue from the fundamental and metastable (633 cm{sup -1}) levels. The density of metastable level of gadolinium ions is about 10{sup 10} cm{sup -3} with a relative precision of 15 % and its variation is studied as a function of argon pressure, at different sections of the plasma column (source, collector). The achieved set of measurements has been performed in order to check the theoretical models. (author) 32 refs.

  17. Experimental studies of microwave interaction with a plasma-covered planar conducting surface

    International Nuclear Information System (INIS)

    Destler, W.W.; Rodgers, J.; DeGrange, J.E.; Segalov, Z.

    1990-01-01

    The authors present experimental studies of the reflection and absorption of microwave radiation from a plasma-covered planar conducting surface. In the experiments, microwave radiation from both highpower, short pulse (10 GHz, 100 MW, 30 ns) and low power (10 GHz, 10 mW, CW) sources is radiated at a 30 cm diameter conducting plate. A time-varying plasma is created on the surface of the conductor by 19 coaxial plasma guns embedded in the surface of the plate and discharged using a fast-rise capacitor bank. The plasma density distribution on the conducting surface is a function of time and the charging voltage on the capacitor bank. Incident and reflected microwave radiation has been measured for a wide variety of experimental conditions

  18. MICROWAVE INTERACTIONS WITH INHOMOGENEOUS PARTIALLY IONIZED PLASMA

    Energy Technology Data Exchange (ETDEWEB)

    Kritz, A. H.

    1962-11-15

    Microwave interactions with inhomogeneous plasmas are often studied by employing a simplified electromagnetic approach, i.e., by representing the effects of the plasma by an effective dielectric coefficient. The problems and approximations associated with this procedure are discussed. The equation describing the microwave field in an inhomogeneous partially ionized plasma is derived, and the method that is applied to obtain the reflected, transmitted, and absorbed intensities in inhomogeneous plasmas is presented. The interactions of microwaves with plasmas having Gaussian electron density profiles are considered. The variation of collision frequency with position is usually neglected. In general, the assumption of constant collision frequency is not justified; e.g., for a highly ionized plasma, the electron density profile determines, in part, the profile of the electron-ion collision frequency. The effect of the variation of the collision frequency profile on the interaction of microwaves with inhomogeneous plasmas is studied in order to obtain an estimate of the degree of error that may result when constant collision frequency is assumed instead of a more realistic collision frequency profile. It is shown that the degree of error is of particular importance when microwave analysis is used as a plasma diagnostic. (auth)

  19. XUV laser-produced plasma sheet beam and microwave agile mirror

    International Nuclear Information System (INIS)

    Shen, W.; Scharer, J.E.; Porter, B.; Lam, N.T.

    1994-01-01

    An excimer-laser (λ = 193 nm) produced plasma in an organic gas (TMAE) has been generated and studied. These studies have determined the ion-electron recombination coefficient and the photon absorption cross-section, of the neutral gas. The dependences of wave transmission, reflection and absorption on plasma density are obtained. A new optical system with an array of cylindrical XUV coated lenses has been implemented to form a plasma sheet to study its usage as agile mirror microwave reflector. The lens system expands the incident laser beam in X direction and compresses it in Y direction to form a sheet beam. The expanded beam then passes through a vacuum chamber filled with TMAE at 50--500 nTorr to produce the plasma sheet. Space-time measurements of the plasma density and temperature as measured by a Langmuir probe are presented. XUV optical measurements of the laser beam as measured by a photodiode are presented. Initial experiments have generated a plasma sheet of 5--10 mm x 11 cm with peak plasma density of 5 x 10 13 cm -3 . A microwave source will be utilized to study the agile mirror character of the plasma sheet. Modeling of the microwave reflection from the plasma profile will also be discussed

  20. Microwave simulation of laser plasma interactions. Final report

    International Nuclear Information System (INIS)

    1977-01-01

    Various electron and ion current, electric field, and magnetic field probes were developed and tested during the course of the investigation. A three dimensional probe drive system was constructed in order to investigate two and three dimensional phenomena occurring in the microwave plasma interaction. In most of the experiments reported here, a 1 GHz, 40 kilowatt, pulsed rf source (Applied Microwave), was used. The antenna was a 20 0 horn. A dipole fed parabolic antenna system capable of producing a focussed microwave beam at 2.3 GHz was developed and bench tested. This system will be used in future investigations at higher power levels

  1. Microwave Excitation In ECRIS plasmas

    International Nuclear Information System (INIS)

    Ciavola, G.; Celona, L.; Consoli, F.; Gammino, S.; Maimone, F.; Barbarino, S.; Catalano, R. S.; Mascali, D.; Tumino, L.

    2007-01-01

    A number of phenomena related to the electron cyclotron resonance ion sources (ECRIS) has been better understood recently by means of the improvement of comprehension of the coupling mechanism between microwave generators and ECR plasma. In particular, the two frequency heating and the frequency tuning effect, that permit a remarkable increase of the current for the highest charge states ions, can be explained in terms of modes excitation in the cylindrical cavity of the plasma chamber. Calculations based on this theoretical approach have been performed, and the major results will be presented. It will be shown that the electric field pattern completely changes for a few MHz frequency variations and the changes in ECRIS performances can be correlated to the efficiency of the power transfer between electromagnetic field and plasma

  2. Microwave studies of gas discharge plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Brown, S C [Department of Physics and the Research Laboratory of Electronics, Massachusetts Institute of Technology, Cambridge, MA (United States)

    1958-07-01

    The plasma diagnostics in absence and in presence of magnetic field is discussed. It is concluded that it is not possible, even for low electron densities, to obtain a general theory in a form suitable for experimental verification and use in the microwave diagnostics of magnetized plasma, and valid for all possible configurations of the microwave field. Consequently, only a few special configurations of the microwave field are analysed.

  3. [Experimental study on spectra of compressed air microwave plasma].

    Science.gov (United States)

    Liu, Yong-Xi; Zhang, Gui-Xin; Wang, Qiang; Hou, Ling-Yun

    2013-03-01

    Using a microwave plasma generator, compressed air microwave plasma was excited under 1 - 5 atm pressures. Under different pressures and different incident microwave power, the emission spectra of compressed air microwave plasma were studied with a spectra measuring system. The results show that continuum is significant at atmospheric pressure and the characteristic will be weakened as the pressure increases. The band spectra intensity will be reduced with the falling of the incident microwave power and the band spectra were still significant. The experimental results are valuable to studying the characteristics of compressed air microwave plasma and the generating conditions of NO active groups.

  4. A Novel Microwave-Induced Plasma Ionization Source for Ion Mobility Spectrometry

    Science.gov (United States)

    Dai, Jianxiong; Zhao, Zhongjun; Liang, Gaoling; Duan, Yixiang

    2017-03-01

    This work demonstrates the application of a novel microwave induced plasma ionization (MIPI) source to ion mobility spectrometry (IMS). The MIPI source, called Surfatron, is composed of a copper cavity and a hollow quartz discharge tube. The ion mobility spectrum of synthetics air has a main peak with reduced mobility of 2.14 cm2V-1s-1 for positive ion mode and 2.29 cm2V-1s-1 for negative ion mode. The relative standard deviations (RSD) are 0.7% and 1.2% for positive and negative ion mode, respectively. The total ion current measured was more than 3.5 nA, which is much higher than that of the conventional 63Ni source. This indicates that a better signal-to-noise ratio (SNR) can be acquired from the MIPI source. The SNR was 110 in the analysis of 500 pptv methyl tert-butyl ether (MTBE), resulting in the limit of detection (SNR = 3) of 14 pptv. The linear range covers close to 2.5 orders of magnitude in the detection of triethylamine with a concentration range from 500 pptv to 80 ppbv. Finally, this new MIPI-IMS was used to detect some volatile organic compounds, which demonstrated that the MIPI-IMS has great potential in monitoring pollutants in air.

  5. Plasma acceleration by means of microwave radiation pressure

    International Nuclear Information System (INIS)

    Fukumura, Takashi; Takamoto, Teruo

    1977-01-01

    In the electric discharge of gas with microwaves, intense reflection waves occur simultaneously with the discharge, so the plasma ionized and formed by the microwaves is accelerated due to large radiation pressure. The basic experiment made, aiming at plasma gun, is described. In the gas electric discharge, the plasma flow velocity proportional to the reflected power is obtained. For 550 W microwave input power, the plasma velocity of 1 x 10 4 m/s was obtained. The accelerated plasma is bunched; its front as mass travels, recombines and disappears. (Mori, K.)

  6. Microwave imaging for plasma diagnostics and its applications

    International Nuclear Information System (INIS)

    Mase, A.; Kogi, Y.; Ito, N.

    2007-01-01

    Microwave to millimeter-wave diagnostic techniques such as interferometry, reflectometry, scattering, and radiometry have been powerful tools for diagnosing magnetically confined plasmas. Important plasma parameters were measured to clarify the physics issues such as stability, wave phenomena, and fluctuation-induced transport. Recent advances in microwave and millimeter-wave technology together with computer technology have enabled the development of advanced diagnostics for visualization of 2D and 3D structures of plasmas. Microwave/millimeter-wave imaging is expected to be one of the most promising diagnostic methods for this purpose. We report here on the representative microwave diagnostics and their industrial applications as well as application to magnetically-confined plasmas. (author)

  7. Ar + NO microwave plasmas for Escherichia coli sterilization

    International Nuclear Information System (INIS)

    Hueso, Jose L; Rico, Victor J; Cotrino, Jose; Gonzalez-Elipe, Agustin R; Frias, Jose E

    2008-01-01

    Ar + NO microwave discharges are used for sterilization and the results are compared with additional experiments with Ar, O 2 and N 2 -O 2 plasma mixtures. The NO * species produced in the Ar-NO mixtures remain up to long distances from the source, thus improving the sterilization efficiency of the process. E. coli individuals exposed to the Ar + NO plasma undergo morphological damage and cell lysis. Combined effects of etching (by O * and Ar * species) and UV radiation (from deactivation of NO * species) are responsible for the higher activity found for this plasma mixture. (fast track communication)

  8. A simple microwave technique for plasma density measurement using frequency modulation

    International Nuclear Information System (INIS)

    Bora, D.; Jayakumar, R.; Vijayashankar, M.K.

    1984-01-01

    A simple method of determining the phase variation unambiguously during microwave interferometric measurement is described. The frequency of the Klystron source is modulated with the help of staircase voltage pulse. The height of each stair is adjusted such that the corresponding phase shift in the test branch with an additional path length is 90 0 . Signals, proportional to cosine and sine of the phase shift due to plasma, can be generated in the same channel and plasma density information can be inferred. The microwave hardware remains the same as in conventional interferometry and the cost of such a scheme is low. (author)

  9. The Interaction of C-Band Microwaves with Large Plasma Sheets

    International Nuclear Information System (INIS)

    Ding Liang; Huo Wenqing; Yang Xinjie; Xu Yuemin

    2012-01-01

    A large plasma sheet 60 cm×60 cm×2 cm in size was generated using a hollow cathode, and measurements were conducted for interactions including transmission, reflection and absorption. With different discharge parameters, plasma sheets can vary and influence microwave strength. Microwave reflection decreases when the discharge current rises, and the opposite occurs in transmission. The C-band microwave is absorbed when it is propagated through large plasma sheets at higher pressure. When plasma density and collision frequency are fitted with incident microwave frequency, a large amount of microwave energy is consumed. Reflection, transmission and absorption all exist simultaneously. Plasma sheets are an attractive alternative to microwave steering at low pressure, and the microwave reflection used in receiving radar can be altered by changing the discharge parameters.

  10. Microwave Plasma System: PVA Tepla 300

    Data.gov (United States)

    Federal Laboratory Consortium — Description:CORAL Name: Microwave AsherA tool using microwave oxygen plasma to remove organics on the surfacesSpecifications / Capabilities:Frequency: 2.45 GHzPower:...

  11. Studies on the coupling transformer to improve the performance of microwave ion source.

    Science.gov (United States)

    Misra, Anuraag; Pandit, V S

    2014-06-01

    A 2.45 GHz microwave ion source has been developed and installed at the Variable Energy Cyclotron Centre to produce high intensity proton beam. It is operational and has already produced more than 12 mA of proton beam with just 350 W of microwave power. In order to optimize the coupling of microwave power to the plasma, a maximally flat matching transformer has been used. In this paper, we first describe an analytical method to design the matching transformer and then present the results of rigorous simulation performed using ANSYS HFSS code to understand the effect of different parameters on the transformed impedance and reflection and transmission coefficients. Based on the simulation results, we have chosen two different coupling transformers which are double ridged waveguides with ridge widths of 24 mm and 48 mm. We have fabricated these transformers and performed experiments to study the influence of these transformers on the coupling of microwave to plasma and extracted beam current from the ion source.

  12. Slit shaped microwave induced atmospheric pressure plasma based on a parallel plate transmission line resonator

    Science.gov (United States)

    Kang, S. K.; Seo, Y. S.; Lee, H. Wk; Aman-ur-Rehman; Kim, G. C.; Lee, J. K.

    2011-11-01

    A new type of microwave-excited atmospheric pressure plasma source, based on the principle of parallel plate transmission line resonator, is developed for the treatment of large areas in biomedical applications such as skin treatment and wound healing. A stable plasma of 20 mm width is sustained by a small microwave power source operated at a frequency of 700 MHz and a gas flow rate of 0.9 slm. Plasma impedance and plasma density of this plasma source are estimated by fitting the calculated reflection coefficient to the measured one. The estimated plasma impedance shows a decreasing trend while estimated plasma density shows an increasing trend with the increase in the input power. Plasma uniformity is confirmed by temperature and optical emission distribution measurements. Plasma temperature is sustained at less than 40 °C and abundant amounts of reactive species, which are important agents for bacteria inactivation, are detected over the entire plasma region. Large area treatment ability of this newly developed device is verified through bacteria inactivation experiment using E. coli. Sterilization experiment shows a large bacterial killing mark of 25 mm for a plasma treatment time of 10 s.

  13. Sources of type III solar microwave bursts

    Directory of Open Access Journals (Sweden)

    Zhdanov D.A.

    2016-06-01

    Full Text Available Microwave fine structures allow us to study plasma evolution in an energy release region. The Siberian Solar Radio Telescope (SSRT is a unique instrument designed to examine fine structures at 5.7 GHz. A complex analysis of data from RATAN-600, 4–8 GHz spectropolarimeter, and SSRT, simultaneously with EUV data, made it possible to localize sources of III type microwave bursts in August 10, 2011 event within the entire frequency band of burst occurrence, as well as to determine the most probable region of primary energy release. To localize sources of III type bursts from RATAN-600 data, an original method for data processing has been worked out. At 5.7 GHz, the source of bursts was determined along two coordinates, whereas at 4.5, 4.7, 4.9, 5.1, 5.3, 5.5, and 6.0 GHz, their locations were identified along one coordinate. The size of the burst source at 5.1 GHz was found to be maximum as compared to those at other frequencies.

  14. Ar + NO microwave plasmas for Escherichia coli sterilization

    Energy Technology Data Exchange (ETDEWEB)

    Hueso, Jose L; Rico, Victor J; Cotrino, Jose; Gonzalez-Elipe, Agustin R [Instituto de Ciencia de Materiales de Sevilla, Centro Mixto CSIC-Universidad de Sevilla, Centro de Investigaciones Cientificas Isla de la Cartuja, Avda. Americo Vespucio 49, 41092 Sevilla (Spain); Frias, Jose E [Instituto de BioquImica Vegetal y FotosIntesis (IBVF-CSIC). Centro de Investigaciones CientIficas Isla de la Cartuja. Avda Americo Vespucio, 49, 41092 Sevilla (Spain)], E-mail: jhueso@icmse.csic.es

    2008-05-07

    Ar + NO microwave discharges are used for sterilization and the results are compared with additional experiments with Ar, O{sub 2} and N{sub 2}-O{sub 2} plasma mixtures. The NO{sup *} species produced in the Ar-NO mixtures remain up to long distances from the source, thus improving the sterilization efficiency of the process. E. coli individuals exposed to the Ar + NO plasma undergo morphological damage and cell lysis. Combined effects of etching (by O{sup *} and Ar{sup *} species) and UV radiation (from deactivation of NO{sup *} species) are responsible for the higher activity found for this plasma mixture. (fast track communication)

  15. Fuel gas production by microwave plasma in liquid

    International Nuclear Information System (INIS)

    Nomura, Shinfuku; Toyota, Hiromichi; Tawara, Michinaga; Yamashita, Hiroshi; Matsumoto, Kenya

    2006-01-01

    We propose to apply plasma in liquid to replace gas-phase plasma because we expect much higher reaction rates for the chemical deposition of plasma in liquid than for chemical vapor deposition. A reactor for producing microwave plasma in a liquid could produce plasma in hydrocarbon liquids and waste oils. Generated gases consist of up to 81% hydrogen by volume. We confirmed that fuel gases such as methane and ethylene can be produced by microwave plasma in liquid

  16. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  17. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  18. Electron cyclotron resonance microwave ion sources for thin film processing

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1990-01-01

    Plasmas created by microwave absorption at the electron cyclotron resonance (ECR) are increasingly used for a variety of plasma processes, including both etching and deposition. ECR sources efficiently couple energy to electrons and use magnetic confinement to maximize the probability of an electron creating an ion or free radical in pressure regimes where the mean free path for ionization is comparable to the ECR source dimensions. The general operating principles of ECR sources are discussed with special emphasis on their use for thin film etching. Data on source performance during Cl base etching of Si using an ECR system are presented. 32 refs., 5 figs

  19. Microwave plasma ion sources for selected ion flow tube mass spectrometry: Optimizing their performance and detection limits for trace gas analysis

    Czech Academy of Sciences Publication Activity Database

    Španěl, Patrik; Dryahina, Kseniya; Smith, D.

    2007-01-01

    Roč. 267, 1-3 (2007), s. 117-124 ISSN 1387-3806 R&D Projects: GA ČR GA202/06/0776 Institutional research plan: CEZ:AV0Z40400503 Keywords : microwave plasma ion source * selected ion flow tube mass spectrometry * SIFT-MS * breath analysis Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 2.411, year: 2007

  20. RF and microwave diagnostics of plasma

    International Nuclear Information System (INIS)

    Basu, J.

    1976-01-01

    A brief review of RF and microwave investigations carried out at laboratory plasma is presented. Both the immersive and non-immersive RF probes of various types are discussed, the major emphasis being laid on the work carried out in extending the scope of the immersive impedance probe and non-immersive coil probe. The standard microwave methods for plasma diagnosis are mentioned. The role of relatively new diagnostic tool, viz., a dielectric-rod waveguide, is described, and the technique of measuring the admittance of such a waveguide (or an antenna) enveloped in plasma is discussed. (K.B.)

  1. Production of hydrogen via methane reforming using atmospheric pressure microwave plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jasinski, Mariusz; Dors, Miroslaw [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-952 Gdansk (Poland); Mizeraczyk, Jerzy [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-952 Gdansk (Poland); Department of Marine Electronics, Gdynia Maritime University, Morska 83, 81-225 Gdynia (Poland)

    2008-06-15

    In this paper, results of hydrogen production via methane reforming in the atmospheric pressure microwave plasma are presented. A waveguide-based nozzleless cylinder-type microwave plasma source (MPS) was used to convert methane into hydrogen. Important advantages of the presented waveguide-based nozzleless cylinder-type MPS are: stable operation in various gases (including air) at high flow rates, no need for a cooling system, and impedance matching. The plasma generation was stabilized by an additional swirled nitrogen flow (50 or 100 l min{sup -1}). The methane flow rate was up to 175 l min{sup -1}. The absorbed microwave power could be changed from 3000 to 5000 W. The hydrogen production rate and the corresponding energy efficiency in the presented methane reforming by the waveguide-based nozzleless cylinder-type MPS were up to 255 g[H{sub 2}] h{sup -1} and 85 g[H{sub 2}] kWh{sup -1}, respectively. These parameters are better than those typical of the conventional methods of hydrogen production (steam reforming of methane and water electrolysis). (author)

  2. Lifetime test on a high-performance dc microwave proton source

    International Nuclear Information System (INIS)

    Sherman, J.D.; Hodgkins, D.J.; Lara, P.D.; Schneider, J.D.; Stevens, R.R. Jr.

    1995-01-01

    Powerful CW proton linear accelerators (100 mA at 0.5--1 GeV) are being proposed for spallation neutron source applications.These production accelerators require high availability and reliability. A microwave proton source, which has already demonstrated several key beam requirements, was operated for one week (170 hours) in a dc mode to test the reliability and lifetime of its plasma generator. The source was operated with 570 W of microwave (2.45 GHz) discharge power and with a 47-kV extraction voltage. This choice of operating parameters gave a proton current density of 250-mA/cm 2 at 83% proton fraction, which is sufficient for a conservative dc injector design. The beam current was 60--65 mA over most of the week, and was sufficiently focused for RFQ injection. Total beam availability, defined as 47-keV beam-on time divided by elapsed time, was 96.2%. Spark downs in the high voltage column and a gas flow control problem caused all the downtime; no plasma generator failures were observed

  3. Properties of plasma flames sustained by microwaves and burning hydrocarbon fuels

    International Nuclear Information System (INIS)

    Hong, Yong Cheol; Uhm, Han Sup

    2006-01-01

    Plasma flames made of atmospheric microwave plasma and a fuel-burning flame were presented and their properties were investigated experimentally. The plasma flame generator consists of a fuel injector and a plasma flame exit connected in series to a microwave plasma torch. The plasma flames are sustained by injecting hydrocarbon fuels into a microwave plasma torch in air discharge. The microwave plasma torch in the plasma flame system can burn a hydrocarbon fuel by high-temperature plasma and high atomic oxygen density, decomposing the hydrogen and carbon containing fuel. We present the visual observations of the sustained plasma flames and measure the gas temperature using a thermocouple device in terms of the gas-fuel mixture and flow rate. The plasma flame volume of the hydrocarbon fuel burners was more than approximately 30-50 times that of the torch plasma. While the temperature of the torch plasma flame was only 868 K at a measurement point, that of the diesel microwave plasma flame with the addition of 0.019 lpm diesel and 30 lpm oxygen increased drastically to about 2280 K. Preliminary experiments for methane plasma flame were also carried out, measuring the temperature profiles of flames along the radial and axial directions. Finally, we investigated the influence of the microwave plasma on combustion flame by observing and comparing OH molecular spectra for the methane plasma flame and methane flame only

  4. Microwave-driven plasma gasification for biomass waste treatment at miniature scale

    NARCIS (Netherlands)

    Sturm, G.S.J.; Navarrete Muñoz, A.; Purushothaman Vellayani, A.; Stefanidis, G.

    2016-01-01

    Gasification technology may combine waste treatment with energy generation. Conventional gasification processes are bulky and inflexible. By using an external energy source, in the form of microwave-generated plasma, equipment size may be reduced and flexibility as regards to the feed composition

  5. Performance Test of the Microwave Ion Source with the Multi-layer DC Break

    International Nuclear Information System (INIS)

    Kim, Dae Il; Kwon, Hyeok Jung; Kim, Han Sung; Seol, Kyung Tae; Cho, Yong Sub

    2012-01-01

    A microwave proton source has been developed as a proton injector for the 100-MeV proton linac of the PEFP (Proton Engineering Frontier Project). On microwave ion source, the high voltage for the beam extraction is applied to the plasma chamber, also to the microwave components such as a 2.45GHz magnetron, a 3-stub tuner, waveguides. If microwave components can be installed on ground side, the microwave ion source can be operated and maintained easily. For the purpose, the multi-layer DC break has been developed. A multi-layer insulation has the arrangement of conductors and insulators as shown in the Fig. 1. For the purpose of stable operation as the multi-layer DC break, we checked the radiation of the insulator depending on materials and high voltage test of a fabricated multi-layer insulation. In this report, the details of performance test of the multi-layer DC break will be presented

  6. [Study on the emission spectrum of microwave plasma in liquid].

    Science.gov (United States)

    Wang, Bo; Sun, Bing; Zhu, Xiao-Mei; Yan, Zhi-Yu; Liu, Yong-Jun; Liu, Hui

    2014-05-01

    After the technology of microwave discharge in liquid is realized for the first time in China, the basic physical phenomena and characteristic of microwave discharge in liquid is studied in order to lay a theoretical foundation of research on microwave discharge in liquid. In the present paper, the active particles generated by microwave discharge in liquid were detected using the emission spectrometer, and the statistical method of spectrum data of microwave discharge in liquid was also studied. The emission spectrometer and numerically controlled camera were used to detect synchronously the process of the initial discharge and stable discharge of microwave discharge in liquid. The results show that: the emission intensity of microwave plasma in liquid has a large fluctuation, and the spectrum intensity can be calculated using the average of 10 spectrum data points. The intensity of discharge is reflected by the plasma area in a certain extent, however, the variation gradient of the intensity of discharge is different from that of the plasma area. This is mainly because that, in the process of discharging, the discharge intensity is not only reflected by the plasma area, but also reflected by the brightness of the plasma.

  7. Characterization and modelling of microwave multi dipole plasmas. Application to multi dipolar plasma assisted sputtering; Caracterization et modelisation des plasmas micro-onde multi-dipolaires. Application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Tan Vinh [Universite Joseph Fourier/CNRS-IN2P3, 53 Avenue des Martyrs, F-38026 Grenoble (France)

    2006-07-01

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mTorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mTorr argon pressures. The modelling of electron trajectories near

  8. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  9. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  10. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  11. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  12. Confluence or independence of microwave plasma bullets in atmospheric argon plasma jet plumes

    Science.gov (United States)

    Li, Ping; Chen, Zhaoquan; Mu, Haibao; Xu, Guimin; Yao, Congwei; Sun, Anbang; Zhou, Yuming; Zhang, Guanjun

    2018-03-01

    Plasma bullet is the formation and propagation of a guided ionization wave (streamer), normally generated in atmospheric pressure plasma jet (APPJ). In most cases, only an ionization front produces in a dielectric tube. The present study shows that two or three ionization fronts can be generated in a single quartz tube by using a microwave coaxial resonator. The argon APPJ plumes with a maximum length of 170 mm can be driven by continuous microwaves or microwave pulses. When the input power is higher than 90 W, two or three ionization fronts propagate independently at first; thereafter, they confluence to form a central plasma jet plume. On the other hand, the plasma bullets move independently as the lower input power is applied. For pulsed microwave discharges, the discharge images captured by a fast camera show the ionization process in detail. Another interesting finding is that the strongest lightening plasma jet plumes always appear at the shrinking phase. Both the discharge images and electromagnetic simulations suggest that the confluence or independent propagation of plasma bullets is resonantly excited by the local enhanced electric fields, in terms of wave modes of traveling surface plasmon polaritons.

  13. Role of Radio Frequency and Microwaves in Magnetic Fusion Plasma Research

    Directory of Open Access Journals (Sweden)

    Hyeon K. Park

    2017-10-01

    Full Text Available The role of electromagnetic (EM waves in magnetic fusion plasma—ranging from radio frequency (RF to microwaves—has been extremely important, and understanding of EM wave propagation and related technology in this field has significantly advanced magnetic fusion plasma research. Auxiliary heating and current drive systems, aided by various forms of high-power RF and microwave sources, have contributed to achieving the required steady-state operation of plasmas with high temperatures (i.e., up to approximately 10 keV; 1 eV = 10000 K that are suitable for future fusion reactors. Here, various resonance values and cut-off characteristics of wave propagation in plasmas with a nonuniform magnetic field are used to optimize the efficiency of heating and current drive systems. In diagnostic applications, passive emissions and active sources in this frequency range are used to measure plasma parameters and dynamics; in particular, measurements of electron cyclotron emissions (ECEs provide profile information regarding electron temperature. Recent developments in state-of-the-art 2D microwave imaging systems that measure fluctuations in electron temperature and density are largely based on ECE. The scattering process, phase delays, reflection/diffraction, and the polarization of actively launched EM waves provide us with the physics of magnetohydrodynamic instabilities and transport physics.

  14. Characterization and modeling of multi-dipolar microwave plasmas: application to multi-dipolar plasma assisted sputtering; Caracterisation et modelisation des plasmas micro-onde multi-dipolaires: application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, T.V

    2006-12-15

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mtorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mtorr argon pressures. The modelling of electron trajectories near

  15. Recent results of studies of plasma fluctuations in stellarators by microwave scattering technique

    International Nuclear Information System (INIS)

    Skvortsova, N.N.; Batanov, G.M.; Kolik, L.V.; Petrov, A.E.; Pshenichnikov, A.A.; Sarksyan, K.A.; Kharchev, N.K.; Khol'nov, Yu.V.; Kubo, S.; Sanchez, J.

    2005-01-01

    Microwave scattering diagnostics are described that allow direct measurements of the turbulent processes in a high-temperature plasma of magnetic confinement systems. Plasma density fluctuations in the heating region of the L-2M stellarator were measured from microwave scattering at the fundamental and the second harmonics of the heating gyrotron radiation. In the TJ-II stellarator, a separate 2-mm microwave source was used to produce a probing beam; the measurements were performed at the middle of the plasma radius. Plasma density fluctuations in the axial (heating) region of the LHD stellarator were measured from microwave scattering at the fundamental harmonic of the heating gyrotron radiation. Characteristic features of fluctuations, common for all three devices, are revealed with the methods of statistical and spectral analysis. These features are the wide frequency Fourier and wavelet spectra, autocorrelation functions with slowly decreasing tails, and non-Gaussian probability distributions of the magnitudes and the increments of the magnitude of fluctuations. The drift-dissipative instability and the instability driven by trapped electrons are examined as possible sources of turbulence in a high-temperature plasma. Observations showed the high level of coherence between turbulent fluctuations in the central region and at the edge of the plasma in L-2M. It is shown in L-2M that the relative intensity of the second harmonic of gyrotron radiation on the axis of a microwave beam after quasi-optical filtering in a four-mirror quasi-optical transmission line is about -50 dB of the total radiation intensity. Spatiotemporal structures in plasma density fluctuations were observed in the central region of the plasma column. The correlation time between the structures was found to be on the order of 1 ms. It is shown that, the spectrum of the signal from the second-harmonic scattering extends to higher frequencies in comparison with that from the fundamental

  16. The numerical simulation of plasma flow in cylindrical resonant cavity of microwave plasma thruster

    International Nuclear Information System (INIS)

    Tang, J.-L.; He, H.-Q; Mao, G.-W.

    2004-01-01

    Microwave Plasma Thruster (MPT) is an electro-thermal propulsive device. MPT consists of microwave generator, gas storing and supplying system, resonant cavity and accelerative nozzle. It generates free-floating plasma brought by the microwave discharge breakdown gas in the resonant cavity, and the plasma exhausted from nozzle produces thrust. MPT has prospective application in spacecraft because of its advantages of high thrust, moderate specific impulse and high efficiency. In this paper, the numerical simulation of the coupling flow field of microwave plasma in resonant cavity under different frequencies will be discussed. The results of numerical simulation are as follows: 1) When the resonant model TM 011 was used, the higher the microwave frequency was, the smaller the size of MPT. The distribution of the electromagnetic field in small cavity, however, remain unchanged. 2) When the resonant model was used, the distribution of the temperature, the pressure and the electronic density in the resonant cavity remained unchanged under different resonant frequencies. 3) When the resonant frequency was increased with a fixed pressure distribution in a small cavity, compare to the MPT with lower frequency, the gas flow rate, the microwave power and the nozzle throat diameter of MPT all decreased. 4) The electromagnetic field in the cylindrical resonant cavity for all MPT with different frequencies was disturbed by the plasma formation. The strong disturbance happened in the region close to the plasma. (author)

  17. Microwave plasmas generated in bubbles immersed in liquids for hydrocarbons reforming

    International Nuclear Information System (INIS)

    Levko, Dmitry; Sharma, Ashish; Raja, Laxminarayan L

    2016-01-01

    We present a computational modeling study of microwave plasma generated in cluster of atmospheric-pressure argon bubbles immersed in a liquid. We demonstrate that the use of microwaves allows the generation of a dense chemically active non-equilibrium plasma along the gas–liquid interface. Also, microwaves allow generation of overdense plasma in all the bubbles considered in the cluster which is possible because the collisional skin depth of the wave exceeds the bubble dimension. These features of microwave plasma generation in bubbles immersed in liquids are highly desirable for the large-scale liquid hydrocarbon reforming technologies. (letter)

  18. Characterization of an Atmospheric-Pressure Argon Plasma Generated by 915 MHz Microwaves Using Optical Emission Spectroscopy

    Directory of Open Access Journals (Sweden)

    Robert Miotk

    2017-01-01

    Full Text Available The paper presents the investigations of an atmospheric-pressure argon plasma generated at 915 MHz microwaves using the optical emission spectroscopy (OES. The 915 MHz microwave plasma was inducted and sustained in a waveguide-supplied coaxial-line-based nozzleless microwave plasma source. The aim of presented investigations was to estimate parameters of the generated plasma, that is, excitation temperature of electrons Texc, temperature of plasma gas Tg, and concentration of electrons ne. Assuming that excited levels of argon atoms are in local thermodynamic equilibrium, Boltzmann method allowed in determining the Texc temperature in the range of 8100–11000 K. The temperature of plasma gas Tg was estimated by comparing the simulated spectra of the OH radical to the measured one in LIFBASE program. The obtained Tg temperature ranged in 1200–2800 K. Using a method based on Stark broadening of the Hβ line, the concentration of electrons ne was determined in the range from 1.4 × 1015 to 1.7 × 1015 cm−3, depending on the power absorbed by the microwave plasma.

  19. A Microwave Driven Ion Source for Continuous-Flow AMS (Abstract)

    International Nuclear Information System (INIS)

    Wills, J.; Schneider, R.J.; Reden, K.F. von; Hayes, J.M.; Roberts, M.L.; Benthien, A.

    2005-01-01

    A microwave-driven, gas-fed ion source originally developed as a high-current positive ion injector for a Tandem accelerator at Chalk River has been the subject of a three-year development program at the Woods Hole Oceanographic Institution NOSAMS facility. Off-line tests have demonstrated positive carbon currents of 1 mA and negative carbon currents of 80 μA from CO2 gas feed. This source and a magnesium charge-exchange canal were coupled to the recombinator of the NOSAMS Tandetron for on-line tests, with the source fed with reference gasses and a combustion device.The promising results obtained have prompted the redesign of the microwave source for use as an on-line, continuous-flow injector for a new AMS facility under construction at NOSAMS. The new design is optimized for best transmission of the extracted positive-ion beam through the charge-exchange canal and for reliable operation at 40 kV extraction voltage. Other goals of the re-design include improved lifetime of the microwave window and the elimination of dead volumes in the plasma generator that increase sample hold-up time.This talk will include a summary of results obtained to date at NOSAMS with the Chalk River source and a detailed description of the new design

  20. [Study on the Emission Spectrum of Hydrogen Production with Microwave Discharge Plasma in Ethanol Solution].

    Science.gov (United States)

    Sun, Bing; Wang, Bo; Zhu, Xiao-mei; Yan, Zhi-yu; Liu, Yong-jun; Liu, Hui

    2016-03-01

    Hydrogen is regarded as a kind of clean energy with high caloricity and non-pollution, which has been studied by many experts and scholars home and abroad. Microwave discharge plasma shows light future in the area of hydrogen production from ethanol solution, providing a new way to produce hydrogen. In order to further improve the technology and analyze the mechanism of hydrogen production with microwave discharge in liquid, emission spectrum of hydrogen production by microwave discharge plasma in ethanol solution was being studied. In this paper, plasma was generated on the top of electrode by 2.45 GHz microwave, and the spectral characteristics of hydrogen production from ethanol by microwave discharge in liquid were being studied using emission spectrometer. The results showed that a large number of H, O, OH, CH, C2 and other active particles could be produced in the process of hydrogen production from ethanol by microwave discharge in liquid. The emission spectrum intensity of OH, H, O radicals generated from ethanol is far more than that generated from pure water. Bond of O-H split by more high-energy particles from water molecule was more difficult than that from ethanol molecule, so in the process of hydrogen production by microwave discharge plasma in ethanol solution; the main source of hydrogen was the dehydrogenation and restructuring of ethanol molecules instead of water decomposition. Under the definite external pressure and temperature, the emission spectrum intensity of OH, H, O radicals increased with the increase of microwave power markedly, but the emission spectrum intensity of CH, C2 active particles had the tendency to decrease with the increase of microwave power. It indicated that the number of high energy electrons and active particles high energy electron energy increased as the increase of microwave power, so more CH, C2 active particles were split more thoroughly.

  1. Pyrolysis of methane in flowing microwave plasma. Pt. 1, 2

    International Nuclear Information System (INIS)

    Carmi, U.; Inor, A.A.; Avni, R.; Nickel, H.

    1978-04-01

    The flowing microwave (2.45 G Hz) plasmas of methane and methane-argon mixtures were analyzed by the electrical double floating probe system (DFPS), along the flow stream. The measured electric variables of the microwave plasma were: current, current density, electric field strength, electron temperature, positive ion and electron concentrations. They indicate an irreversible process, of the polymerization of CH 4 and CH 4 +Ar mixtures, taking place in the plasma. The polymerization process reaches its maximum 'down stream'. after the position of the microwave cavity. The polymerization was correlated to the concentration of ions and electrons in the plasma. (orig.) [de

  2. Interactions of microwave with plasmas

    International Nuclear Information System (INIS)

    Zhang Haifeng; Shao Fuqiu; Wang Long

    2003-01-01

    When plasma size scale is comparable with the wavelength of electromagnetic waves, W.K.B. solution isn't applicable. In this paper a new numerical solution technique to investigate interactions of microwave with plasmas is presented by using Runge-Kutta method. The results of numerical solution coincide with that of analytical solution while the model is linear electron density profile in calculated accuracy

  3. Microwave Ion Source and Beam Injection for an Accelerator-driven Neutron Source

    International Nuclear Information System (INIS)

    Vainionpaa, J.H.; Gough, R.; Hoff, M.; Kwan, J.W.; Ludewigt, B.A.; Regis, M.J.; Wallig, J.G.; Wells, R.

    2007-01-01

    An over-dense microwave driven ion source capable of producing deuterium (or hydrogen) beams at 100-200 mA/cm2 and with atomic fraction >90 percent was designed and tested with an electrostatic low energy beam transport section (LEBT). This ion source was incorporated into the design of an Accelerator Driven Neutron Source (ADNS). The other key components in the ADNS include a 6 MeV RFQ accelerator, a beam bending and scanning system, and a deuterium gas target. In this design a 40 mA D+ beam is produced from a 6 mm diameter aperture using a 60 kV extraction voltage. The LEBT section consists of 5 electrodes arranged to form 2 Einzel lenses that focus the beam into the RFQ entrance. To create the ECR condition, 2 induction coils are used to create ∼ 875 Gauss on axis inside the source chamber. To prevent HV breakdown in the LEBT a magnetic field clamp is necessary to minimize the field in this region. Matching of the microwave power from the waveguide to the plasma is done by an autotuner. We observed significant improvement of the beam quality after installing a boron nitride liner inside the ion source. The measured emittance data are compared with PBGUNS simulations

  4. Microwave Ion Source and Beam Injection for an Accelerator-Driven Neutron Source

    International Nuclear Information System (INIS)

    Vainionpaa, J.H.; Gough, R.; Hoff, M.; Kwan, J.W.; Ludewigt, B.A.; Regis, M.J.; Wallig, J.G.; Wells, R.

    2007-01-01

    An over-dense microwave driven ion source capable of producing deuterium (or hydrogen) beams at 100-200 mA/cm 2 and with atomic fraction > 90% was designed and tested with an electrostatic low energy beam transport section (LEBT). This ion source was incorporated into the design of an Accelerator Driven Neutron Source (ADNS). The other key components in the ADNS include a 6 MeV RFQ accelerator, a beam bending and scanning system, and a deuterium gas target. In this design a 40 mA D + beam is produced from a 6 mm diameter aperture using a 60 kV extraction voltage. The LEBT section consists of 5 electrodes arranged to form 2 Einzel lenses that focus the beam into the RFQ entrance. To create the ECR condition, 2 induction coils are used to create ∼ 875 Gauss on axis inside the source chamber. To prevent HV breakdown in the LEBT a magnetic field clamp is necessary to minimize the field in this region. Matching of the microwave power from the waveguide to the plasma is done by an autotuner. They observed significant improvement of the beam quality after installing a boron nitride liner inside the ion source. The measured emittance data are compared with PBGUNS simulations

  5. Structure of non-equilibrium seeded plasma excited with microwave; Micro ha reiki hiheiko seed plasma no kozo

    Energy Technology Data Exchange (ETDEWEB)

    Miyakawa, M.; Murakami, T.; Suekane, T.; Okuno, Y.; Kabashima, S. [Tokyo Institute of Technology, Tokyo (Japan)

    1996-10-20

    Structure of non-equilibrium cesium seeded argon plasma excited with microwave power is simulated numerically. The plasmas produced at suitable microwave powers are found to consist of three regimes, that is, the region limited by charged particle loss toward the wall, the full seed ionization and the diffusion limited regions. The fully ionized seed plasma is produced within the skin-depth determined by the electrical conductivity of the plasma, and the thickness of the fully ionized seed plasma depends on the seed fractions gas pressure and microwave power. 15 refs., 6 figs.

  6. Plasma source by microwaves: design description; Fuente de plasma por microondas: descripcion de diseno

    Energy Technology Data Exchange (ETDEWEB)

    Camps, E; Olea, O; Andrade, R; Anguiano, G

    1992-03-15

    The design of a device for the formation of a plasma with densities of the order of 10{sup 12} cm{sup -} {sup 3} and low temperatures (T{sub e} {approx} 40 eV) is described. For such purpose it was carried out in the device a microwave discharge (f{sub o} = 2.45 GHz) in a resonator of high Q factor, immersed in a static external magnetic field. The device worked in the regime {omega}{sub ce} {<=} {omega}{sub o}/2 ({omega}{sub ce}- cyclotron frequency of the electrons, ({omega}{sub o} = 2 {pi} f{sub o}) where is possible the excitement of non lineal phenomena of waves transformation. (Author)

  7. Plasma wave excitation by intense microwave transmission from a space vehicle

    Science.gov (United States)

    Kimura, I.; Matsumoto, H.; Kaya, N.; Miyatake, S.

    An impact of intense microwave upon the ionospheric plasma was empirically investigated by an active rocket experiment (MINIX). The rocket carried two high-power (830W) transmitters of 2.45 GHz microwave on the mother section of the rocket. The ionospheric plasma response to the intense microwave was measured by a diagnostic package installed on both mother and daughter sections. The daughter section was separated from the mother with a slow speed of 15 cm/sec. The plasma wave analyzers revealed that various plasma waves are nonlinearly excited by the microwave. Among them, the most intense are electron cyclotron waves, followed by electron plasma waves. Extremely low frequency waves (several tens of Hz) are also found. The results of the data analysis as well as comparative computer simulations are given in this paper.

  8. Skin effect of microwaves and transverse pseudowaves in plasmas

    International Nuclear Information System (INIS)

    Minami, Kazuo

    1977-09-01

    Using linearized Vlasov-Maxwell equations, the skin effect of microwaves and transverse pseudowaves excited by an idealized grid antenna in plasmas are analyzed. It is shown that the latter is predominant over the former, in such a plasma that ω sub(p) v sub(t)/ωc >= 1, where ω sub(p) and ω are the plasma and microwave angular frequencies, v sub(t) and c are the electron thermal and light velocities, respectively. (auth.)

  9. Microwave plasma chemical synthesis of nanocrystalline carbon film structures and study their properties

    Science.gov (United States)

    Bushuev, N.; Yafarov, R.; Timoshenkov, V.; Orlov, S.; Starykh, D.

    2015-08-01

    The self-organization effect of diamond nanocrystals in polymer-graphite and carbon films is detected. The carbon materials deposition was carried from ethanol vapors out at low pressure using a highly non-equilibrium microwave plasma. Deposition processes of carbon film structures (diamond, graphite, graphene) is defined. Deposition processes of nanocrystalline structures containing diamond and graphite phases in different volume ratios is identified. The solid film was obtained under different conditions of microwave plasma chemical synthesis. We investigated the electrical properties of the nanocrystalline carbon films and identified it's from various factors. Influence of diamond-graphite film deposition mode in non-equilibrium microwave plasma at low pressure on emission characteristics was established. This effect is justified using the cluster model of the structure of amorphous carbon. It was shown that the reduction of bound hydrogen in carbon structures leads to a decrease in the threshold electric field of emission from 20-30 V/m to 5 V/m. Reducing the operating voltage field emission can improve mechanical stability of the synthesized film diamond-graphite emitters. Current density emission at least 20 A/cm2 was obtained. Nanocrystalline carbon film materials can be used to create a variety of functional elements in micro- and nanoelectronics and photonics such as cold electron source for emission in vacuum devices, photonic devices, cathodoluminescent flat display, highly efficient white light sources. The obtained graphene carbon net structure (with a net size about 6 μm) may be used for the manufacture of large-area transparent electrode for solar cells and cathodoluminescent light sources

  10. Plasma filamentation and shock wave enhancement in microwave rockets by combining low-frequency microwaves with external magnetic field

    International Nuclear Information System (INIS)

    Takahashi, Masayuki; Ohnishi, Naofumi

    2016-01-01

    A filamentary plasma is reproduced based on a fully kinetic model of electron and ion transports coupled with electromagnetic wave propagation. The discharge plasma transits from discrete to diffusive patterns at a 110-GHz breakdown, with decrease in the ambient pressure, because of the rapid electron diffusion that occurs during an increase in the propagation speed of the ionization front. A discrete plasma is obtained at low pressures when a low-frequency microwave is irradiated because the ionization process becomes more dominant than the electron diffusion, when the electrons are effectively heated by the low-frequency microwave. The propagation speed of the plasma increases with decrease in the incident microwave frequency because of the higher ionization frequency and faster plasma diffusion resulting from the increase in the energy-absorption rate. An external magnetic field is applied to the breakdown volume, which induces plasma filamentation at lower pressures because the electron diffusion is suppressed by the magnetic field. The thrust performance of a microwave rocket is improved by the magnetic fields corresponding to the electron cyclotron resonance (ECR) and its higher-harmonic heating, because slower propagation of the ionization front and larger energy-absorption rates are obtained at lower pressures. It would be advantageous if the fundamental mode of ECR heating is coupled with a lower frequency microwave instead of combining the higher-harmonic ECR heating with the higher frequency microwave. This can improve the thrust performance with smaller magnetic fields even if the propagation speed increases because of the decrease in the incident microwave frequency.

  11. Plasma filamentation and shock wave enhancement in microwave rockets by combining low-frequency microwaves with external magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Takahashi, Masayuki, E-mail: m.takahashi@al.t.u-tokyo.ac.jp [Department of Aeronautics and Astronautics, The University of Tokyo, Bunkyo-ku 113-8656 (Japan); Ohnishi, Naofumi [Department of Aerospace Engineering, Tohoku University, Sendai 980-8579 (Japan)

    2016-08-14

    A filamentary plasma is reproduced based on a fully kinetic model of electron and ion transports coupled with electromagnetic wave propagation. The discharge plasma transits from discrete to diffusive patterns at a 110-GHz breakdown, with decrease in the ambient pressure, because of the rapid electron diffusion that occurs during an increase in the propagation speed of the ionization front. A discrete plasma is obtained at low pressures when a low-frequency microwave is irradiated because the ionization process becomes more dominant than the electron diffusion, when the electrons are effectively heated by the low-frequency microwave. The propagation speed of the plasma increases with decrease in the incident microwave frequency because of the higher ionization frequency and faster plasma diffusion resulting from the increase in the energy-absorption rate. An external magnetic field is applied to the breakdown volume, which induces plasma filamentation at lower pressures because the electron diffusion is suppressed by the magnetic field. The thrust performance of a microwave rocket is improved by the magnetic fields corresponding to the electron cyclotron resonance (ECR) and its higher-harmonic heating, because slower propagation of the ionization front and larger energy-absorption rates are obtained at lower pressures. It would be advantageous if the fundamental mode of ECR heating is coupled with a lower frequency microwave instead of combining the higher-harmonic ECR heating with the higher frequency microwave. This can improve the thrust performance with smaller magnetic fields even if the propagation speed increases because of the decrease in the incident microwave frequency.

  12. Poloidal rotation velocity measurement in toroidal plasmas via microwave reflectometry

    International Nuclear Information System (INIS)

    Pavlichenko, O.S.; Skibenko, A.I.; Fomin, I.P.; Pinos, I.B.; Ocheretenko, V.L.; Berezhniy, V.L.

    2001-01-01

    Results of experiment modeling backscattering of microwaves from rotating plasma layer perturbed by fluctuations are presented. It was shown that auto- and crosscorrelation of reflected power have a periodicity equal to rotation period. Such periodicity was observed by microwave reflectometry in experiments on RF plasma production on U-3M torsatron and was used for measurement of plasma poloidal rotation velocity. (author)

  13. Modelling of an intermediate pressure microwave oxygen discharge reactor: from stationary two-dimensional to time-dependent global (volume-averaged) plasma models

    International Nuclear Information System (INIS)

    Kemaneci, Efe; Graef, Wouter; Rahimi, Sara; Van Dijk, Jan; Kroesen, Gerrit; Carbone, Emile; Jimenez-Diaz, Manuel

    2015-01-01

    A microwave-induced oxygen plasma is simulated using both stationary and time-resolved modelling strategies. The stationary model is spatially resolved and it is self-consistently coupled to the microwaves (Jimenez-Diaz et al 2012 J. Phys. D: Appl. Phys. 45 335204), whereas the time-resolved description is based on a global (volume-averaged) model (Kemaneci et al 2014 Plasma Sources Sci. Technol. 23 045002). We observe agreement of the global model data with several published measurements of microwave-induced oxygen plasmas in both continuous and modulated power inputs. Properties of the microwave plasma reactor are investigated and corresponding simulation data based on two distinct models shows agreement on the common parameters. The role of the square wave modulated power input is also investigated within the time-resolved description. (paper)

  14. Characterization of near-infrared nonmetal atomic emission from an atmospheric helium microwave-induced plasma using a Fourier transform spectrophotometer

    International Nuclear Information System (INIS)

    Hubert, J.; Van Tra, H.; Chi Tran, K.; Baudais, F.L.

    1986-01-01

    A new approach for using Fourier transform spectroscopy (FTS) for the detection of atomic emission from an atmospheric helium plasma has been developed and the results obtained are described. Among the different types of plasma source available, the atmospheric pressure microwave helium plasma appears to be an efficient excitation source for the determination of nonmetal species. The more complete microwave plasma emission spectra of Cl, Br, I, S, O, P, C, N, and He in the near-infrared region were obtained and their corrected relative emission intensities are reported. This makes qualitative identification simple, and aids in the quantitative analysis of atomic species. The accuracy of the emission wavelengths obtained with the Fourier transform spectrophotometer was excellent and the resolution provided by the FTS allowed certain adjacent emission lines to be adequate for analytical applications

  15. Characterization of atomic oxygen from an ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, M; Bhoraskar, V N; Mandale, A B; Sainkar, S R; Bhoraskar, S V

    2002-01-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ∼1x10 20 to ∼10x10 20 atom m -3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe

  16. Characterization of atomic oxygen from an ECR plasma source

    Science.gov (United States)

    Naddaf, M.; Bhoraskar, V. N.; Mandale, A. B.; Sainkar, S. R.; Bhoraskar, S. V.

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ~1×1020 to ~10×1020 atom m-3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  17. Characterization of atomic oxygen from an ECR plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Bhoraskar, V N [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Mandale, A B [National Chemical Laboratory, Pashan, Pune 411008 (India); Sainkar, S R [National Chemical Laboratory, Pashan, Pune 411008 (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from {approx}1x10{sup 20} to {approx}10x10{sup 20} atom m{sup -3} as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  18. High-power microwave transmission and launching systems for fusion plasma heating systems

    International Nuclear Information System (INIS)

    Bigelow, T.S.

    1989-01-01

    Microwave power in the 30- to 300-GHz frequency range is becoming widely used for heating of plasma in present-day fusion energy magnetic confinement experiments. Microwave power is effective in ionizing plasma and heating electrons through the electron cyclotron heating (ECH) process. Since the power is absorbed in regions of the magnetic field where resonance occurs and launching antennas with narrow beam widths are possible, power deposition location can be highly controlled. This is important for maximizing the power utilization efficiency and improving plasma parameters. Development of the gyrotron oscillator tube has advanced in recent years so that a 1-MW continuous-wave, 140-GHz power source will soon be available. Gyrotron output power is typically in a circular waveguide propagating a circular electric mode (such as TE 0,2 ) or a whispering-gallery mode (such as TE 15,2 ), depending on frequency and power level. An alternative high-power microwave source currently under development is the free-electron laser (FEL), which may be capable of generating 2-10 MW of average power at frequencies of up to 500 GHz. The FEL has a rectangular output waveguide carrying the TE 0,1 mode. Because of its higher complexity and cost, the high-average-power FEL is not yet as extensively developed as the gyrotron. In this paper, several types of operating ECH transmission systems are discussed, as well systems currently being developed. The trend in this area is toward higher power and frequency due to the improvements in plasma density and temperature possible. Every system requires a variety of components, such as mode converters, waveguide bends, launchers, and directional couplers. Some of these components are discussed here, along with ongoing work to improve their performance. 8 refs

  19. Axial magnetic field extraction type microwave ion source with a permanent magnet

    International Nuclear Information System (INIS)

    Ishikawa, Junzo; Takagi, Toshinori

    1984-01-01

    A new type of microwave ion source in which a permanent magnet generates an axially directed magnetic field needed for the electron cyclotron resonance was developed. The electron cyclotron resonance produces a high density plasma in the ion source. A mA-order ion beam can be extracted. Compared with usual microwave ion sources, this source has a distinguished feature in that the axially directed magnetic field is formed by use of a permanent magnet. Shape of magnetic force lines near the ion extraction aperture was carefully investigated. The extracted ion current as a function of the ion extraction voltage was measured. The experimental data are in good agreement with the theoretical line. The ion source can be heated up to 500 deg C, and extraction of the alkaline metal ions is possible. The extracted ion current for various elements are shown in the table. The current density normalized by the proton was 350-650 mA/cm 2 which was nearly equal to the upper limit of the extractable positive ion current density. The plasma density was estimated and was 2 - 3 x 10 12 cm -3 . The mass spectrum of a Cesium ion beam was obtained. A negligible amount of impurities was observed. The emittance diagram of the extracted ion beam was measured. The result shows that a low emittance and high brightness ion source is constructed. (Kato, T.)

  20. Microwave heating and diagnostic of suprathermal electrons in an overdense stellarator plasma

    International Nuclear Information System (INIS)

    Stange, Torsten

    2014-01-01

    The resonant coupling of microwaves into a magnetically confined plasma is one of the fundamental methods for the heating of such plasmas. Identifying and understanding the processes of the heating of overdense plasmas, in which the wave propagation is generally not possible because the wave frequency is below the plasma frequency, is becoming increasingly important for high density fusion plasmas. This work focuses on the heating of overdense plasmas in the WEGA stellarator. The excitation of electron Bernstein waves, utilizing the OXB-conversion process, provides a mechanism for the wave to reach the otherwise not accessible resonant absorption layer. In WEGA these OXB-heated plasmas exhibit a suprathermal electron component with energies up to 80 keV. The fast electrons are located in the plasma center and have a Maxwellian energy distribution function within the soft X-ray related energy range. The corresponding averaged energy is a few keV. The OXB-discharges are accompanied by a broadband microwave radiation spectrum with radiation temperatures of the order of keV. Its source was identified as a parametric decay of the heating wave and has no connection to the suprathermal electron component. For the detailed investigation of the microwave emission, a quasioptical mirror system, optimized for the OX-conversion, has been installed. Based on the measurement of the broadband microwave stray radiation of the decay process, the OX-conversion efficiency has been determined to 0.56 being in good agreement with full-wave calculations. In plasmas without an electron cyclotron resonance, corresponding to the wave frequency used, non-resonant heating mechanisms have been identified in the overdense plasma regions. Whistler waves or R-like waves are the only propagable wave types within the overdense plasmas. The analysis of the heating efficiency in dependence on the magnetic flux density leads to tunneling as the most probable coupling mechanism. For the determination

  1. Consideration of beam plasma ion-source

    International Nuclear Information System (INIS)

    Sano, Fumimichi; Kusano, Norimasa; Ishida, Yoshihiro; Ishikawa, Junzo; Takagi, Toshinori

    1976-01-01

    Theoretical and experimental analyses and their comparison were made on the plasma generation and on the beam extraction for the beam plasma ion-source. The operational principle and the structure of the ion-source are explained in the first part. Considerations are given on the electron beam-plasma interaction and the resulting generation of high frequency or microwaves which in turn increases the plasma density. The flow of energy in this system is also explained in the second part. The relation between plasma density and the imaginary part of frequency is given by taking the magnetic flux density, the electron beam energy, and the electron beam current as parameters. The relations between the potential difference between collector and drift tube and the plasma density or the ion-current are also given. Considerations are also given to the change of the plasma density due to the change of the magnetic flux density at drift tube, the change of the electron beam energy, and the change of the electron beam current. The third part deals with the extraction characteristics of the ion beam. The structure of the multiple-aperture electrode and the relation between plasma density and the extracted ion current are explained. (Aoki, K.)

  2. Microwave reflectometry for fusion plasma diagnostics

    International Nuclear Information System (INIS)

    1992-01-01

    This document contains a collection of 26 papers on ''Microwave Reflectometry for Fusion Plasma Diagnostics'', presented at the IAEA Technical Committee Meeting of the same name held at the JET Joint Undertaking, Abingdon, United Kingdom, March 4-6, 1992. It contains five papers on the measurement of plasma density profiles, six papers on theory and simulations in support of the development and application of this type of plasma diagnostics, eight papers on the measurement of density transients and fluctuations, and seven on new approaches to reflectometry-based plasma diagnostics. Refs, figs and tabs

  3. Laser diagnostics and modelling of microwave plasmas

    NARCIS (Netherlands)

    Carbone, E.A.D.

    2013-01-01

    Microwave induced plasmas are applied in many fabrication processes such as the deposition of SiO2 for the production of optical fibers and the deposition of Si to make solar cells. To control these deposition processes a good understanding of the plasma kinetics is required. Experimental

  4. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  5. The effect of plasma density profile on the backscatter of microwaves from a plasma-covered plane conductor

    International Nuclear Information System (INIS)

    Destler, W.W.; Singh, A.; Rodgers, J.

    1993-01-01

    In order to gain further insight into the mechanism of anomalous absorption of microwaves in a pulsed plasma column, the latter was studied using single and double Langmuir probes. Graphs of plasma potential recorded by floating Langmuir probes as a function of time were obtained for a range of pressure of the background gas and at different distances from the plasma-covered plane-conducting plate. From this data, two main components of the plasma have been identified. The first appears earlier, exhibits greater fluctuations and is shorter in duration than the second component. The presence of these two plasma components is consistent with earlier observations obtained from transverse transmission measurements of microwaves through the plasma. Variations in the envelopes of these two components as experimental conditions are changed will be presented. Microwave backscatter measurements under varying conditions of plasma-density profile and ambient gas pressure will also be presented

  6. Spectroscopic study of atmospheric pressure 915 MHz microwave plasma at high argon flow rate

    International Nuclear Information System (INIS)

    Miotk, R; Hrycak, B; Jasinski, M; Mizeraczyk, J

    2012-01-01

    In this paper results of optical emission spectroscopic (OES) study of atmospheric pressure microwave 915 MHz argon plasma are presented. The plasma was generated in microwave plasma source (MPS) cavity-resonant type. The aim of research was determination of electron excitation temperature T exc gas temperature Tg and electron number density n e . All experimental tests were performed with a gas flow rate of 100 and 200 l/min and absorbed microwave power PA from 0.25 to 0.9 kW. The emission spectra at the range of 300 – 600 nm were recorded. Boltzmann plot method for argon 5p – 4s and 5d – 4p transition lines allowed to determine T exc at level of 7000 K. Gas temperature was determined by comparing the measured and simulated spectra using LIFBASE program and by analyzing intensities of two groups of unresolved rotational lines of the OH band. Gas temperature ranged 600 – 800 K. The electron number density was determined using the method based on the Stark broadening of hydrogen H β line. The measured n e rang ed 2 × 10 15 − 3.5×10 15 cm −3 , depending on the absorbed microwave power. The described MPS works very stable with various working gases at high flow rates, that makes it an attractive tool for different gas processing.

  7. Control of plasma profile in microwave discharges via inverse-problem approach

    Directory of Open Access Journals (Sweden)

    Yasuyoshi Yasaka

    2013-12-01

    Full Text Available In the manufacturing process of semiconductors, plasma processing is an essential technology, and the plasma used in the process is required to be of high density, low temperature, large diameter, and high uniformity. This research focuses on the microwave-excited plasma that meets these needs, and the research target is a spatial profile control. Two novel techniques are introduced to control the uniformity; one is a segmented slot antenna that can change radial distribution of the radiated field during operation, and the other is a hyper simulator that can predict microwave power distribution necessary for a desired radial density profile. The control system including these techniques provides a method of controlling radial profiles of the microwave plasma via inverse-problem approach, and is investigated numerically and experimentally.

  8. Modelling of diamond deposition microwave cavity generated plasmas

    International Nuclear Information System (INIS)

    Hassouni, K; Silva, F; Gicquel, A

    2010-01-01

    Some aspects of the numerical modelling of diamond deposition plasmas generated using microwave cavity systems are discussed. The paper mainly focuses on those models that allow (i) designing microwave cavities in order to optimize the power deposition in the discharge and (ii) estimating the detailed plasma composition in the vicinity of the substrate surface. The development of hydrogen plasma models that may be used for the self-consistent simulation of microwave cavity discharge is first discussed. The use of these models for determining the plasma configuration, composition and temperature is illustrated. Examples showing how to use these models in order to optimize the cavity structure and to obtain stable process operations are also given. A transport model for the highly reactive H 2 /CH 4 moderate pressure discharges is then presented. This model makes possible the determination of the time variation of plasma composition and temperature on a one-dimensional domain located on the plasma axis. The use of this model to analyse the transport phenomena and the chemical process in diamond deposition plasmas is illustrated. The model is also utilized to analyse pulsed mode discharges and the benefit they can bring as far as diamond growth rate and quality enhancement are concerned. We, in particular, show how the model can be employed to optimize the pulse waveform in order to improve the deposition process. Illustrations on how the model can give estimates of the species density at the growing substrate surface over a wide domain of deposition conditions are also given. This brings us to discuss the implication of the model prediction in terms of diamond growth rate and quality. (topical review)

  9. Calibration methods for ECE systems with microwave sources

    International Nuclear Information System (INIS)

    Tubbing, B.J.D.; Kissel, S.E.

    1987-01-01

    The authors investigated the feasibility of two methods for calibration of electron cyclotron emission (ECE) systems, both based on the use of a microwave source. In the first method -called the Antenna Pattern Integration (API) method - the microwave source is scanned in space, so as to simulate a large - area - blackbody -source. In the second method -called the Untuned Cavity (UC) method -an untuned cavity, fed by the microwave source, is used to simulate a blackbody. For both methods, the hardware required to perform partly automated calibrations was developed. The microwave based methods were compared with a large area blackbody calibration on two different ECE systems, a Michelson interferometer and a grating polychromator. The API method was found to be more successful than the UC method. (author)

  10. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  11. The Nanophysics of Electron Emission and Breakdown for High Power Microwave Source

    Science.gov (United States)

    2009-12-21

    coaxial anode/collector. 3.1.2. Formation of  plasma  filaments during w‐band microwave breakdown  Regular, two-dimensional plasma ...Injection Gun ," IEEE Trans. Elec. Devices (May, 2005). 2. Booske, John H., “ Plasma physics and related challenges of millimeter-to-terahertz and...high power microwave (HPM) device technologies by establishing new physical understanding of electron emission/absorption and plasma breakdown

  12. Review of research and development on the microwave-plasma electrothermal rocket

    Energy Technology Data Exchange (ETDEWEB)

    Hawley, M.C.; Asmussen, J.; Filpus, J.W.; Frasch, L.L.; Whitehair, S.

    1987-01-01

    The microwave-plasma electrothermal rocket (MWPETR) shows promise for spacecraft propulsion and maneuvering, without some of the drawbacks of competitive electric propulsion systems. In the MWPETR, the electric power is first converted to microwave-frequency radiation. In a specially-designed microwave cavity system, the electromagnetic energy of the radiation is transferred to the electrons in a plasma sustained in the working fluid. The resulting high-energy electrons transfer their energy to the atoms and molecules of the working fluid by collisions. The working fluid, thus heated, expands through a nozzle to generate thrust. In the MWPETR, no electrodes are in contact with the working fluid, the energy is transferred into the working fluid by nonthermal mechanisms, and the main requirement for the materials of construction is that the walls of the plasma chamber be insulating and transparent to microwave radiation at operating conditions. In this survey of work on the MWPETR, several experimental configurations are described and compared. Diagnostic methods used in the study are described and compared, including titration, spectroscopy, calorimetry, electric field measurements, gas-dynamic methods, and thrust measurements. Measured and estimated performance efficiencies are reported. Results of computer modeling of the plasma and of the gas flowing from the plasma are summarized. 32 references.

  13. TRANSMISSION AND ABSORPTION OF MICROWAVES BY AN INHOMOGENEOUS SPHERE PLASMA

    Institute of Scientific and Technical Information of China (English)

    SONG Falun; CAO Jinxiang; WANG Ge

    2004-01-01

    The numerical calculation of the transmission and absorption of microwaves at an arbitrarily incident angle to the inhomogeneous spherically symmetric plasma is presented.The nonuniform sphere is modeled by a series of concentric spherical shells, and the electron density is constant in each shell. The overall density profile follows any given distribution function. By using the geometrical optics approximation and considering the propagation coefficient is complex, as well as the attenuation and phase coefficients are vectors, the detailed evaluation shows that the transmission and absorption of microwaves in the inhomogeneous spherically symmetric plasma depend on the electron and neutral particle collision frequency, central density, incident angle of the microwaves and density distribution profiles.

  14. Electromagnetic diagnostics of ECR-Ion Sources plasmas: optical/X-ray imaging and spectroscopy

    Science.gov (United States)

    Mascali, D.; Castro, G.; Altana, C.; Caliri, C.; Mazzaglia, M.; Romano, F. P.; Leone, F.; Musumarra, A.; Naselli, E.; Reitano, R.; Torrisi, G.; Celona, L.; Cosentino, L. G.; Giarrusso, M.; Gammino, S.

    2017-12-01

    Magnetoplasmas in ECR-Ion Sources are excited from gaseous elements or vapours by microwaves in the range 2.45-28 GHz via Electron Cyclotron Resonance. A B-minimum, magnetohydrodynamic stable configuration is used for trapping the plasma. The values of plasma density, temperature and confinement times are typically ne= 1011-1013 cm-3, 01 eVSilicon Drift detectors with high energy resolution of 125 eV at 5.9 keV have been used for the characterization of plasma emission at 02plasmas have been measured for different values of neutral pressure, microwave power and magnetic field profile (they are critical for high-power proton sources).

  15. Ground penetrating radar using a microwave radiated from laser-induced plasma

    Energy Technology Data Exchange (ETDEWEB)

    Nakajima, H; Tanaka, K A [Graduate School of Engineering and Institute of Laser Engineering, Suita, Osaka University (Japan); Yamaura, M; Shimada, Y; Fujita, M [Institute for Laser Technology, Suita, Osaka (Japan)], E-mail: nakajima-h@ile.osaka-u.ac.jp

    2008-05-01

    A plasma column radiates a microwave to surroundings when generated with laser irradiation. Using such a microwave, we are able to survey underground objects and architectures from a remote place. In this paper, the microwave radiated from a plasma column induced by an intense laser ({approx} 10{sup 9} W/cm{sup 2}) were measured. Additionally, a proof test of this method was performed by searching an underground aluminum disk (26 cm in diameter, 1 cm in depth, and 1 m apart from a receiving antenna). As the result, the characteristics of the radiated microwave were clarified, and strong echoes corresponding to the edges of an aluminum disk were found. Based on these results, the feasibility of a ground penetrating radar was verified.

  16. Influence of the density of the microwave plasma in the nitridation of the AISI 4140 steel

    International Nuclear Information System (INIS)

    Chirino O, S.; Camps C, E.; Escobar A, L.; Mejia H, J.A.

    2004-01-01

    A source of microwaves plasma type ECR was used to modify those mechanical properties of the surface of steel pieces AISI 4140. The experiments were carried out in a range of pressure among 4 X 10 -4 and 7 X 10 -4 Torr using one mixture of gases 60/40 hydrogen / nitrogen and an incident power of the microwaves of 400 W. Previous to the treatment of the samples, the plasma was studied using one Langmuir probe to determine the temperature of the electrons and the density of the plasma, the species excited in the plasma were determined by means of Optical emission spectroscopy. All the samples were treated during 50 min in a regime of low temperature (- 250 C), and the surface hardness it was increased up of 100% of their initial value, with a depth of penetration of the nitrogen of 4.5 μ m. The biggest hardness and depth of penetration of the nitrogen were obtained when the biggest density in the plasma was used to carry out the experiments. (Author)

  17. Microwave Production of Steady State Large Volume Air Plasmas

    National Research Council Canada - National Science Library

    Brandenburg, John

    1999-01-01

    ...) and these plasmas persist for hundreds of milliseconds after power is turned off. These plasmas can be made in an inexpensive and easy to build apparatus based around a microwave oven operating at approximately 1kW and 2.45GHz...

  18. Modelling of microwave induced plasmas : the interplay between electromagnetism, plasma chemistry and transport

    NARCIS (Netherlands)

    Jimenez-Diaz, M.

    2011-01-01

    In this thesis we report on a theoretical/numerical study that is concerned with Microwave Induced Plasmas (MIPs) in general, and the application of a MIP to the Plasma-activated Chemical Vapour Deposition (PCVD) process that is used at Draka Comteq for the production of optical fibres in

  19. Eigenmodes of a microwave cavity partially filled with an anisotropic hot plasma

    International Nuclear Information System (INIS)

    Shoucri, M.M.; Gagne, R.R.J.

    1978-01-01

    The eigenmodes of a microwave cavity, which contains a uniform hot plasma with anisotropic temperature, are determined using the linearized fluid equations together with Maxwell's equations. Conditions are discussed under which hot plasma mode and the cold plasma mode are decoupled. The frequency shift of the microwave cavity is calculated and the theoretical results are shown to be in very good qualitative agreement with published experimental results obtained for the TM 010 mode. (author)

  20. Industrial applications of plasma, microwave and ultrasound techniques : nitrogen-fixation and hydrogenation reactions

    NARCIS (Netherlands)

    Hessel, V.; Cravotto, G.; Fitzpatrick, P.; Patil, B.S.; Lang, J.; Bonrath, W.

    2013-01-01

    The MAPSYN project (Microwave, Acoustic and Plasma assisted SYNtheses) aims at nitrogen-fixation reactions intensified by plasma catalysis and selective hydrogenations intensified by microwaves, possibly assisted by ultrasound. Energy efficiency is the key motif of the project and the call of the

  1. Electrical Characteristics of Carbon Nanotubes by Plasma and Microwave Surface Treatments

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Sangjin; Lee, Soonbo; Boo, Jinhyo [Sungkyunkwan Univ., Suwon (Korea, Republic of); Shrestha, Shankar Prasad [Tribhuvan Univ., Kathmandu (Nepal)

    2014-03-15

    The plasma and microwave surface treatments of carbon nanotubes that loaded on plastic substrates were carried out with expecting a change of carbon nanotube dispersion by increasing treatment time. The microwave treatment process was undergone by commercial microwave oven (800 W). The electrical property was measured by hall measurement and resistance was increased by increasing O{sub 2} flow rate of plasma, suggesting an improvement of carbon nanotube dispersion and a possibility of controlling the resistances of carbon nanotubes by plasma surface treatment. The resistance was increased in both polyethylene terephthalate and polyimide substrates by increasing O{sub 2} flow rate. Resistance changes only slightly with different O{sub 2} flow treatment in measure rho for all polyimide samples. Sheet resistance is lowest in polyimide substrate not due to high carbon nanotube loading but due to tendency to remain in elongated structure. O{sub 2} or N{sub 2} plasma treatments on both polyethylene terephthalate and polyimide substrates lead to increase in sheet resistance.

  2. Electrical Characteristics of Carbon Nanotubes by Plasma and Microwave Surface Treatments

    International Nuclear Information System (INIS)

    Cho, Sangjin; Lee, Soonbo; Boo, Jinhyo; Shrestha, Shankar Prasad

    2014-01-01

    The plasma and microwave surface treatments of carbon nanotubes that loaded on plastic substrates were carried out with expecting a change of carbon nanotube dispersion by increasing treatment time. The microwave treatment process was undergone by commercial microwave oven (800 W). The electrical property was measured by hall measurement and resistance was increased by increasing O 2 flow rate of plasma, suggesting an improvement of carbon nanotube dispersion and a possibility of controlling the resistances of carbon nanotubes by plasma surface treatment. The resistance was increased in both polyethylene terephthalate and polyimide substrates by increasing O 2 flow rate. Resistance changes only slightly with different O 2 flow treatment in measure rho for all polyimide samples. Sheet resistance is lowest in polyimide substrate not due to high carbon nanotube loading but due to tendency to remain in elongated structure. O 2 or N 2 plasma treatments on both polyethylene terephthalate and polyimide substrates lead to increase in sheet resistance

  3. Microwave plasma-assisted photoluminescence enhancement in nitrogen-doped ultrananocrystalline diamond film

    Directory of Open Access Journals (Sweden)

    Yu Lin Liu

    2012-06-01

    Full Text Available Optical properties and conductivity of nitrogen-doped ultrananocrystal diamond (UNCD films were investigated following treatment with low energy microwave plasma at room temperature. The plasma also generated vacancies in UNCD films and provided heat for mobilizing the vacancies to combine with the impurities, which formed the nitrogen-vacancy defect centers. The generated color centers were distributed uniformly in the samples. The conductivity of nitrogen-doped UNCD films treated by microwave plasma was found to decrease slightly due to the reduced grain boundaries. The photoluminescence emitted by the plasma treated nitrogen-doped UNCD films was enhanced significantly compared to the untreated films.

  4. Growth of thin SiC films on Si single crystal wafers with a microwave excited plasma of methane gas

    DEFF Research Database (Denmark)

    Dhiman, Rajnish; Morgen, Per

    2013-01-01

    Wehave studied the growth and properties of SiC films on Siwafers, under ultrahigh vacuumbackground con- ditions, using a remote-, microwave excited,methane plasma as a source of active carbon and hydrogen,while the Si substrates were held at a temperature of near 700 °C. The reaction is diffusio......Wehave studied the growth and properties of SiC films on Siwafers, under ultrahigh vacuumbackground con- ditions, using a remote-, microwave excited,methane plasma as a source of active carbon and hydrogen,while the Si substrates were held at a temperature of near 700 °C. The reaction...... lowdensity of these, and are otherwise very uniform and poly- crystalline. They are characterized with scanning electron microscopy, atomic force microscopy, X-ray photo- electron spectroscopy, X-ray diffraction, and hardnessmeasurements....

  5. Synthesis of N-graphene using microwave plasma-based methods

    Science.gov (United States)

    Dias, Ana; Tatarova, Elena; Henriques, Julio; Dias, Francisco; Felizardo, Edgar; Abrashev, Miroslav; Bundaleski, Nenad; Cvelbar, Uros

    2016-09-01

    In this work a microwave atmospheric plasma driven by surface waves is used to produce free-standing graphene sheets (FSG). Carbonaceous precursors are injected into a microwave plasma environment, where decomposition processes take place. The transport of plasma generated gas-phase carbon atoms and molecules into colder zones of plasma reactor results in carbon nuclei formation. The main part of the solid carbon is gradually carried from the ``hot'' plasma zone into the outlet plasma stream where carbon nanostructures assemble and grow. Subsequently, the graphene sheets have been N-doped using a N2-Ar large-scale remote plasma treatment, which consists on placing the FSG on a substrate in a remote zone of the N2-Ar plasma. The samples were treated with different compositions of N2-Ar gas mixtures, while maintaining 1 mbar pressure in the chamber and a power applied of 600 W. The N-doped graphene sheets were characterized by scanning and by high-resolution transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Plasma characterization was also performed by optical emission spectroscopy. Work partially funded by Portuguese FCT - Fundacao para a Ciencia e a Tecnologia, under grant SFRH/BD/52413/2013 (PD-F APPLAuSE).

  6. 8 GHz, high power, microwave system for heating of thermonuclear plasmas

    International Nuclear Information System (INIS)

    Di Giovenale, S.; Fortunato, T.; Mirizzi, F.; Roccon, M.; Sassi, M.; Tuccillo, A.A.; Maffia, G.; Baldi, L.

    1993-01-01

    The Frascati Tokamak Upgrade (FTU) is a machine included in the European Thermonuclear Fusion Program aimed at investigating high density plasmas in the presence of powerful additional RF heating systems. The Lower Hybrid Resonant Heating (LHRH) system, based on 9 independent modules, works at 8 GHz, and will generate, at full performances, a total amount of 9 MW, in the pulsed regime (pulse length = 1 s, duty cycle = 1/600). The microwave power source is a gyrotron oscillator, developed by Thomson Tubes Electroniques (France) for this specific application, and capable of producing up to 1 MW. An overmoded, low loss, circular waveguide transmits the RF power toward the plasma; an array of 12x4 rectangular waveguides (the 'grill') launches this power into the plasma. The paper describes the LHRH system for FTU and analyses both its main performances and experimental results

  7. Downstream microwave ammonia plasma treatment of polydimethylsiloxane

    International Nuclear Information System (INIS)

    Pruden, K.G.; Beaudoin, S.P.

    2005-01-01

    To control the interactions between surfaces and biological systems, it is common to attach polymers, proteins, and other species to the surfaces of interest. In this case, surface modification of polydimethylsiloxane (PDMS) was performed by exposing PDMS films to the effluent from a microwave ammonia plasma, with a goal of creating primary amine groups on the PDMS. These amine sites were to be used as binding sites for polymer attachment. Chemical changes to the surface of the PDMS were investigated as a function of treatment time, microwave power, and PDMS temperature during plasma treatment. Functional groups resulting from this treatment were characterized using attenuated total reflectance infrared spectroscopy. Plasma treatment resulted in the incorporation of oxygen- and nitrogen-containing groups, including primary amine groups. In general, increasing the treatment time, plasma power and substrate temperature increased the level of oxidation of the films, and led to the formation of imines and nitriles. PDMS samples treated at 100 W and 23 deg. C for 120 s were chosen for proof-of-concept dextran coating. Samples treated at this condition contained primary amine groups and few oxygen-containing groups. To test the viability of the primary amines for attachment of biopolymers, functionalized dextran was successfully attached to primary amine sites on the PDMS films

  8. Single-shot Thomson scattering on argon plasmas created by the Microwave Plasma Torch; evidence for a new plasma class

    NARCIS (Netherlands)

    Mullen, van der J.J.A.M.; Sande, van de M.J.; Vries, de N.; Broks, B.H.P.; Iordanova, E.I.; Gamero, A.; Torres, J.; Sola, A.

    2007-01-01

    To determine the fine-structure size of plasmas created by a Microwave Plasma Torch (MPT), single-shot Thomson scattering (TS) measurements were performed. The aim was to find a solution for the long-standing discrepancy between experiments and Global Plasma Models (GPMs). Since these GPMs are based

  9. Beam-plasma generators of stochastic microwave oscillations using for plasma heating in fusion and plasma-chemistry devices and ionospheric investigations

    Energy Technology Data Exchange (ETDEWEB)

    Mitin, L A; Perevodchikov, V I; Shapiro, A L; Zavyalov, M A [All-Russian Electrotechnical Inst., Moscow (Russian Federation); Bliokh, Yu P; Fajnberg, Ya B [Kharkov Inst. of Physics and Technology (Russian Federation)

    1997-12-31

    The results of theoretical and experimental investigations of a generator of stochastic microwave power based on a beam-plasma inertial feedback amplifier is discussed with a view to using stochastic oscillations for plasma heating. The plasma heating efficiency in the region of low-frequency resonance in the geometry of the Tokamak is considered theoretically. It is shown that the temperature of heating is proportional to the power multiplied by the spectra width of the noiselike signal. The creation and heating of plasma by stochastic microwave power in an oversized waveguide without external magnetic field is discussed with a view to plasma-chemistry applications. It is shown that the efficiency of heating are defined by the time of phase instability of the stochastic power. (author). 3 figs., 13 refs.

  10. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Odorici, F., E-mail: fabrizio.odorici@bo.infn.it; Malferrari, L.; Montanari, A. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); Rizzoli, R. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); CNR–Istituto per la Microelettronica ed i Microsistemi, Via Gobetti 101, 40129 Bologna (Italy); Mascali, D.; Castro, G.; Celona, L.; Gammino, S.; Neri, L. [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy)

    2016-02-15

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to “screen” the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  11. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources.

    Science.gov (United States)

    Odorici, F; Malferrari, L; Montanari, A; Rizzoli, R; Mascali, D; Castro, G; Celona, L; Gammino, S; Neri, L

    2016-02-01

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to "screen" the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  12. Microwave plasma for materials treatment; Plasmas de microondas para tratamiento de materiales

    Energy Technology Data Exchange (ETDEWEB)

    Camps, E.; Garcia, J.L.; Muhl, S.; Alvarez F, O.; Chavez C, J. [Instituto Nacional de Investigaciones Nucleares, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    1997-07-01

    The microwave discharges of the Electron Cyclotron Resonance (Ecr) type are capable to generate plasma with relatively high ionization coefficients which can vary between 1 and 10 % also they are realized in low pressures at 10 {sup -4} Torr. order generating at this time high concentrations of neutral excited chemical species which result in that the chemical processes can be realized with much greater velocity as in another systems. In this work it was studied and characterized a microwave discharge type Ecr using for this electric probes and optical emission spectroscopy. The characterization was carried out with the purpose of optimizing the plasma parameters and to establish a control over the same one doing so that the experiments have a greater reproducibility and a major work efficiency. (Author)

  13. Microwave and particle beam sources and directed energy concepts

    International Nuclear Information System (INIS)

    Brandt, H.E.

    1989-01-01

    This book containing the proceedings of the SPIE on microwave and particle beam sources and directed energy concepts. Topics covered include: High power microwave sources, Direct energy concepts, Advanced accelerators, and Particle beams

  14. Diagnosis of Unmagnetized Plasma Electron Number Density and Electron-neutral Collision Frequency by Using Microwave

    International Nuclear Information System (INIS)

    Yuan Zhongcai; Shi Jiaming; Xu Bo

    2005-01-01

    The plasma diagnostic method using the transmission attenuation of microwaves at double frequencies (PDMUTAMDF) indicates that the frequency and the electron-neutral collision frequency of the plasma can be deduced by utilizing the transmission attenuation of microwaves at two neighboring frequencies in a non-magnetized plasma. Then the electron density can be obtained from the plasma frequency. The PDMUTAMDF is a simple method to diagnose the plasma indirectly. In this paper, the interaction of electromagnetic waves and the plasma is analyzed. Then, based on the attenuation and the phase shift of a microwave in the plasma, the principle of the PDMUTAMDF is presented. With the diagnostic method, the spatially mean electron density and electron collision frequency of the plasma can be obtained. This method is suitable for the elementary diagnosis of the atmospheric-pressure plasma

  15. Resonant and Ground Experimental Study on the Microwave Plasma Thruster

    Science.gov (United States)

    Yang, Juan; He, Hongqing; Mao, Genwang; Qu, Kun; Tang, Jinlan; Han, Xianwei

    2002-01-01

    chemistry. Therefore, the application of EP for the attitude control and station keeping of satellite, the propulsion of deep space exploration craft allows to reduce substantially the mass of on-board propellant and the launching cost. The EP research is now receiving high interest everywhere. microwave generating subsystem, the propellant supplying subsystem and the resonator (the thruster). Its principle is that the magnetron of the microwave generating subsystem transfers electric energy into microwave energy at given frequency which is introduced into a resonant cavity. Microwave will resonate within the cavity when it is adjusted. When the propellant gas (N2, Ar, He, NH3 or H2) is put into the cavity and coupled with microwave energy at the maximal electric intensity place, it will be broken down to form free-floating plasma, which flows from nozzle with high speed to produce thrust. Its characteristic is high efficiency, simple power supply and without electrode ablation, its specific impulse is greater than arcjet. 2450MHz, have been developed. The microwave generating subsystem and resonator of lower power MPT, 70-200W, are coaxial. The resonator with TEM resonating mode is section of coaxial wave-guide, of which one end is shorted, another is semi-opened. The maximal electric intensity field is in the lumped capacity formed between the end surface of inner conductor, retracting in the cavity, and the semi-opened surface of outer conductor. It provides favorable condition for gas breakdown. The microwave generating system and resonator of middle power MPT, 500-1,000W, are wave-guide cavity. The resonator with TM011 resonating mode is cylinder wave-guide cavity, of which two end surface are shorted. The distribution of electromagnetic field is axial symmetry, its maximal electric intensity field locates on the axis and closes to the exit of nozzle, where the propellant gas is breakdown to form free floating plasma. The plasma is free from the wall of

  16. GYRO-INTERACTION OF MICROWAVES IN MAGNETO PLASMAS IN ATMOSPHERIC GASES

    Energy Technology Data Exchange (ETDEWEB)

    Narasinga Rao, K. V.; Goldstein, L.

    1963-05-15

    Electron cyclotron resonance absorption of microwave energy by the electron gas in decaying magneto plasmas of oxygen and nitrogen gases is investigated. The technique of interaction of microwaves of diffent frequencies is utilized to measure the enhancement in electronic energy caused by resonance absorption. The results of these experiments show that the inelastic collisions of low energy electrons introduce a barrier for rapid heating of the electron gas. The implication of these results to the control of the ionospheric plasma parameters by radio frequency EM waves is discussed. (auth)

  17. Development and studies on a compact electron cyclotron resonance plasma source

    Science.gov (United States)

    Ganguli, A.; Tarey, R. D.; Arora, N.; Narayanan, R.

    2016-04-01

    It is well known that electron cyclotron resonance (ECR) produced plasmas are efficient, high-density plasma sources and have many industrial applications. The concept of a portable compact ECR plasma source (CEPS) would thus become important from an application point of view. This paper gives details of such a CEPS that is both portable and easily mountable on a chamber of any size. It uses a fully integrated microwave line operating at 2.45 GHz, up to 800 W, cw. The required magnetic field is produced by a set of suitably designed NdFeB ring magnets; the device has an overall length of  ≈60 cm and weighs  ≈14 kg including the permanent magnets. The CEPS was attached to a small experimental chamber to judge its efficacy for plasma production. In the pressure range of 0.5-10 mTorr and microwave power of  ≈400-500 W the experiments indicate that the CEPS is capable of producing high-density plasma (≈9  ×  1011-1012 cm-3) with bulk electron temperature in the range  ≈2-3 eV. In addition, a warm electron population with density and temperature in the range ≈7  ×  108-109 cm-3 and  ≈45-80 eV, respectively has been detected. This warm population plays an important role at high pressures in maintaining the high-density plasma, when plasma flow from the CEPS into the test chamber is strongly affected.

  18. Broadband frequency ECR ion source concepts with large resonant plasma volumes

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    New techniques are proposed for enhancing the performances of ECR ion sources. The techniques are based on the use of high-power, variable-frequency, multiple-discrete-frequency, or broadband microwave radiation, derived from standard TWT technology, to effect large resonant ''volume'' ECR sources. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, the effect of which is to produce higher charge state distributions and much higher intensities within a particular charge state than possible in present forms of the ECR ion source. If successful, these developments could significantly impact future accelerator designs and accelerator-based, heavy-ion-research programs by providing multiply-charged ion beams with the energies and intensities required for nuclear physics research from existing ECR ion sources. The methods described in this article can be used to retrofit any ECR ion source predicated on B-minimum plasma confinement techniques

  19. Sheath and bulk expansion induced by RF bias in atmospheric pressure microwave plasma

    Science.gov (United States)

    Lee, Jimo; Nam, Woojin; Lee, Jae Koo; Yun, Gunsu

    2017-10-01

    A large axial volume expansion of microwave-driven plasma at atmospheric pressure is achieved by applying a low power radio frequency (RF) bias at an axial location well isolated from the original plasma bulk. The evolution of the plasma plume visualized by high speed ICCD imaging suggest that the free electrons drifting toward the bias electrode cause the prodigious expansion of the sheath, creating a stable plasma stream channel between the microwave and the RF electrodes. For argon plasma in ambient air, enhanced emissions of OH and N2 spectral lines are measured in the extended plume region, supporting the acceleration of electrons and subsequent generation of radical species. The coupling of RF bias with microwave provides an efficient way of enlarging the plasma volume and enhancing the production of radicals. Work supported by the National Research Foundation of Korea under BK21+ program and Grant No. 2015R1D1A1A01061556 (Ministry of Education).

  20. Determining the microwave coupling and operational efficiencies of a microwave plasma assisted chemical vapor deposition reactor under high pressure diamond synthesis operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Nad, Shreya [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States); Department of Physics and Astronomy, Michigan State University, East Lansing, Michigan 48824 (United States); Gu, Yajun; Asmussen, Jes [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States)

    2015-07-15

    The microwave coupling efficiency of the 2.45 GHz, microwave plasma assisted diamond synthesis process is investigated by experimentally measuring the performance of a specific single mode excited, internally tuned microwave plasma reactor. Plasma reactor coupling efficiencies (η) > 90% are achieved over the entire 100–260 Torr pressure range and 1.5–2.4 kW input power diamond synthesis regime. When operating at a specific experimental operating condition, small additional internal tuning adjustments can be made to achieve η > 98%. When the plasma reactor has low empty cavity losses, i.e., the empty cavity quality factor is >1500, then overall microwave discharge coupling efficiencies (η{sub coup}) of >94% can be achieved. A large, safe, and efficient experimental operating regime is identified. Both substrate hot spots and the formation of microwave plasmoids are eliminated when operating within this regime. This investigation suggests that both the reactor design and the reactor process operation must be considered when attempting to lower diamond synthesis electrical energy costs while still enabling a very versatile and flexible operation performance.

  1. DECOMPOSITION OF TARS IN MICROWAVE PLASMA – PRELIMINARY RESULTS

    Directory of Open Access Journals (Sweden)

    Mateusz Wnukowski

    2014-07-01

    Full Text Available The paper refers to the main problem connected with biomass gasification - a presence of tar in a product gas. This paper presents preliminary results of tar decomposition in a microwave plasma reactor. It gives a basic insight into the construction and work of the plasma reactor. During the experiment, researches were carried out on toluene as a tar surrogate. As a carrier gas for toluene and as a plasma agent, nitrogen was used. Flow rates of the gases and the microwave generator’s power were constant during the whole experiment. Results of the experiment showed that the decomposition process of toluene was effective because the decomposition efficiency attained above 95%. The main products of tar decomposition were light hydrocarbons and soot. The article also gives plans for further research in a matter of tar removal from the product gas.

  2. Microwave Plasma Synthesis of Materials—From Physics and Chemistry to Nanoparticles: A Materials Scientist’s Viewpoint

    Directory of Open Access Journals (Sweden)

    Dorothée Vinga Szabó

    2014-08-01

    Full Text Available In this review, microwave plasma gas-phase synthesis of inorganic materials and material groups is discussed from the application-oriented perspective of a materials scientist: why and how microwave plasmas are applied for the synthesis of materials? First, key players in this research field will be identified, and a brief overview on publication history on this topic is given. The fundamental basics, necessary to understand the processes ongoing in particle synthesis—one of the main applications of microwave plasma processes—and the influence of the relevant experimental parameters on the resulting particles and their properties will be addressed. The benefit of using microwave plasma instead of conventional gas phase processes with respect to chemical reactivity and crystallite nucleation will be reviewed. The criteria, how to choose an appropriate precursor to synthesize a specific material with an intended application is discussed. A tabular overview on all type of materials synthesized in microwave plasmas and other plasma methods will be given, including relevant citations. Finally, property examples of three groups of nanomaterials synthesized with microwave plasma methods, bare Fe2O3 nanoparticles, different core/shell ceramic/organic shell nanoparticles, and Sn-based nanocomposites, will be described exemplarily, comprising perspectives of applications.

  3. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  4. Synthesis of ammonia with microwave plasma

    International Nuclear Information System (INIS)

    Xu Wenguo; Yu Aimin; Liu Jun; Jin Qinhan

    1991-01-01

    THe synthesis of ammonia absorbed on 13X zeolite with the aid of microwave plasma is described. The ammonia molecule absorbed on 13X zeolite as ammonium ions were detected by IR spectroscopy. The results obtained show that the ammonia synthesis is facilitated by the surface reactions of NH x (x = 1, 2) radicals adsorbed on zeolite with hydrogen atoms

  5. Advancements of microwave diagnostics in magnetically confined plasmas

    NARCIS (Netherlands)

    Mase, A.; Kogi, Y.; Ito, N.; Yokota, Y.; Akaki, K.; Kawahata, K.; Nagayama, Y.; Tokuzawa, T.; Yamaguchi, S.; Hojo, H.; Oyama, N.; N C Luhmann Jr.,; Park, H. K.; Donne, A. J. H.

    2009-01-01

    Microwave to millimeter-wave diagnostic techniques such as interferometry, reflectometry, scattering and radiometry have been powerful tools for diagnosing magnetically confined plasmas. Recent advances in electronic devices and components together with computer technology have enabled the

  6. Kinetic computer modeling of microwave surface-wave plasma production

    International Nuclear Information System (INIS)

    Ganachev, Ivan P.

    2004-01-01

    Kinetic computer plasma modeling occupies an intermediate position between the time consuming rigorous particle dynamic simulation and the fast but rather rough cold- or warm-plasma fluid models. The present paper reviews the kinetic modeling of microwave surface-wave discharges with accent on recent kinetic self-consistent models, where the external input parameters are reduced to the necessary minimum (frequency and intensity of the applied microwave field and pressure and geometry of the discharge vessel). The presentation is limited to low pressures, so that Boltzmann equation is solved in non-local approximation and collisional electron heating is neglected. The numerical results reproduce correctly the bi-Maxwellian electron energy distribution functions observed experimentally. (author)

  7. Bragg scattering of electromagnetic waves by microwave-produced plasma layers

    Science.gov (United States)

    Kuo, S. P.; Zhang, Y. S.

    1990-01-01

    A set of parallel plasma layers is generated by two intersecting microwave pulses in a chamber containing dry air at a pressure comparable to the upper atmosphere. The dependencies of breakdown conditions on the pressure and pulse length are examined. The results are shown to be consistent with the appearance of tail erosion of the microwave pulse caused by air breakdown. A Bragg scattering experiment, using the plasma layers as a Bragg reflector, is then performed. Both time domain and frequency domain measurements of wave scattering are conducted. The experimental results are found to agree very well with the theory.

  8. Microwave induced plasma for solid fuels and waste processing: A review on affecting factors and performance criteria.

    Science.gov (United States)

    Ho, Guan Sem; Faizal, Hasan Mohd; Ani, Farid Nasir

    2017-11-01

    High temperature thermal plasma has a major drawback which consumes high energy. Therefore, non-thermal plasma which uses comparatively lower energy, for instance, microwave plasma is more attractive to be applied in gasification process. Microwave-induced plasma gasification also carries the advantages in terms of simplicity, compactness, lightweight, uniform heating and the ability to operate under atmospheric pressure that gains attention from researchers. The present paper synthesizes the current knowledge available for microwave plasma gasification on solid fuels and waste, specifically on affecting parameters and their performance. The review starts with a brief outline on microwave plasma setup in general, and followed by the effect of various operating parameters on resulting output. Operating parameters including fuel characteristics, fuel injection position, microwave power, addition of steam, oxygen/fuel ratio and plasma working gas flow rate are discussed along with several performance criteria such as resulting syngas composition, efficiency, carbon conversion, and hydrogen production rate. Based on the present review, fuel retention time is found to be the key parameter that influences the gasification performance. Therefore, emphasis on retention time is necessary in order to improve the performance of microwave plasma gasification of solid fuels and wastes. Copyright © 2017 Elsevier Ltd. All rights reserved.

  9. Pre-launch simulation experiment of microwave-ionosphere nonlinear interaction rocket experiment in the space plasma chamber

    Energy Technology Data Exchange (ETDEWEB)

    Kaya, N. (Kobe University, Kobe, Japan); Tsutsui, M. (Kyoto University, Uji, Japan); Matsumoto, H. (Kyoto University, Kyoto, Japan)

    1980-09-01

    A pre-flight test experiment of a microwave-ionosphere nonlinear interaction rocket experiment (MINIX) has been carried out in a space plasma simulation chamber. Though the first rocket experiment ended up in failure because of a high voltage trouble, interesting results are observed in the pre-flight experiment. A significant microwave heating of plasma up to 300% temperature increase is observed. Strong excitations of plasma waves by the transmitted microwaves in the VLF and HF range are observed as well. These microwave effects may have to be taken into account in solar power satellite projects in the future.

  10. Experimental and numerical studies of microwave-plasma interaction in a MWPECVD reactor

    Directory of Open Access Journals (Sweden)

    A. Massaro

    2016-12-01

    Full Text Available This work deals with and proposes a simple and compact diagnostic method able to characterize the interaction between microwave and plasma without the necessity of using an external diagnostic tool. The interaction between 2.45 GHz microwave and plasma, in a typical ASTeX-type reactor, is investigated from experimental and numerical view points. The experiments are performed by considering plasmas of three different gas mixtures: H2, CH4-H2 and CH4-H2-N2. The two latter are used to deposit synthetic undoped and n-doped diamond films. The experimental setup equipped with a matching network enables the measurements of very low reflected power. The reflected powers show ripples due to the mismatching between wave and plasma impedance. Specifically, the three types of plasma exhibit reflected power values related to the variation of electron-neutral collision frequency among the species by changing the gas mixture. The different gas mixtures studied are also useful to test the sensitivity of the reflected power measurements to the change of plasma composition. By means of a numerical model, only the interaction of microwave and H2 plasma is examined allowing the estimation of plasma and matching network impedances and of reflected power that is found about eighteen times higher than that measured.

  11. Propagation of microwave radiation through an inhomogeneous plasma layer in a magnetic field

    Science.gov (United States)

    Balakirev, B. A.; Bityurin, V. A.; Bocharov, A. N.; Brovkin, V. G.; Vedenin, P. V.; Mashek, I. Ch; Pashchina, A. S.; Pervov, A. Yu; Petrovskiy, V. P.; Ryazanskiy, N. M.; Shkatov, O. Yu

    2018-01-01

    The problem of reliable microwave communication through a plasma sheath has its origin from the beginning of space flights. During reentry of spacecraft, the plasma layer can interrupt the communication. At sufficiently high plasma density, the plasma layer either reflects or attenuates radio wave communications to and from the vehicle. In this work, we present a simple analytical one-dimensional algorithm to study the propagation of electromagnetic (EM) waves through a nonuniform plasma layer in a static nonuniform magnetic field. The experimental study of the EM wave transmission and reflection through plasma layer was carried out on the (i) microwave set and (ii) on the unit using a high-voltage pulsed discharge.

  12. Microparticle injection effects on microwave transmission through an overly dense plasma layer

    Energy Technology Data Exchange (ETDEWEB)

    Gillman, Eric D., E-mail: eric.gillman@nrl.navy.mil; Amatucci, W. E. [Naval Research Laboratory, Washington, DC 20375 (United States); Williams, Jeremiah [Wittenberg University, Springfield, Ohio 45501 (United States); Compton, C. S. [Sotera Defense Solutions, Herndon, Virginia 20171 (United States)

    2015-04-15

    Microparticles injected into a plasma have been shown to deplete the free electron population as electrons are collected through the process of microparticles charging to the plasma floating potential. However, these charged microparticles can also act to scatter electromagnetic signals. These experiments investigate microwave penetration through a previously impenetrable overly dense plasma layer as microparticles are injected and the physical phenomena associated with the competing processes that occur due to electron depletion and microwave scattering. The timescales for when each of these competing processes dominates is analyzed in detail. It was found that while both processes play a significant and dominant role at different times, ultimately, transmission through this impenetrable plasma layer can be significantly increased with microparticle injection.

  13. Microwave plasma source for neutral-beam injection systems. Quarterly technical progress report

    International Nuclear Information System (INIS)

    1981-01-01

    The overall program is described and the technical and programmatic reasons for the decision to pursue both the RFI and ECH sources into the current hydrogen test stage is discussed. We consider the general characteristics of plasma sources in the parameter regime of interest for neutral beam applications. The operatonal characteristics, advantages and potential problems of RFI and ECH sources are discussed. In these latter two sections we rely heavily on experience derived from developing RFI and ECH ion engine sources for NASA

  14. Biological stimulation of the Human skin applying health promoting light and plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Awakowicz, P.; Bibinov, N. [Center for Plasma Science and Technology, Ruhr-University, Bochum (Germany); Born, M.; Niemann, U. [Philips Research, Aachen (Germany); Busse, B. [Zell-Kontakt GmbH, Noerten-Hardenberg (Germany); Gesche, R.; Kuehn, S.; Porteanu, H.E. [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Helmke, A. [University of Applied Sciences and Arts, Goettingen (Germany); Kaemling, A.; Wandke, D. [CINOGY GmbH, Duderstadt (Germany); Kolb-Bachofen, V.; Liebmann, J. [Institute for Immunobiology, Heinrich-Heine University, Duesseldorf (Germany); Kovacs, R.; Mertens, N.; Scherer, J. [Aurion Anlagentechnik GmbH, Seligenstadt (Germany); Oplaender, C.; Suschek, C. [Clinic for Plastic Surgery, University Clinic, Aachen (Germany); Vioel, W. [Laser-Laboratorium, Goettingen (Germany); University of Applied Sciences and Arts, Goettingen (Germany)

    2009-10-15

    In the frame of BMBF project ''BioLiP'', new physical treatment techniques aiming at medical treatment of the human skin have been developed. The acronym BioLiP stands for ''Desinfektion, Entkeimung und biologische Stimulation der Haut durch gesundheitsfoerdernde Licht- und Plasmaquellen'' (Disinfection, germ reduction and biological stimulation of the human skin by health promoting light and plasma sources). A source applying a low-temperature dielectric barrier discharge plasma (DBD) has been investigated on its effectiveness for skin disinfection and stimulation of biological material. Alternatively an atmospheric plasma source consisting of a microwave resonator combined with a solid state power oscillator has been examined. This concept which allows for a compact and efficient design avoiding external microwave power supply and matching units has been optimized with respect to nitrogen monoxide (NO) production in high yields. In both cases various application possibilities in the medical and biological domain are opened up. Light sources in the visible spectral range have been investigated with respect to the proliferation of human cell types. Intensive highly selective blue light sources based on LED technology can slow down proliferation rates without inducing toxic effects which offers new opportunities for treatments of so-called hyperproliferative skin conditions (e.g. with psoriasis or in wound healing) using UV-free light. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Microwave plasma deposition of diamond like carbon coatings

    Indian Academy of Sciences (India)

    Abstract. The promising applications of the microwave plasmas have been appearing in the fields of chemical processes and semiconductor manufacturing. Applications include surface deposition of all types including diamond/diamond like carbon (DLC) coatings, etching of semiconductors, promotion of organic reactions, ...

  16. Impact of aerosol particles on the structure of an atmospheric pressure microwave plasma afterglow

    Energy Technology Data Exchange (ETDEWEB)

    Chen Chunku [Ceramic and Composite Materials Centre, 209 Farris Engineering Centre, University of New Mexico, Albuquerque, NM (United States); Phillips, Jonathan [Los Alamos National Laboratory, MS C930, Los Alamos, NM (United States)

    2002-05-21

    Several novel ceramic processing technologies (e.g. oxide ceramic melting and spheroidization) using an atmospheric pressure microwave plasma torch were recently developed in our lab. Understanding the processes and optimization requires complete characterization of the plasma as a function of operating condition. As a first step, a non-intrusive spectroscopic method was employed to map rotational (gas), electron and excitation temperatures and electron densities of the afterglow region of microwave generated atmospheric plasmas with and without alumina particle aerosol. Two-dimensional spatially resolved mapping of rotational (gas), excitation and electron temperatures and electron densities as a function of operating conditions during material processing were developed. It was shown that the passage of an aerosol dramatically changes the structure of the afterglow. Also the non-equilibrium nature of microwave generated atmospheric argon plasma was confirmed, suggesting that only multi-temperature models are capable of modelling this region of the plasma. (author)

  17. Optical emission spectroscopy for quantification of ultraviolet radiations and biocide active species in microwave argon plasma jet at atmospheric pressure

    Energy Technology Data Exchange (ETDEWEB)

    Wattieaux, G., E-mail: gaetan.wattieaux@laplace.univ-tlse.fr; Yousfi, M.; Merbahi, N.

    2013-11-01

    case. - Highlights: • Absorption and emission spectroscopy diagnostic of a microwave plasma jet • Cheap and simple absolute calibration in the UV range without UV calibration source • Determination of the gas temperature uncertainty from OH(A-X) spectrum • Anticipation of the biocide effect of the plasma jet from spectroscopic analysis • Safety requirements in terms of microwave and ozone exposure.

  18. Optical emission spectroscopy for quantification of ultraviolet radiations and biocide active species in microwave argon plasma jet at atmospheric pressure

    International Nuclear Information System (INIS)

    Wattieaux, G.; Yousfi, M.; Merbahi, N.

    2013-01-01

    . - Highlights: • Absorption and emission spectroscopy diagnostic of a microwave plasma jet • Cheap and simple absolute calibration in the UV range without UV calibration source • Determination of the gas temperature uncertainty from OH(A-X) spectrum • Anticipation of the biocide effect of the plasma jet from spectroscopic analysis • Safety requirements in terms of microwave and ozone exposure

  19. System to continuously produce carbon fiber via microwave assisted plasma processing

    Science.gov (United States)

    White, Terry L; Paulauskas, Felix L; Bigelow, Timothy S

    2014-03-25

    A method for continuously processing carbon fiber including establishing a microwave plasma in a selected atmosphere contained in an elongated chamber having a microwave power gradient along its length defined by a lower microwave power at one end and a higher microwave power at the opposite end of the elongated chamber. The elongated chamber having an opening in each of the ends of the chamber that are adapted to allow the passage of the fiber tow while limiting incidental gas flow into or out of said chamber. A continuous fiber tow is introduced into the end of the chamber having the lower microwave power. The fiber tow is withdrawn from the opposite end of the chamber having the higher microwave power. The fiber to is subjected to progressively higher microwave energy as the fiber is being traversed through the elongated chamber.

  20. Plasma heating and confinement in toroidal magnetic bottle by means of microwave slowing-down structure

    International Nuclear Information System (INIS)

    Datlov, J.; Klima, R.; Kopecky, V.; Musil, J.; Zacek, F.

    1977-01-01

    An invention is described concerning high-frequency plasma heating and confinement in toroidal magnetic vessels. Microwave energy is applied to the plasma via one or more slowing-down structures exciting low phase velocity waves whose energy may be efficiently absorbed by plasma electrons. The wave momentum transfer results in a toroidal electrical current whose magnetic field together with an external magnetic field ensure plasma confinement. The low-frequency modulation of microwave energy may also be used for heating the ion plasma component. (J.U.)

  1. High power microwave source development

    Science.gov (United States)

    Benford, James N.; Miller, Gabriel; Potter, Seth; Ashby, Steve; Smith, Richard R.

    1995-05-01

    The requirements of this project have been to: (1) improve and expand the sources available in the facility for testing purposes and (2) perform specific tasks under direction of the Defense Nuclear Agency about the applications of high power microwaves (HPM). In this project the HPM application was power beaming. The requirements of this program were met in the following way: (1) We demonstrated that a compact linear induction accelerator can drive HPM sources at repetition rates in excess of 100 HZ at peak microwave powers of a GW. This was done for the relativistic magnetron. Since the conclusion of this contract such specifications have also been demonstrated for the relativistic klystron under Ballistic Missile Defense Organization funding. (2) We demonstrated an L band relativistic magnetron. This device has been used both on our single pulse machines, CAMEL and CAMEL X, and the repetitive system CLIA. (3) We demonstrated that phase locking of sources together in large numbers is a feasible technology and showed the generation of multigigawatt S-band radiation in an array of relativistic magnetrons.

  2. Self-consistent evolution of plasma discharge and electromagnetic fields in a microwave pulse compressor

    International Nuclear Information System (INIS)

    Shlapakovski, A. S.; Beilin, L.; Krasik, Ya. E.; Hadas, Y.; Schamiloglu, E.

    2015-01-01

    Nanosecond-scale evolution of plasma and RF electromagnetic fields during the release of energy from a microwave pulse compressor with a plasma interference switch was investigated numerically using the code MAGIC. The plasma was simulated in the scope of the gas conductivity model in MAGIC. The compressor embodied an S-band cavity and H-plane waveguide tee with a shorted side arm filled with pressurized gas. In a simplified approach, the gas discharge was initiated by setting an external ionization rate in a layer crossing the side arm waveguide in the location of the electric field antinode. It was found that with increasing ionization rate, the microwave energy absorbed by the plasma in the first few nanoseconds increases, but the absorption for the whole duration of energy release, on the contrary, decreases. In a hybrid approach modeling laser ignition of the discharge, seed electrons were set around the electric field antinode. In this case, the plasma extends along the field forming a filament and the plasma density increases up to the level at which the electric field within the plasma decreases due to the skin effect. Then, the avalanche rate decreases but the density still rises until the microwave energy release begins and the electric field becomes insufficient to support the avalanche process. The extraction of the microwave pulse limits its own power by terminating the rise of the plasma density and filament length. For efficient extraction, a sufficiently long filament of dense plasma must have sufficient time to be formed

  3. Self-consistent evolution of plasma discharge and electromagnetic fields in a microwave pulse compressor

    Science.gov (United States)

    Shlapakovski, A. S.; Beilin, L.; Hadas, Y.; Schamiloglu, E.; Krasik, Ya. E.

    2015-07-01

    Nanosecond-scale evolution of plasma and RF electromagnetic fields during the release of energy from a microwave pulse compressor with a plasma interference switch was investigated numerically using the code MAGIC. The plasma was simulated in the scope of the gas conductivity model in MAGIC. The compressor embodied an S-band cavity and H-plane waveguide tee with a shorted side arm filled with pressurized gas. In a simplified approach, the gas discharge was initiated by setting an external ionization rate in a layer crossing the side arm waveguide in the location of the electric field antinode. It was found that with increasing ionization rate, the microwave energy absorbed by the plasma in the first few nanoseconds increases, but the absorption for the whole duration of energy release, on the contrary, decreases. In a hybrid approach modeling laser ignition of the discharge, seed electrons were set around the electric field antinode. In this case, the plasma extends along the field forming a filament and the plasma density increases up to the level at which the electric field within the plasma decreases due to the skin effect. Then, the avalanche rate decreases but the density still rises until the microwave energy release begins and the electric field becomes insufficient to support the avalanche process. The extraction of the microwave pulse limits its own power by terminating the rise of the plasma density and filament length. For efficient extraction, a sufficiently long filament of dense plasma must have sufficient time to be formed.

  4. Automated system for efficient microwave power coupling in an S-band ECR ion source driven under different operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Muguira, L., E-mail: lmuguira@essbilbao.org [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain); Portilla, J. [University of Basque Country (UPV/EHU), Department of Electricity and Electronics, Science and Technology Faculty, 48940 Leioa (Bizkaia) (Spain); Gonzalez, P.J.; Garmendia, N.; Feuchtwanger, J. [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain); Etxebarria, V. [University of Basque Country (UPV/EHU), Department of Electricity and Electronics, Science and Technology Faculty, 48940 Leioa (Bizkaia) (Spain); Eguiraun, M.; Arredondo, I.; Miracoli, R.; Belver, D. [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain)

    2014-03-21

    This article presents an automated system for optimizing the microwave power coupling to the plasma generated in a proton/deuteron Electron Cyclotron Resonance (ECR) source, based on a specific model of a rectangular waveguide triple-stub tuner and the integrated measurement and control electronics, helping to get stable plasma states. The control and improvement of the RF power absorption into the plasma is a complex process, essential for the ion source development and optimization under different operating conditions. A model and a matching algorithm for the triple-stub tuner have been developed and, besides, different methods to accurately measure the power transfer in a waveguide RF system have been studied and deployed in the ESS-Bilbao ion source system. The different parts have been integrated through a controller, which allows to run an automatic plasma matching system in closed loop. The behavior of the system implemented for low and high power regimes has been tested under different conditions: with several load impedances, with plasma inside the chamber, in continuous wave and pulsed wave operation modes, demonstrating power absorption typically over 90% in all the ion source configurations. The developed system allows to achieve significant improvement in the ECR ion source power absorption efficiency, both in continuous and pulsed mode. The automatic tuning unit enhances the system operation finding an optimum solution much faster than manually, also behaving as an adaptive system able to respond in few pulses to ion source configuration changes to maintain the power coupling as high as possible. - Highlights: • An automated system optimizing plasma and microwave power interaction is presented. • A model and a matching algorithm for the triple-stub tuner have been developed. • Different methods to measure the power transfer have been studied and deployed. • The system works for low or high power regimes under different ion source conditions.

  5. Automated system for efficient microwave power coupling in an S-band ECR ion source driven under different operating conditions

    International Nuclear Information System (INIS)

    Muguira, L.; Portilla, J.; Gonzalez, P.J.; Garmendia, N.; Feuchtwanger, J.; Etxebarria, V.; Eguiraun, M.; Arredondo, I.; Miracoli, R.; Belver, D.

    2014-01-01

    This article presents an automated system for optimizing the microwave power coupling to the plasma generated in a proton/deuteron Electron Cyclotron Resonance (ECR) source, based on a specific model of a rectangular waveguide triple-stub tuner and the integrated measurement and control electronics, helping to get stable plasma states. The control and improvement of the RF power absorption into the plasma is a complex process, essential for the ion source development and optimization under different operating conditions. A model and a matching algorithm for the triple-stub tuner have been developed and, besides, different methods to accurately measure the power transfer in a waveguide RF system have been studied and deployed in the ESS-Bilbao ion source system. The different parts have been integrated through a controller, which allows to run an automatic plasma matching system in closed loop. The behavior of the system implemented for low and high power regimes has been tested under different conditions: with several load impedances, with plasma inside the chamber, in continuous wave and pulsed wave operation modes, demonstrating power absorption typically over 90% in all the ion source configurations. The developed system allows to achieve significant improvement in the ECR ion source power absorption efficiency, both in continuous and pulsed mode. The automatic tuning unit enhances the system operation finding an optimum solution much faster than manually, also behaving as an adaptive system able to respond in few pulses to ion source configuration changes to maintain the power coupling as high as possible. - Highlights: • An automated system optimizing plasma and microwave power interaction is presented. • A model and a matching algorithm for the triple-stub tuner have been developed. • Different methods to measure the power transfer have been studied and deployed. • The system works for low or high power regimes under different ion source conditions.

  6. Spectroscopic study of microwave induced plasmas : exploration of active and passive methods

    NARCIS (Netherlands)

    Vries, de N.

    2008-01-01

    Microwave induced plasmas (MIPs) are used for a number of high-tech applications like material processing, light generation, gas cleaning and spectrochemical analysis. Especially the feature that MIPs can be operated remotely and that the propagation of the microwaves can be manipulated with slits,

  7. Optimum design of a microwave interferometer for plasma density measurement

    International Nuclear Information System (INIS)

    Lindberg, L.; Eriksson, A.

    1980-11-01

    Theoretical and practical problems arising in the application of microwave interferometry to density measurements on transient plasmas are discussed. The conditions for unambiquous measurements in a density range as wide as possible are analyzed. It is shown that the initial zero adjustment of the interferometer bridge recommended in many text books is the worst possible choice of initial condition when the aim is high initial sensitivity at low densities. The analytic expressions needed for unambiquous evaluation of any phase shift from a few degrees to several times π (counting of fringes) are derived. The practical design of the interferometer circuit and its inherent error sources due to reflexions and non-ideal component properties are discussed. The results are applied to an interferometer operating at 80 GHz used on a pulsed plasma experiment. The minimum measurable phase shift is 2deg and the range of linear densities that have been measured is = 1 . 10 16 - 3 . 10 18 m -2

  8. Study of microwave emission from a dense plasma focus

    International Nuclear Information System (INIS)

    Gerdin, G.; Venneri, F.; Tanisi, M.

    1985-01-01

    Microwave emission was detected in a 12.5 kJ dense plasma focus, using microwave horns and detectors placed in various locations outside the device. The results show that the parallel plates connecting the focus to its capacitor banks act as antennas and transmission lines, rather than wave guides. Subsequent measurements were performed with a microwave detector (R-band) attached to the focus anode, directly looking into the coaxial gun region, allowing to restrict the microwave emitting region to the muzzle end of the focus. The microwave frequency spectrum, determined with a time of flight detection system, strongly suggests the lower hybrid instability as the driving mechanism of the emissions. Comparing the time sequence of the emissions with those of other observable phenomena in the focus, a model was developed, to explain the possible relationship between the generation of microwave radiation and turbulence induced resistivity in the focus pinch. According to the model, microwaves and enhanced resistivity are caused by current driven instabilities occurring in the current sheath produced at the outer boundary of the pinch during the initial compression phase. Comparisons of the model predictions with observed experimental results are presented, including time resolved measurements of the pinch resistivity

  9. Propagation of Polarized Cosmic Microwave Background Radiation in an Anisotropic Magnetized Plasma

    International Nuclear Information System (INIS)

    Moskaliuk, S. S.

    2010-01-01

    The polarization plane of the cosmic microwave background radiation (CMBR) can be rotated either in a space-time with metric of anisotropic type and in a magnetized plasma or in the presence of a quintessential background with pseudoscalar coupling to electromagnetism. A unified treatment of these three phenomena is presented for cold anisotropic plasma at the pre-recombination epoch. It is argued that the generalized expressions derived in the present study may be relevant for direct searches of a possible rotation of the cosmic microwave background polarization.

  10. Plasma and Ion Sources in Large Area Coatings: A Review

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2005-02-28

    Efficient deposition of high-quality coatings often requires controlled application of excited or ionized particles. These particles are either condensing (film-forming) or assisting by providing energy and momentum to the film growth process, resulting in densification, sputtering/etching, modification of stress, roughness, texture, etc. In this review, the technical means are surveyed enabling large area application of ions and plasmas, with ion energies ranging from a few eV to a few keV. Both semiconductortype large area (single wafer or batch processing with {approx} 1000 cm{sup 2}) and in-line web and glass-coating-type large area (> 10{sup 7} m{sup 2} annually) are considered. Characteristics and differences between plasma and ion sources are explained. The latter include gridded and gridless sources. Many examples are given, including sources based on DC, RF, and microwave discharges, some with special geometries like hollow cathodes and E x B configurations.

  11. Carbon dioxide elimination and regeneration of resources in a microwave plasma torch

    International Nuclear Information System (INIS)

    Uhm, Han S.; Kwak, Hyoung S.; Hong, Yong C.

    2016-01-01

    Carbon dioxide gas as a working gas produces a stable plasma-torch by making use of 2.45 GHz microwaves. The temperature of the torch flame is measured by making use of optical spectroscopy and a thermocouple device. Two distinctive regions are exhibited, a bright, whitish region of a high-temperature zone and a bluish, dimmer region of a relatively low-temperature zone. The bright, whitish region is a typical torch based on plasma species where an analytical investigation indicates dissociation of a substantial fraction of carbon dioxide molecules, forming carbon monoxides and oxygen atoms. The emission profiles of the oxygen atoms and the carbon monoxide molecules confirm the theoretical predictions of carbon dioxide disintegration in the torch. Various hydrocarbon materials may be introduced into the carbon dioxide torch, regenerating new resources and reducing carbon dioxide concentration in the torch. As an example, coal powders in the carbon dioxide torch are converted into carbon monoxide according to the reaction of CO_2 + C → 2CO, reducing a substantial amount of carbon dioxide concentration in the torch. In this regards, the microwave plasma torch may be one of the best ways of converting the carbon dioxides into useful new materials. - Highlights: • Carbon dioxide gas produces a plasma-torch by making use of 2.45 GHz microwaves. • The temperature measurement of torch flame by optical spectroscopy. • Disintegration of carbon dioxide into carbon monoxide and oxygen atom. • Emission profiles of carbon monoxide confirm disintegration theory. • Conversion of carbon dioxide into carbon monoxide in the plasma torch. - This article presents carbon-dioxide plasma torch operated by microwaves and its applications to regeneration of new resources, eliminating carbon dioxide molecules.

  12. Microwave power engineering generation, transmission, rectification

    CERN Document Server

    Okress, Ernest C

    1968-01-01

    Microwave Power Engineering, Volume 1: Generation, Transmission, Rectification considers the components, systems, and applications and the prevailing limitations of the microwave power technology. This book contains four chapters and begins with an introduction to the basic concept and developments of microwave power technology. The second chapter deals with the development of the main classes of high-power microwave and optical frequency power generators, such as magnetrons, crossed-field amplifiers, klystrons, beam plasma amplifiers, crossed-field noise sources, triodes, lasers. The third

  13. A study of the ion-molecule reaction in a microwave plasma of propylene

    International Nuclear Information System (INIS)

    Carmi, U.

    1980-07-01

    Microwave plasma of propylene and of argon-propylene mixture were sampled by a quadrupole mass-spectrometer. The composition of the plasma was investigated as a function of external parameters such as pressure, initial concentration of gases, microwave power and sampling position. Three main paths were determined for the pyrolysis and polymerization of propylene, that constitute the rate determining step. Rate constants were determined for the various reactions between propylene and the intermediates. An overall rate constant for the disappearance of propylene was determined. This constant was found to be dependent on the initial gas concentration and on plasma pressure

  14. Formation and treatment of materials with microwave plasmas

    International Nuclear Information System (INIS)

    Camps, E.; Garcia, J.L.; Romero, S.

    1996-01-01

    The plasmas technology occupies day by day a more important place in the development of new materials, with properties superior to those developed with conventional techniques. Some processes have already been established and are exploited to industrial level. These basically include the plasmas that are generated within discharges of continuous current, as well as those with alternate fields of frequency in the range of radiofrequency (13.6 MHz usually). Nevertheless, the need to increase the efficiency of the work of plasma used, has given as a result the study of plasmas generated to higher frequencies (2.45 GHz), known as m icrowave plasmas . An important development in the treatment of materials at low pressures and temperature, are those known as microwave discharges of the type of cyclotron resonances of the electrodes, that is, a discharge submerged into a magnetic field. These discharges have the advantage of not including electrodes, they can generate plasmas with higher density of ionized and excited particles, can work under low pressures (∼ 1m Torr), and have higher ionizing coefficient (∼ 1%), than other kind of discharge. With the aim to study the accuracy in work of the microwave discharges in magnetic fields, the National Institute of Nuclear Research (ININ) designed and built a gadget of this type which is actually used in the formation of thin films of the diamond type and of amorphous silicon. At the same time, experiments for nitrating steels, in order to establish the mechanisms that would allow to build samples, with surfaces stronger and resistant to corrosion, at short-time treatments, than those needed, when using other kinds of discharges. (Author)

  15. Measurement of electric field distribution along the plasma column in Microwave jet discharges at atmospheric pressure

    International Nuclear Information System (INIS)

    Razzak, M. Abdur; Takamura, Shuichi; Tsujikawa, Takayuki; Shibata, Hideto; Hatakeyama, Yuto

    2009-01-01

    A new technique for the direct measurement of electric field distribution along the plasma column in microwave jet discharges is developed and employed. The technique is based on a servomotor-controlled reciprocating antenna moving along the nozzle axis and plasma column. The measurement technique is applied to a rectangular waveguide-based 2.45 GHz argon and helium plasma jets generated by using the modified TIAGO nozzle at atmospheric pressure with a microwave power of less than 500 W. The measurement has been done with and without igniting the plasma jet in order to investigate the standing wave propagation along the nozzle axis and plasma column. It is observed that the electric field decay occurs slowly in space with plasma ignition than that of without plasma, which indicates the surface electromagnetic wave propagation along the plasma column in order to sustain the plasma jet. This study enables one to design, determine and optimize the size and structure of launcher nozzle, which plays an important role for the stable and efficient microwave plasma generators. (author)

  16. In-liquid Plasma. A stable light source for advanced oxidation processes in environmental remediation

    Science.gov (United States)

    Tsuchida, Akihiro; Shimamura, Takeshi; Sawada, Seiya; Sato, Susumu; Serpone, Nick; Horikoshi, Satoshi

    2018-06-01

    A microwave-inspired device that generates stable in-liquid plasma (LP) in aqueous media and emits narrow light emission lines at 280-320 nm, 660 nm and 780 nm is examined as a light source capable of driving photochemical reactions and advanced oxidation processes in wastewater treatments. The microwave-driven lighting efficiency was improved by decompressing the inside of the reaction vessel, which resulted in lowering the incident power of the microwaves and suppressed the deterioration of the microwave irradiation antenna. This protocol made it possible to generate continuous stable plasma in water. Evaluation of the LP device was carried out by revisiting the decomposition of 1,4-dioxane in aqueous media against the use of such other conventional water treatment processes as (i) UV irradiation alone, (ii) TiO2-assisted photocatalysis with UV irradiation (UV/TiO2), (iii) oxidation with sodium hypochlorite (NaClO), and (iv) UV-assisted decomposition in the presence of NaClO (UV/NaClO). The in-liquid plasma technique proved superior to these four other methods. The influence of pH on the LP protocol was ascertained through experiments in acidified (HCl and H2SO4) and alkaline (NaOH and KOH) aqueous media. Except for H2SO4, decomposition of 1,4-dioxane was enhanced in both acidic and alkaline media.

  17. High-power microwave generation from a frequency-stabilized virtual cathode source

    International Nuclear Information System (INIS)

    Fazio, M.V.; Hoeberling, R.F.; Kinross-Wright, J.

    1988-01-01

    The evolution of virtual cathode based high-power microwave-source technology has been directed primarily toward achieving higher peak-power levels. As peak powers in excess of 10 GW have been reported, attention has begun to focus on techniques for producing a more frequency- and phase-stable virtual cathode source. Free-running virtual cathode microwave sources characteristically exhibit bandwidths in a single pulse of tens of percent, which makes them unsuitable for many applications such as power sources for phased array antennas and microwave linear accelerators. Presented here are results of an experimental approach utilizing a high-Q, resonant cavity surrounding the oscillating virtual cathode to achieve frequency stabilization and repeatable narrow-band operation. A cylindrical cavity resonator is used with the microwave power being extracted radially through circumferential slot apertures into L-band waveguide

  18. Intense microwave pulse propagation through gas breakdown plasmas in a waveguide

    International Nuclear Information System (INIS)

    Byrne, D.P.

    1986-01-01

    High-power microwave pulse-compression techniques are used to generate 2.856 GHz pulses which are propagated in a TE 10 mode through a gas filled section of waveguide, where the pulses interact with self-generated gas-breakdown plasmas. Pulse envelopes transmitted through the plasmas, with duration varying from 2 ns to greater than 1 μs, and peak powers of a few kW to nearly 100 MW, are measured as a function of incident pulse and gas pressure for air, nitrogen, and helium. In addition, the spatial and temporal development of the optical radiation emitted by the breakdown plasmas are measured. For transmitted pulse durations ≥ 100 ns, good agreement is found with both theory and existing measurements. For transmitted pulse duration as short as 2 ns (less than 10 rf cycles), a two-dimensional model is used in which the electrons in the plasma are treated as a fluid whose interactions with the microwave pulse are governed by a self-consistent set of fluid equations and Maxwell's equations for the electromagnetic field. The predictions of this model for air are compared with the experimental results over a pressure range of 0.8 torr to 300 torr. Good agreement is obtained above about 1 torr pressure, demonstrating that microwave pulse propagation above the breakdown threshold can be accurately modeled on this time scale. 63 refs., 44 figs., 2 tabs

  19. Tailoring of materials by atomic oxygen from ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, Munzer; Bhoraskar, S.V.

    2002-01-01

    Full text: An intense source of oxygen finds important applications in many areas of science, technology and industry. It has been successfully used for surface activation and cleaning in the electronic, chemical and automotive industries. Atomic oxygen and interaction with materials have also a significant importance in space science and technology. This paper describes the detailed studies related to the surface modification and processing of different materials, which include metals and polymers by atomic oxygen produced in microwave assisted electron cyclotron resonance plasma. The energy distribution of ions was measured as a function of plasma parameters and density measurements were supplemented by catalytic probe using nickel and oxidation of silver surface

  20. On-line measurement of microwave power in ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Kang Wu; Hu Yonghong; Li Yan; Lou Benchao; Zu Xiulan; Xiong Riheng; Chen Junguang; Li Xiaoyun

    2005-01-01

    It is a new technology to apply an ECR ion source to the neutron generator. Because of the structure limitation, working state of the ECR ion source could not be judged by the color of gas discharging in discharge chamber. Therefore, it was hard to estimate if the ECR ion source was working properly in the neutron generator. The method to resolve the problem was described in this paper. The microwave power was measured on-line by a directional coupler and a small microwave power meter. The ion beam current could be educed from the measured incidence microwave power, and discharge state in discharge chamber could be determined. (authors)

  1. Microwave-plasma interactions studied via mode diagnostics in ALPHA

    Energy Technology Data Exchange (ETDEWEB)

    Friesen, T., E-mail: tim.friesen@cern.ch [University of Calgary, Department of Physics and Astronomy (Canada); Andresen, G. B. [Aarhus University, Department of Physics and Astronomy (Denmark); Ashkezari, M. D. [Simon Fraser University, Department of Physics (Canada); Baquero-Ruiz, M. [University of California, Department of Physics (United States); Bertsche, W. [Swansea University, Department of Physics (United Kingdom); Bowe, P. D. [Aarhus University, Department of Physics and Astronomy (Denmark); Butler, E. [CERN, Physics Department (Switzerland); Cesar, C. L. [Universidade Federal do Rio de Janeiro, Instituto de Fisica (Brazil); Chapman, S. [University of California, Department of Physics (United States); Charlton, M.; Eriksson, S. [Swansea University, Department of Physics (United Kingdom); Fajans, J. [University of California, Department of Physics (United States); Fujiwara, M. C. [University of Calgary, Department of Physics and Astronomy (Canada); Gill, D. R. [TRIUMF (Canada); Gutierrez, A. [University of British Columbia, Department of Physics and Astronomy (Canada); Hangst, J. S. [Aarhus University, Department of Physics and Astronomy (Denmark); Hardy, W. N. [University of British Columbia, Department of Physics and Astronomy (Canada); Hayano, R. S. [University of Tokyo, Department of Physics (Japan); Hayden, M. E. [Simon Fraser University, Department of Physics (Canada); Humphries, A. J. [Swansea University, Department of Physics (United Kingdom); Collaboration: ALPHA Collaboration; and others

    2012-12-15

    The goal of the ALPHA experiment is the production, trapping and spectroscopy of antihydrogen. A direct comparison of the ground state hyperfine spectra in hydrogen and antihydrogen has the potential to be a high-precision test of CPT symmetry. We present a novel method for measuring the strength of a microwave field for hyperfine spectroscopy in a Penning trap. This method incorporates a non-destructive plasma diagnostic system based on electrostatic modes within an electron plasma. We also show how this technique can be used to measure the cyclotron resonance of the electron plasma, which can potentially serve as a non-destructive measurement of plasma temperature.

  2. Experimental investigation of gas heating and dissociation in a microwave plasma torch at atmospheric pressure

    International Nuclear Information System (INIS)

    Su, Liu; Kumar, Rajneesh; Ogungbesan, Babajide; Sassi, Mohamed

    2014-01-01

    Highlights: • Atmospheric-pressure microwave plasma torch. • Gas heating and dissociation. • Parametric studies of plasma operating conditions. • Local thermal equilibrium plasma. - Abstract: Experimental investigations are made to understand gas heating and dissociation in a microwave (MW) plasma torch at atmospheric pressure. The MW induced plasma torch operates at 2.45 GHz frequency and up to 2 kW power. Three different gas mixtures are injected in the form of axial flow and swirl flow in a quartz tube plasma torch to experimentally investigate the MW plasma to gas energy transfer. Air–argon, air–air and air–nitrogen plasmas are formed and their operational ranges are determined in terms of gas flow rates and MW power. Visual observations, optical emission spectroscopy and K-type thermocouple measurements are used to characterize the plasma. The study reveals that the plasma structure is highly dependent on the carrier gas type, gas flow rate, and MW power. However, the plasma gas temperature is shown not to vary much with these parameters. Further spectral and analytical analysis show that the plasma is in thermal equilibrium and presents very good energy coupling between the microwave power and gas heating and dissociation. The MW plasma torch outlet temperature is also measured and found to be suitable for many thermal heating and chemical dissociation applications

  3. Experimental and numerical investigations of microwave return loss of aircraft inlets with low-pressure plasma

    Science.gov (United States)

    Zhang, Yachun; He, Xiang; Chen, Jianping; Chen, Hongqing; Chen, Li; Zhang, Hongchao; Ni, Xiaowu; Lu, Jian; Shen, Zhonghua

    2018-03-01

    The relationships between return losses of the cylindrical inlet and plasma discharge parameters are investigated experimentally and numerically. The return losses are measured using a high dynamic range measurement system and simulated by COMSOL Multiphysics when the frequency band of the microwaves is in the range 1-4 GHz. The profiles of the plasma density are estimated using Epstein and Bessel functions. Results show that the incident microwaves can be absorbed by plasma efficaciously. The maximal return loss can reach -13.84 dB when the microwave frequency is 2.3 GHz. The increase of applied power implies augmentation of the return loss, which behaves conversely for gas pressure. The experimental and numerical results display reasonable agreement on return loss, suggesting that the use of plasma is effective in the radar cross section reduction of aircraft inlets.

  4. Design of a Solenoid Magnet for a Microwave Ion Source

    International Nuclear Information System (INIS)

    Cho, Yong Sub; Kwon, Hyeok Jung; Kim, Dae Il

    2011-01-01

    A microwave ion source has many advantages, such as long-life time, low emittance, high brightness, and compactness. Also it is a big merit that 2.45GHz rf systems are easily available and inexpensive. Due to the reasons microwave ion sources are very attractive for industrial applications. But microwave ion sources need a solenoid magnet which is usually an electromagnet with a DC current power supply. The electromagnet solenoids of microwave ion sources can be installed in two methods. The first method is to use isolation transformer to supply electrical power to DC current power supply for the magnets. In this case the magnet is compact because it has the same potential with the extraction voltage. The second method is to put an electrical insulator, such as G10, between ion sources and magnets. In this case the solenoid magnet is bigger than one in the first method, especially for higher extraction voltage, because the space for the insulator is required. Permanent magnets can be a good candidate to make microwave ion source more compact. But it is difficult to control the magnetic field profile and the magnetic flux density for the permanent magnet solenoids. Due to the reason, in the case that the best performances in many operating conditions should be achieved by adjusting the profile and strength of the solenoid, electromagnet is better than permanent magnet. But in the case of industrial applications where operating conditions is usually fixed and the compactness is required, permanent magnet is better choice to build an ion source

  5. Atomic hydrogen determination in medium-pressure microwave discharge hydrogen plasmas via emission actinometry

    International Nuclear Information System (INIS)

    Geng Zicai; Xu Yong; Yang Xuefeng; Wang Weiguo; Zhu Aimin

    2005-01-01

    Atomic hydrogen plays an important role in the chemical vapour deposition of functional materials, plasma etching and new approaches to the chemical synthesis of hydrogen-containing compounds. This work reports experimental determinations of atomic hydrogen in microwave discharge hydrogen plasmas formed from the TM 01 microwave mode in an ASTeX-type reactor, via optical emission spectroscopy using Ar as an actinometer. The relative intensities of the H atom Balmer lines and Ar-750.4 nm emissions as functions of input power and gas pressure have been investigated. At an input microwave power density of 13.5 W cm -3 , the approximate hydrogen dissociation fractions calculated from electron-impact excitation and quenching cross sections in the literature, decreased from ∼0.08 to ∼0.03 as the gas pressure was increased from 5 to 25 Torr. The influences of the above cross sections, and the electron and gas temperatures of the plasmas on the determination of the hydrogen dissociation fraction data have been discussed

  6. Impedance Mismatch study between the Microwave Generator and the PUPR Plasma Machine

    International Nuclear Information System (INIS)

    Gaudier, Jorge R.; Castellanos, Ligeia; Encarnacion, Kabir; Zavala, Natyaliz; Rivera, Ramon; Farahat, Nader; Leal, Edberto

    2006-01-01

    Impedance mismatch inside the connection from the microwave power generator to the plasma machine is studied. A magnetron power generator transmits microwaves of 2.45 GHz and variable power from 50W to 5000W, through a flexible rectangular waveguide to heat plasma inside a Mirror Cusp devise located at the Polytechnic University of Puerto Rico. Before the production of plasma, the residual gas of the devise must be extracted by a vacuum system (5Torr or better), then Argon gas is injected to the machine. The microwaves heat the Argon ions to initiate ionization and plasma is produced. A dielectric wall is used inside the rectangular waveguide to isolate the plasma machine and maintain vacuum. Even though the dielectric will not block the wave propagation, some absorption of microwaves will occur. This absorption will cause reflection, reducing the efficiency of the power transfer. Typically a thin layer of Teflon is used, but measurements using this dielectric show a significant reflection of power back to the generator. Due to the high-power nature of the generator (5KW), this mismatch is not desirable. An electromagnetic field solver based on the Finite Difference Time Domain Method(FDTD) is used to model the rectangular waveguide connection. The characteristic impedance of the simulation is compared with the analytical formula expression and a good agreement is obtain. Furthermore the Teflon-loaded guide is modeled using the above program and the input impedance is computed. The reflection coefficient is calculated based on the transmission line theory with the characteristic and input impedances. Based on the simulation results it is possible to optimize the thickness, shape and dielectric constant of the material, in order to seal the connection with a better match

  7. Spectral characteristics of a relativistic plasma microwave generator

    International Nuclear Information System (INIS)

    Kuzelev, M.V.; Loza, O.T.; Ponomarev, A.V.; Rukhadze, A.A.; Strelkov, P.S.; Ul'yanov, D.K.; Shkvarunets, A.G.

    1996-01-01

    The radiation spectrum of a broad-band relativistic plasma microwave generator, in which a hollow relativistic electron beam is injected into a plasma waveguide consisting of a hollow plasma within a round metallic waveguide is measured experimentally. The radiation spectrum is measured using a wide-aperture calorimetric spectrometer in the frequency range 3-32 GHz. The influence of the plasma density and the beam-plasma gap on the radiation spectrum is investigated. The amplification of the noise electromagnetic radiation when a relativistic electron beam is injected into the plasma waveguide is calculated on the basis of the nonlinear theory. The theory predicts passage from a one-particle generation regime to a collective regime and narrowing of the radiation spectrum as the plasma density and the gap between the hollow beam and the plasma increases. A comparison of the measurement results with the nonlinear theory accounts for several features of the measured spectrum. However, the predicted change in the generation regimes is not observed experimentally. Qualitative arguments are advanced, which explain the observed phenomena and call for further theoretical and experimental research, are advanced

  8. Permanent magnet electron cyclotron resonance plasma source with remote window

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1995-01-01

    An electron cyclotron resonance (ECR) plasma has been used in conjunction with a solid metal sputter target for Cu deposition over 200 mm diameters. The goal is to develop a deposition system and process suitable for filling submicron, high-aspect ratio ULSI features. The system uses a permanent magnet for creation of the magnetic field necessary for ECR, and is significantly more compact than systems equipped with electromagnets. A custom launcher design allows remote microwave injection with the microwave entrance window shielded from the copper flux. When microwaves are introduced at an angle with respect to the plasma, high electron densities can be produced with a plasma frequency significantly greater than the electron cyclotron frequency. Copper deposition rates of 1000 A/min have been achieved

  9. Microwave plasma CVD of NANO structured tin/carbon composites

    Science.gov (United States)

    Marcinek, Marek [Warszawa, PL; Kostecki, Robert [Lafayette, CA

    2012-07-17

    A method for forming a graphitic tin-carbon composite at low temperatures is described. The method involves using microwave radiation to produce a neutral gas plasma in a reactor cell. At least one organo tin precursor material in the reactor cell forms a tin-carbon film on a supporting substrate disposed in the cell under influence of the plasma. The three dimensional carbon matrix material with embedded tin nanoparticles can be used as an electrode in lithium-ion batteries.

  10. Microwave source development for 9 MeV RF electron LINAC for cargo scanning

    International Nuclear Information System (INIS)

    Yadav, V.; Chandan, Shiv; Tillu, A.R.; Bhattacharjee, D.; Chavan, R.B.; Dixit, K.P.; Mittal, K.C.; Gantayet, L.M.

    2011-01-01

    For cargo scanning, high energy X-rays are required. These X-rays can be generated from accelerated electrons. A 9 MeV Cargo scanning RF LINAC has been developed at ECIL, Hyderabad. The Microwave power source required for RF Linac is a klystron-based system generating 5.5 MW peak, 10 kW average, at 2.856 GHz. Various components required for microwave source were identified, procured, tested and integrated into the source. Microwave source was tested on water load, then it was connected to LINAC and RF conditioning and e-beam trials were successfully done. For operating the microwave source, a PC based remote handling system was also designed and developed for operating various power supplies and instruments of the microwave source, including the Klystron modulator, Signal generator and other devices. The accelerator operates in pulse mode, requiring synchronous operation of the Klystron modulator, RF driver amplifier and E-gun modulator. For this purpose, a synchronous trigger generator was designed and developed. This paper describes the development and testing of microwave source and its remote operating system. The results of beam trials are also discussed in this paper. (author)

  11. Influence of the density of the microwave plasma in the nitridation of the AISI 4140 steel; Influencia de la densidad del plasma de microondas en la nitruracion de acero AISI 4140

    Energy Technology Data Exchange (ETDEWEB)

    Chirino O, S.; Camps C, E.; Escobar A, L.; Mejia H, J.A. [ININ, 52045 Ocoyoacac, Estado de Mexico (Mexico)

    2004-07-01

    A source of microwaves plasma type ECR was used to modify those mechanical properties of the surface of steel pieces AISI 4140. The experiments were carried out in a range of pressure among 4 X 10{sup -4} and 7 X 10{sup -4} Torr using one mixture of gases 60/40 hydrogen / nitrogen and an incident power of the microwaves of 400 W. Previous to the treatment of the samples, the plasma was studied using one Langmuir probe to determine the temperature of the electrons and the density of the plasma, the species excited in the plasma were determined by means of Optical emission spectroscopy. All the samples were treated during 50 min in a regime of low temperature (- 250 C), and the surface hardness it was increased up of 100% of their initial value, with a depth of penetration of the nitrogen of 4.5 {mu} m. The biggest hardness and depth of penetration of the nitrogen were obtained when the biggest density in the plasma was used to carry out the experiments. (Author)

  12. Ion beam extraction from a matrix ECR plasma source by discrete ion-focusing effect

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, Mihai

    2010-01-01

    -ECR plasma source [3] with transversal magnetic filter for electron temperature control. 12 ECR plasma cells are placed 7.5 cm apart on the top of a cubic chamber 40x40x40 cm3. Each cell can be controlled independently by tuning the injected microwave power. The discharge is operated at pressures below 1 m......Positive or negative ion beams extracted from plasma are used in a large variety of surface functionalization techniques such as implantation, etching, surface activation, passivation or oxidation. Of particular importance is the surface treatment of materials sensitive to direct plasma exposure...... due to high heath fluxes, the controllability of the ion incidence angle, and charge accumulation when treating insulating materials. Despite of a large variety of plasma sources available for ion beam extraction, there is a clear need for new extraction mechanisms that can make available ion beams...

  13. Plasma diagnostics discharge parameters and chemistry

    CERN Document Server

    Auciello, Orlando

    1989-01-01

    Plasma Diagnostics, Volume 1: Discharge Parameters and Chemistry covers seven chapters on the important diagnostic techniques for plasmas and details their use in particular applications. The book discusses optical diagnostic techniques for low pressure plasmas and plasma processing; plasma diagnostics for electrical discharge light sources; as well as Langmuir probes. The text also describes the mass spectroscopy of plasmas, microwave diagnostics, paramagnetic resonance diagnostics, and diagnostics in thermal plasma processing. Electrical engineers, nuclear engineers, microwave engineers, che

  14. A multifunctional microwave plasma reaction apparatus and its applications

    International Nuclear Information System (INIS)

    Wang Xizhang; Wu Qiang; Hu Zheng; Xu Hua; Miao Shui; Chen Yi

    2000-01-01

    A multifunctional apparatus for microwave plasma reaction has been set up, which can be used in the fields such as chemical synthesis, surface modification, and heterogeneous catalysis. The apparatus has laid an experimental foundation for new methods, new technologies, and new train of thoughts to be explored

  15. A Reconfigurable Metal-Plasma Yagi-Yuda Antenna for Microwave Applications

    Directory of Open Access Journals (Sweden)

    Giulia Mansutti

    2017-05-01

    Full Text Available This paper is an extension of the work originally presented at the European Microwave Conference (EuMC about a reconfigurable hybrid metal-plasma Yagi-Uda antenna operating at 1.55 GHz: this antenna consists of metallic reflector and active element and two plasma directors. The conference work showed through full-wave numerical simulations (CST Microwave Studio how it is possible to achieve reconfigurability with respect to the gain by turning on/off the plasma discharges. However the model that was used to represent the plasma discharges was quite ideal, so one comment that was provided questioned the actual possibility of achieving reconfigurability in a real system. Consequently we performed extensive measurements of different plasma discharges and thanks to the collected data, we noticed some important differences between the full-wave numerical model of the plasma that we used in the conference paper and the actual plasma discharges that were generated in the experimental setup: the dielectric vessel and the metallic electrodes used respectively to confine and generate the plasma have an influence on the radiation pattern of the antenna and so they must be included in the design procedure; the cylindrical plasma discharge is much easier to realize when the cylinder diameter is at least 3mm; and finally the collision frequency of the plasma in realistic cases is pretty higher than the one adopted in our previous work. Therefore this work presents a feasibility study of a more detailed and realistic model of our antenna with respect to the plasma discharges. We will show that reconfigurability can still be achieved through a proper design of the overall antenna, thus paving the way to an actual realization of the proposed reconfigurable Yagi-Uda.

  16. Ultralow field emission from thinned, open-ended, and defected carbon nanotubes by using microwave hydrogen plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Jian-Hua, E-mail: jhdeng1983@163.com [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Lin; Wang, Fan-Jie; Yu, Bin; Li, Guo-Zheng; Li, De-Jun [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Guo-An [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2015-01-01

    Graphical abstract: Thinned, open-ended, and defected carbon nanotubes were prepared by using hydrogen plasma processing. The processed carbon nanotubes have far better field emission performance than that of the pristine ones. - Highlights: • CVD prepared CNT arrays were processed by microwave hydrogen plasma. • Thinned, open-ended, and defected CNTs were obtained. • Processed CNTs have far better field emission performance than the pristine ones. • Processed CNTs have applicable emission stability after being perfectly aged. - Abstract: Ultralow field emission is achieved from carbon nanotubes (CNTs) by using microwave hydrogen plasma processing. After the processing, typical capped CNT tips are removed, with thinned, open-ended, and defected CNTs left. Structural analyses indicate that the processed CNTs have more SP{sup 3}-hybridized defects as compared to the pristine ones. The morphology of CNTs can be readily controlled by adjusting microwave powers, which change the shape of CNTs by means of hydrogen plasma etching. Processed CNTs with optimal morphology are found to have an ultralow turn-on field of 0.566 V/μm and threshold field of 0.896 V/μm, much better than 0.948 and 1.559 V/μm of the as-grown CNTs, respectively. This improved FE performance is ascribed to the structural changes of CNTs after the processing. The thinned and open-ended shape of CNTs can facilitate electron tunneling through barriers and additionally, the increased defects at tube walls can serve as new active emission sites. Furthermore, our plasma processed CNTs exhibit excellent field emission stability at a large emission current density of 10.36 mA/cm{sup 2} after being perfectly aged, showing promising prospects in applications as high-performance vacuum electron sources.

  17. Microwave reflectrometry for electron density measurements in the TJ-1 tokamak plasma

    International Nuclear Information System (INIS)

    Anabitarte, E.; Bustamante, E.G.; Calderon, M.A.G.; Vegas, A.

    1986-01-01

    A study about microwave reflectometry to measure the outside profile of the electron plasma density on tokamak TJ-1 is presented. It is also presented the condition of applicability of this method after the characteristic parameters of the plasma and its resolution. The simulation of the plasma in laboratory by means of a metallic mirror causes the whole characterization of the reflectometer. (author)

  18. Air-water ‘tornado’-type microwave plasmas applied for sugarcane biomass treatment

    Science.gov (United States)

    Bundaleska, N.; Tatarova, E.; Dias, F. M.; Lino da Silva, M.; Ferreira, C. M.; Amorim, J.

    2014-02-01

    The production of cellulosic ethanol from sugarcane biomass is an attractive alternative to the use of fossil fuels. Pretreatment is needed to separate the cellulosic material, which is packed with hemicellulose and lignin in cell wall of sugarcane biomass. A microwave ‘tornado’-type air-water plasma source operating at 2.45 GHz and atmospheric pressure has been applied for this purpose. Samples of dry and wet biomass (˜2 g) have been exposed to the late afterglow plasma stream. The experiments demonstrate that the air-water highly reactive plasma environment provides a number of long-lived active species able to destroy the cellulosic wrapping. Scanning electron microscopy has been applied to analyse the morphological changes occurring due to plasma treatment. The effluent gas streams have been analysed by Fourier-transform infrared spectroscopy (FT-IR). Optical emission spectroscopy and FT-IR have been applied to determine the gas temperature in the discharge and late afterglow plasma zones, respectively. The optimal range of the operational parameters is discussed along with the main active species involved in the treatment process. Synergistic effects can result from the action of singlet O2(a 1Δg) oxygen, NO2, nitrous acid HNO2 and OH hydroxyl radical.

  19. Air–water ‘tornado’-type microwave plasmas applied for sugarcane biomass treatment

    International Nuclear Information System (INIS)

    Bundaleska, N; Tatarova, E; Dias, F M; Lino da Silva, M; Ferreira, C M; Amorim, J

    2014-01-01

    The production of cellulosic ethanol from sugarcane biomass is an attractive alternative to the use of fossil fuels. Pretreatment is needed to separate the cellulosic material, which is packed with hemicellulose and lignin in cell wall of sugarcane biomass. A microwave ‘tornado’-type air–water plasma source operating at 2.45 GHz and atmospheric pressure has been applied for this purpose. Samples of dry and wet biomass (∼2 g) have been exposed to the late afterglow plasma stream. The experiments demonstrate that the air–water highly reactive plasma environment provides a number of long-lived active species able to destroy the cellulosic wrapping. Scanning electron microscopy has been applied to analyse the morphological changes occurring due to plasma treatment. The effluent gas streams have been analysed by Fourier-transform infrared spectroscopy (FT-IR). Optical emission spectroscopy and FT-IR have been applied to determine the gas temperature in the discharge and late afterglow plasma zones, respectively. The optimal range of the operational parameters is discussed along with the main active species involved in the treatment process. Synergistic effects can result from the action of singlet O 2 (a  1 Δ g ) oxygen, NO 2 , nitrous acid HNO 2 and OH hydroxyl radical. (paper)

  20. Abatement of fluorinated compounds using a 2.45 GHz microwave plasma torch with a reverse vortex plasma reactor

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J.H.; Cho, C.H.; Shin, D.H. [Plasma Technology Research Center, National Fusion Research Institute, 814-2 Oxikdo-dong, Gunsan-city, Jeollabuk-do (Korea, Republic of); Hong, Y.C., E-mail: ychong@nfri.re.kr [Plasma Technology Research Center, National Fusion Research Institute, 814-2 Oxikdo-dong, Gunsan-city, Jeollabuk-do (Korea, Republic of); Shin, Y.W. [Plasma Technology Research Center, National Fusion Research Institute, 814-2 Oxikdo-dong, Gunsan-city, Jeollabuk-do (Korea, Republic of); School of Advanced Green Energy and Environments, Handong Global University, Heunghae-eup, Buk-gu, Pohang-city, Gyeongbuk (Korea, Republic of)

    2015-08-30

    Highlights: • We developed a microwave plasma torch with reverse vortex reactor (RVR). • We calculated a volume fraction and temperature distribution of discharge gas and waste. • The performance of reverse vortex reactor increased from 29% to 43% than conventional vortex reactor. - Abstract: Abatement of fluorinated compounds (FCs) used in semiconductor and display industries has received an attention due to the increasingly stricter regulation on their emission. We have developed a 2.45 GHz microwave plasma torch with reverse vortex reactor (RVR). In order to design a reverse vortex plasma reactor, we calculated a volume fraction and temperature distribution of discharge gas and waste gas in RVR by ANSYS CFX of computational fluid dynamics (CFD) simulation code. Abatement experiments have been performed with respect to SF{sub 6}, NF{sub 3} by varying plasma power and N{sub 2} flow rates, and FCs concentration. Detailed experiments were conducted on the abatement of NF{sub 3} and SF{sub 6} in terms of destruction and removal efficiency (DRE) using Fourier transform infrared (FTIR). The DRE of 99.9% for NF{sub 3} was achieved without an additive gas at the N{sub 2} flow rate of 150 liter per minute (L/min) by applying a microwave power of 6 kW with RVR. Also, a DRE of SF{sub 6} was 99.99% at the N{sub 2} flow rate of 60 L/min using an applied microwave power of 6 kW. The performance of reverse vortex reactor increased about 43% of NF{sub 3} and 29% of SF{sub 6} abatements results definition by decomposition energy per liter more than conventional vortex reactor.

  1. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  2. Synthetic Aperture Microwave Imaging (SAMI) of the plasma edge on NSTX-U

    Science.gov (United States)

    Vann, Roddy; Taylor, Gary; Brunner, Jakob; Ellis, Bob; Thomas, David

    2016-10-01

    The Synthetic Aperture Microwave Imaging (SAMI) system is a unique phased-array microwave camera with a +/-40° field of view in both directions. It can image cut-off surfaces corresponding to frequencies in the range 10-34.5GHz; these surfaces are typically in the plasma edge. SAMI operates in two modes: either imaging thermal emission from the plasma (often modified by its interaction with the plasma edge e.g. via BXO mode conversion) or ``active probing'' i.e. injecting a broad beam at the plasma surface and imaging the reflected/back-scattered signal. SAMI was successfully pioneered on the Mega-Amp Spherical Tokamak (MAST) at Culham Centre for Fusion Energy. SAMI has now been installed and commissioned on the National Spherical Torus Experiment Upgrade (NSTX-U) at Princeton Plasma Physics Laboratory. The firmware has been upgraded to include real-time digital filtering, which enables continuous acquisition of the Doppler back-scattered active probing data. In this poster we shall present SAMI's analysis of the plasma edge on NSTX-U including measurements of the edge pitch angle on NSTX-U using SAMI's unique 2-D Doppler-backscattering capability.

  3. Optimization and analysis of shape of coaxial electrode for microwave plasma in water

    International Nuclear Information System (INIS)

    Hattori, Yoshiaki; Mukasa, Shinobu; Nomura, Shinfuku; Toyota, Hiromichi

    2010-01-01

    The effect of the shape of the electrode to generate 2.45 GHz microwave plasma in pure water is examined. Three variations of a common coaxial electrode are proposed, and compared according to the power required for plasma ignition and the position of plasma ignition in pure water at 6 kPa using a high-speed camera. These coaxial electrodes are calculated using three-dimensional finite-difference time-domain method calculations. The superior shape of coaxial electrode is found to be one with a flat plane on the tip of the inner electrode and dielectric substance located below the tip of the outer electrode. The position of the plasma ignition is related to the shape of the coaxial electrode. By solving the heat-conduction equation of water around the coaxial electrode taking into account the absorption of the microwave energy, the position of the plasma ignition is found to be not where electric field is the largest, but rather where temperature is maximized.

  4. Direct-reading type microwave interferometer

    International Nuclear Information System (INIS)

    Matsuura, Kiyokata; Fujita, Junji; Ogata, Atsushi; Haba, Kiichiro.

    1977-10-01

    A new microwave interferometer has been developed and applied to the electron density measurement on JIPP T-II plasma device. The interferometer generates an output voltage proportional to the number of fringe shifts and also output pulses which indicate the change of electron density for the convenience of data processing, where the resolution is a quarter of fringe shift. The principle is based on the digitization of fringe shifts utilizing the phase detection of microwave signals with two-level modulation of source frequency. With this system and 70 GHz microwave source, a change of electron density as rapid as about 2 x 10 13 cm -3 in 1 ms has been measured at the tokamak operation of JIPP T-II. (auth.)

  5. Improved microwave shielding behavior of carbon nanotube-coated PET fabric using plasma technology

    International Nuclear Information System (INIS)

    Haji, Aminoddin; Semnani Rahbar, Ruhollah; Mousavi Shoushtari, Ahmad

    2014-01-01

    Four different procedures were conducted to load amine functionalized multiwall carbon nanotube (NH 2 -MWCNT) onto poly (ethylene terephthalate) (PET) fabric surface to obtain a microwave shielding sample. Plasma treated fabric which was subsequently coated with NH 2 -MWCNT in the presence of acrylic acid was chosen as the best sample. Surface changes in the PET fabrics were investigated by X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Wide-angle X-ray diffraction was used to study the crystalline structure of the PET fabric. The microwave shielding performance of the PET fabrics in term of reflection loss was determined using a network analyzer at X-band (8.2–12.4 GHz). The XPS results revealed that the carbon atomic percentage decreased while the oxygen atomic percentage increased when the fabric was plasma treated and coated with NH 2 -MWCNT. The SEM images showed that the NH 2 -MWCNTs were homogenously dispersed and individually separated in the surface of fabric. Moreover, the structural studies showed that the crystalline region of the fabrics was not affected by NH 2 -MWCNT and plasma treatment. The best microwave absorbing properties were obtained from the plasma treated fabric which was then coated with 10% NH 2 -MWCNT in the presence of acrylic acid. It showed a minimum reflection loss of ∼−18.2 dB about 11 GHz. Proper attachments of NH 2 -MWCNT on the PET fabric surface was explained in the suggested mechanism in which hydrogen bonding and amide linkage are responsible for the achievement of microwave shielding properties with high durability

  6. Upper Hybrid Resonance of Microwaves with a Large Magnetized Plasma Sheet

    International Nuclear Information System (INIS)

    Huo Wenqing; Guo Shijie; Ding Liang; Xu Yuemin

    2013-01-01

    A large magnetized plasma sheet with size of 60 cm × 60 cm × 2 cm was generated by a linear hollow cathode discharge under the confinement of a uniform magnetic field generated by a Helmholtz Coil. The microwave transmission characteristic of the plasma sheet was measured for different incident frequencies, in cases with the electric field polarization of the incident microwave either perpendicular or parallel to the magnetic field. In this measurement, parameters of the plasma sheet were changed by varying the discharge current and magnetic field intensity. In the experiment, upper hybrid resonance phenomena were observed when the electric field polarization of the incident wave was perpendicular to the magnetic field. These resonance phenomena cannot be found in the case of parallel polarization incidence. This result is consistent with theoretical consideration. According to the resonance condition, the electron density values at the resonance points are calculated under various experimental conditions. This kind of resonance phenomena can be used to develop a specific method to diagnose the electron density of this magnetized plasma sheet apparatus. Moreover, it is pointed out that the operating parameters of the large plasma sheet in practical applications should be selected to keep away from the upper hybrid resonance point to prevent signals from polarization distortion

  7. High-Power Plasma Switch for 11.4 GHz Microwave Pulse Compressor

    International Nuclear Information System (INIS)

    Hirshfield, Jay L.

    2010-01-01

    Results obtained in several experiments on active RF pulse compression at X-band using a magnicon as the high-power RF source are presented. In these experiments, microwave energy was stored in high-Q TE01 and TE02 modes of two parallel-fed resonators, and then discharged using switches activated with rapidly fired plasma discharge tubes. Designs and high-power tests of several versions of the compressor are described. In these experiments, coherent pulse superposition was demonstrated at a 5-9 MW level of incident power. The compressed pulses observed had powers of 50-70 MW and durations of 40-70 ns. Peak power gains were measured to be in the range of 7:1-11:1 with efficiency in the range of 50-63%.

  8. Electron beam dynamics in Pasotron microwave sources

    International Nuclear Information System (INIS)

    Carmel, Y.; Shkvarunets, A.; Nusinovich, G.S.; Rodgers, J.; Bliokh, Yu.P.; Goebel, D.M.

    2003-01-01

    The Pasotron is a high efficiency (∼50%), plasma-assisted microwave generator in which the beam electrons exhibit two-dimensional motion in the slow wave structure. The electron beam propagates in the ion-focusing regime (Bennett pinch regime) because there is no applied magnetic field. Since initially only the neutral gas is present in the vacuum system and the ions in the neutralizing plasma channel are produced only due to the beam impact ionization, the beam dynamics in Pasotrons is inherently a nonstationary process, and important for efficient operation. The present paper contains results of experimental studies of stationary and nonstationary effects in the beam dynamics in Pasotrons and their theoretical interpretation

  9. On-line measurement of the microwave power in ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Kang Wu; Hu Yonghong; Li Yan; Lou Benchao; Zu Xiulan; Xiong Riheng; Chen Junguang

    2005-01-01

    It is a new technology that ECR ion source is applied in the neutron generator. Because of effect of the structure, working state of ECR ion source could not be judged by the color of gas discharging in discharging chamber as doing in high frequency ion source. Therefore, state adjusting of ECR ion source was difficult in running of the neutron generator. The method to resolve the question is described in this paper. The micro-wave power was measured in case of running by using the method of directional coupler adding small microwave power meter. Because both were in the direct proportion, the ion beam current could be educed from microwave incidence power measured, and discharge state in discharge chamber could be judged. Finally, the neutron generator might be operated in best running state. (authors)

  10. Plasma upflows and microwave emission in hot supra-arcade structure associated with AN M1.6 limb flare

    International Nuclear Information System (INIS)

    Kim, S.; Shibasaki, K.; Bain, H.-M.; Cho, K.-S.

    2014-01-01

    We have investigated a supra-arcade structure associated with an M1.6 flare, which occurred on the south-east limb on 2010 November 4. It is observed in EUV with the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory, microwaves at 17 and 34 GHz with the Nobeyama Radioheliograph (NoRH), and soft X-rays of 8-20 keV with RHESSI. Interestingly, we found exceptional properties of the supra-arcade thermal plasma from the AIA 131 Å and the NoRH: (1) plasma upflows along large coronal loops and (2) enhancing microwave emission. RHESSI detected two soft X-ray sources, a broad one in the middle of the supra-arcade structure and a bright one just above the flare-arcade. We estimated the number density and thermal energy for these two source regions during the decay phase of the flare. In the supra-arcade source, we found that there were increases of the thermal energy and the density at the early and last stages, respectively. On the contrary, the density and thermal energy of the source on the top of the flare-arcade decreases throughout. The observed upflows imply that there is continuous energy supply into the supra-arcade structure from below during the decay phase of the flare. It is hard to explain by the standard flare model in which the energy release site is located high in the corona. Thus, we suggest that a potential candidate of the energy source for the hot supra-arcade structure is the flare-arcade, which has exhibited a predominant emission throughout.

  11. Microwave Triggered Laser Ionization of Air

    Science.gov (United States)

    Vadiee, Ehsan; Prasad, Sarita; Jerald Buchenauer, C.; Schamiloglu, Edl

    2012-10-01

    The goal of this work is to study the evolution and dynamics of plasma expansion when a high power microwave (HPM) pulse is overlapped in time and space on a very small, localized region of plasma formed by a high energy laser pulse. The pulsed Nd:YAG laser (8 ns, 600mJ, repetition rate 10 Hz) is focused to generate plasma filaments in air with electron density of 10^17/cm^3. When irradiated with a high power microwave pulse these electrons would gain enough kinetic energy and further escalate avalanche ionization of air due to elastic electron-neutral collisions thereby causing an increased volumetric discharge region. An X-band relativistic backward wave oscillator(RBWO) at the Pulsed Power,Beams and Microwaves laboratory at UNM is constructed as the microwave source. The RBWO produces a microwave pulse of maximum power 400 MW, frequency of 10.1 GHz, and energy of 6.8 Joules. Special care is being given to synchronize the RBWO and the pulsed laser system in order to achieve a high degree of spatial and temporal overlap. A photodiode and a microwave waveguide detector will be used to ensure the overlap. Also, a new shadowgraph technique with a nanosecond time resolution will be used to detect changes in the shock wave fronts when the HPM signal overlaps the laser pulse in time and space.

  12. The acceleration of a gaseous plasma by intense microwave fields in a constant inhomogeneous magnetic field

    International Nuclear Information System (INIS)

    Mourier, Georges

    1971-01-01

    A gaseous plasma excited by a powerful microwave source (up to 300 kW) was studied theoretically and experimentally. The large amplitude electric field excites, in a constant inhomogeneous magnetic field, a plasma near to the electron cyclotron resonance. These particles are accelerated to energies of between 100 and 10000 eV and subsequently drift to the regions of lower magnetic field. The ions are accelerated by the resulting electrostatic forces. Ion and electron currents of some tens of milli-amperes to a few amperes are obtained. The energy of the electrons is limited by their relativistic mass; a three-dimensional of space charge model is set up to describe the particle flow. (author) [fr

  13. Experimental progress on virtual-cathode very high power microwave source development

    International Nuclear Information System (INIS)

    Fazio, M.V.; Hoeberling, R.F.

    1987-01-01

    The evolution of rf accelerator technology toward high-power, high-current, low-emittance beams produces an ever-increasing demand for efficient, very high power microwave sources. The present klystron technology has performed very well but is not expected to produce reliable gigawatt peak-power units in the 1- to 10-GHz regime. Further major advancements must involve other types of sources. The reflexing electron sources can produce microwave powers at the gigawatt level and have demonstrated operation from 800 MHz to 40 GHz. Pulse length appears to be limited by electron-beam diode closure, and reflexing electron devices have been operated in a repetitively pulsed mode. An experiment is under way to investigate concepts to stabilize the frequency of the virtual cathode source. If one can successfully frequency and phase lock this source to an external signal, then this source can operate as a very high power microwave amplifier making it practical for accelerator applications. The progress on an experiment to test these concepts will be discussed

  14. Effect of plasma formation on electron pinching and microwave emission in a virtual cathode oscillator

    International Nuclear Information System (INIS)

    Yatsuzuka, M.; Nakayama, M.; Nobuhara, S.; Young, D.; Ishihara, O.

    1996-01-01

    Time and spatial evolutions of anode and cathode plasmas in a vircator diode were observed with a streak camera. A cathode plasma appeared immediately after the rise of a beam current and was followed by an anode plasma typically after about 30 ns. Both plasmas expanded with almost the same speed of order of 104 m/s. The anode plasma was confirmed as a hydrogen plasma with an optical filter for H β line and study of anode-temperature rise. Electron beam pinching immediately followed by microwave emission was observed at the beam current less than the critical current for diode pinching in the experiment and the simulation. The electron beam current in the diode region is well characterized by the electron space-charge-limited current in bipolar flow with the expanding plasmas between the anode-cathode gap. As a result, electron bombardment produced the anode plasma, which made the electron beam strongly pinched, resulting in virtual cathode formation and microwave emission. (author). 5 figs., 5 refs

  15. Heat transfer within a concrete slab with a finite microwave heating source

    International Nuclear Information System (INIS)

    Lagos, L.E.; Li, W.; Ebadian, M.A.; Grubb, R.G.

    1995-01-01

    In the present paper, the concrete decontamination and decommissioning process with a finite microwave heating source is investigated theoretically. For the microwave induced heating pattern, a multilayer concrete slab, which includes steel reinforcement mesh, is assumed to be exposed to a finite plane microwave source at normal incidence. Two-dimensional heat transport within the concrete is also considered to evaluate the variations of temperature with heating time at different frequencies with and without the presence of the reinforcement bars. Four commonly used industrial microwave frequencies of 0.896, 2.45, 10.6 and 18.0 GHz have been selected. The results revealed that as the microwave frequency increases to, or higher than 10.6 GHz, the maximum temperature shifts toward the front surface of the concrete. It was found that the presence of a steel reinforcement mesh causes part of the microwave energy to be blocked and reflected. Furthermore, it was observed that the temperature distribution is nearly uniform within the dimensions of the microwave applicator for a high microwave power intensity and a short heating time. (author)

  16. Improved microwave shielding behavior of carbon nanotube-coated PET fabric using plasma technology

    Energy Technology Data Exchange (ETDEWEB)

    Haji, Aminoddin, E-mail: Ahaji@iaubir.ac.ir [Department of Textile Engineering, Birjand Branch, Islamic Azad University, Birjand (Iran, Islamic Republic of); Semnani Rahbar, Ruhollah [Department of Textile and Leather, Faculty of Chemistry and Petrochemical Engineering, Standard Research Institute, Karaj (Iran, Islamic Republic of); Mousavi Shoushtari, Ahmad [Textile Engineering Department, Amirkabir University of Technology, Tehran (Iran, Islamic Republic of)

    2014-08-30

    Four different procedures were conducted to load amine functionalized multiwall carbon nanotube (NH{sub 2}-MWCNT) onto poly (ethylene terephthalate) (PET) fabric surface to obtain a microwave shielding sample. Plasma treated fabric which was subsequently coated with NH{sub 2}-MWCNT in the presence of acrylic acid was chosen as the best sample. Surface changes in the PET fabrics were investigated by X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Wide-angle X-ray diffraction was used to study the crystalline structure of the PET fabric. The microwave shielding performance of the PET fabrics in term of reflection loss was determined using a network analyzer at X-band (8.2–12.4 GHz). The XPS results revealed that the carbon atomic percentage decreased while the oxygen atomic percentage increased when the fabric was plasma treated and coated with NH{sub 2}-MWCNT. The SEM images showed that the NH{sub 2}-MWCNTs were homogenously dispersed and individually separated in the surface of fabric. Moreover, the structural studies showed that the crystalline region of the fabrics was not affected by NH{sub 2}-MWCNT and plasma treatment. The best microwave absorbing properties were obtained from the plasma treated fabric which was then coated with 10% NH{sub 2}-MWCNT in the presence of acrylic acid. It showed a minimum reflection loss of ∼−18.2 dB about 11 GHz. Proper attachments of NH{sub 2}-MWCNT on the PET fabric surface was explained in the suggested mechanism in which hydrogen bonding and amide linkage are responsible for the achievement of microwave shielding properties with high durability.

  17. Note: Easy-to-maintain electron cyclotron resonance (ECR) plasma sputtering apparatus featuring hybrid waveguide and coaxial cables for microwave delivery

    Energy Technology Data Exchange (ETDEWEB)

    Akazawa, Housei, E-mail: akazawa.housei@lab.ntt.co.jp [NTT Device Innovation Center, Morinosato Wakamiya, Atsugi, Kanagawa 243-0198 (Japan)

    2016-06-15

    The branched-waveguide electron cyclotron resonance plasma sputtering apparatus places quartz windows for transmitting microwaves into the plasma source not in the line of sight of the target. However, the quartz windows must be replaced after some time of operation. For maintenance, the loop waveguide branching from the T-junction must be dismounted and re-assembled accurately, which is a time-consuming job. We investigated substituting the waveguide branches with two sets of coaxial cables and waveguide/coaxial cable converters to simplify assembly as far as connection and disconnection go. The resulting hybrid system worked well for the purposes of plasma generation and film deposition.

  18. Note: Easy-to-maintain electron cyclotron resonance (ECR) plasma sputtering apparatus featuring hybrid waveguide and coaxial cables for microwave delivery

    Science.gov (United States)

    Akazawa, Housei

    2016-06-01

    The branched-waveguide electron cyclotron resonance plasma sputtering apparatus places quartz windows for transmitting microwaves into the plasma source not in the line of sight of the target. However, the quartz windows must be replaced after some time of operation. For maintenance, the loop waveguide branching from the T-junction must be dismounted and re-assembled accurately, which is a time-consuming job. We investigated substituting the waveguide branches with two sets of coaxial cables and waveguide/coaxial cable converters to simplify assembly as far as connection and disconnection go. The resulting hybrid system worked well for the purposes of plasma generation and film deposition.

  19. Comparison between off-resonance and electron Bernstein waves heating regime in a microwave discharge ion source

    Energy Technology Data Exchange (ETDEWEB)

    Castro, G.; Di Giugno, R.; Miracoli, R. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Universita degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S. Sofia 64, 95123 Catania (Italy); Mascali, D. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Romano, F. P. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CNR-IBAM Via Biblioteca 4, 95124 Catania (Italy); Celona, L.; Gammino, S.; Lanaia, D.; Ciavola, G. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Serafino, T. [CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Di Bartolo, F. [Universita di Messina, Ctr. da Papardo-Sperone, 98100 Messina (Italy); Gambino, N. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Universita degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S. Sofia 64, 95123 Catania (Italy); IET-Institute of Energy Technology, LEC-Laboratory for Energy Conversion, ETH Zurich, Sonneggstrasse 3, CH-8092 Zurich (Switzerland)

    2012-02-15

    A microwave discharge ion source (MDIS) operating at the Laboratori Nazionali del Sud of INFN, Catania has been used to compare the traditional electron cyclotron resonance (ECR) heating with an innovative mechanisms of plasma ignition based on the electrostatic Bernstein waves (EBW). EBW are obtained via the inner plasma electromagnetic-to-electrostatic wave conversion and they are absorbed by the plasma at cyclotron resonance harmonics. The heating of plasma by means of EBW at particular frequencies enabled us to reach densities much larger than the cutoff ones. Evidences of EBW generation and absorption together with X-ray emissions due to high energy electrons will be shown. A characterization of the discharge heating process in MDISs as a generalization of the ECR heating mechanism by means of ray tracing will be shown in order to highlight the fundamental physical differences between ECR and EBW heating.

  20. Summary report for the Microwave Source Working Group

    International Nuclear Information System (INIS)

    Westenskow, G.A.

    1997-01-01

    This report summarizes the discussions of the Microwave Source Working Group during the Advanced Accelerator Concepts Workshop held October 13-19, 1996 in the Granlibakken Conference Center at Lake Tahoe, California. Progress on rf sources being developed for linear colliders is reviewed. Possible choices for high-power rf sources at 34 GHz and 94 GHz for future colliders are examined. 27 refs

  1. Summary report for the Microwave Source Working Group

    Energy Technology Data Exchange (ETDEWEB)

    Westenskow, G.A.

    1997-01-01

    This report summarizes the discussions of the Microwave Source Working Group during the Advanced Accelerator Concepts Workshop held October 13-19, 1996 in the Granlibakken Conference Center at Lake Tahoe, California. Progress on rf sources being developed for linear colliders is reviewed. Possible choices for high-power rf sources at 34 GHz and 94 GHz for future colliders are examined. 27 refs.

  2. The 26th IEEE international conference on plasma science

    International Nuclear Information System (INIS)

    1999-01-01

    Some of the sessions covered by this conference are: Basic Processes in Fully and Partially Ionized Plasmas; Slow Wave Devices; Laser-Produced Plasma; Non-Equilibrium Plasma Processing; Space Plasmas and Partially Ionized Gases; Microwave Plasmas; Inertial Confinement Fusion; Plasma Diagnostics; Computational Plasma Physics; Microwave Systems; Laser Produced Plasmas and Dense Plasma Focus; Intense Electron and Ion Beams; Fast Wave Devices; Spherical Configurations and Ball Lightning; Thermal Plasma Chemistry and Processing and Environmental Issues in Plasma Science; Plasma, Ion, and Electron Sources; Fast Wave Devices and Intense Beams; Fast Z-pinches and X-ray Lasers; Plasma Opening Switches; Plasma for Lighting; Intense Beams; Vacuum Microwaves; Magnetic Fusion Energy; and Plasma Thrusters and Arcs. Separate abstracts were prepared for some of the papers in this volume

  3. A Permanent-Magnet Microwave Ion Source for a Compact High-Yield Neutron Generator

    International Nuclear Information System (INIS)

    Waldmann, Ole; Ludewigt, Bernhard

    2010-01-01

    We present recent work on the development of a microwave ion source that will be used in a high-yield compact neutron generator for active interrogation applications. The sealed tube generator will be capable of producing high neutron yields, 5 · 10 11 n/s for D-T and ∼ 1 · 10 10 n/s for D-D reactions, while remaining transportable. We constructed a microwave ion source (2.45 GHz) with permanent magnets to provide the magnetic field strength of 87.5 mT necessary for satisfying the electron cyclotron resonance (ECR) condition. Microwave ion sources can produce high extracted beam currents at the low gas pressures required for sealed tube operation and at lower power levels than previously used RF-driven ion sources. A 100 mA deuterium/tritium beam will be extracted through a large slit (60 · 6 mm 2 ) to spread the beam power over a larger target area. This paper describes the design of the permanent-magnet microwave ion source and discusses the impact of the magnetic field design on the source performance. The required equivalent proton beam current density of 40 mA/cm 2 was extracted at a moderate microwave power of 400 W with an optimized magnetic field.

  4. Microwave and plasma-assisted modification of composite fiber surface topography

    Science.gov (United States)

    Paulauskas, Felix L [Knoxville, TN; White, Terry L [Knoxville, TN; Bigelow, Timothy S [Knoxville, TN

    2003-02-04

    The present invention introduces a novel method for producing an undulated surface on composite fibers using plasma technology and microwave radiation. The undulated surface improves the mechanical interlocking of the fibers to composite resins and enhances the mechanical strength and interfacial sheer strength of the composites in which they are introduced.

  5. Effect of plasma formation on electron pinching and microwave emission in a virtual cathode oscillator

    Energy Technology Data Exchange (ETDEWEB)

    Yatsuzuka, M; Nakayama, M; Nobuhara, S [Himeji Institute of Technology (Japan); Young, D; Ishihara, O [Texas Tech Univ., Lubbock, TX (United States)

    1997-12-31

    Time and spatial evolutions of anode and cathode plasmas in a vircator diode were observed with a streak camera. A cathode plasma appeared immediately after the rise of a beam current and was followed by an anode plasma typically after about 30 ns. Both plasmas expanded with almost the same speed of order of 104 m/s. The anode plasma was confirmed as a hydrogen plasma with an optical filter for H{sub {beta}} line and study of anode-temperature rise. Electron beam pinching immediately followed by microwave emission was observed at the beam current less than the critical current for diode pinching in the experiment and the simulation. The electron beam current in the diode region is well characterized by the electron space-charge-limited current in bipolar flow with the expanding plasmas between the anode-cathode gap. As a result, electron bombardment produced the anode plasma, which made the electron beam strongly pinched, resulting in virtual cathode formation and microwave emission. (author). 5 figs., 5 refs.

  6. Diagnostics of microdischarge-integrated plasma sources for display and materials processing

    International Nuclear Information System (INIS)

    Tachibana, K; Kishimoto, Y; Kawai, S; Sakaguchi, T; Sakai, O

    2005-01-01

    Two different types of microdischarge-integrated plasma sources have been operated at around the atmospheric pressure range. The discharge characteristics were diagnosed by optical emission spectroscopy (OES), laser absorption spectroscopy (LAS) and microwave transmission (MT) techniques. The dynamic spatiotemporal behaviour of excited atoms was analysed using OES and LAS and the temporal behaviour of the electron density was estimated using the MT method. In Ar and Xe/Ne gases, waveforms of the MT signal followed the current waveform in the rise period and lasted longer according to the recombination losses. However, in He the waveform followed the density of metastable atoms, reflecting the production of a large amount of electrons by the Penning ionization process with impurities. The estimated peak electron density in those plasma sources is of the order of 10 12 cm -3 , and the metastable atom density can reach 10 13 cm -3 . Thus, it is suggested that these sources can be potentially applied to convenient material processing tools of large area operated stably at atmospheric pressure

  7. Characterization of microwave plasma in a multicusp using 2D emission based tomography: Bessel modes and wave absorption

    Science.gov (United States)

    Rathore, Kavita; Bhattacharjee, Sudeep; Munshi, Prabhat

    2017-06-01

    A tomographic method based on the Fourier transform is used for characterizing a microwave plasma in a multicusp (MC), in order to obtain 2D distribution of plasma emissions, plasma (electron) density (Ne) and temperature (Te). The microwave plasma in the MC is characterized as a function of microwave power, gas pressure, and axial distance. The experimentally obtained 2D emission profiles show that the plasma emissions are generated in a circular ring shape. There are usually two bright rings, one at the plasma core and another near the boundary. The experimental results are validated using a numerical code that solves Maxwell's equations inside a waveguide filled with a plasma in a magnetic field, with collisions included. It is inferred that the dark and bright circular ring patterns are a result of superposition of Bessel modes (TE11 and TE21) of the wave electric field inside the plasma filled MC, which are in reasonable agreement with the plasma emission profiles. The tomographically obtained Ne and Te profiles indicate higher densities in the plasma core (˜1010 cm-3) and enhanced electron temperature in the ECR region (˜13 eV), which are in agreement with earlier results using a Langmuir probe and optical emission spectroscopy (OES) diagnostics.

  8. Discharge physics and chemistry of a novel atmospheric pressure plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Park, J.; Henins, I.; Hermann, J.W.; Selwyn, G.S.; Jeong, J.Y.; Hickis, R.

    1999-07-01

    The atmospheric pressure plasma jet (APPJ) is a unique plasma source operating at atmospheric pressure. The APPJ operates with RF power and produces a stable non-thermal discharge in capacitively-coupled configuration. The discharge is spatially and temporally homogeneous and provides a unique gas phase chemistry that is well suited for various applications including etching, film deposition, surface treatment and decontamination of chemical and biological warfare (CBW) agents. A theoretical model shows electron densities of 0.2--2 x 10{sup 11} cm{sup {minus}3} for a helium discharge at a power level of 3--30 W cm{sup {minus}3}. The APPJ also produces a large flux, equivalent of up to 10,000 monolayer s{sup {minus}1}, of chemically-active, atomic and metastable molecular species which can impinge surfaces several cm downstream of the confined source. In addition, the efforts are in progress to measure the electron density using microwave diagnostics and to benchmark the gas phase chemical model by using LIF and titration.

  9. Dynamic of ozone formation in nanosecond microwave discharges

    International Nuclear Information System (INIS)

    Akhmedzhanov, R.A.; Vikharev, A.L.; Gorbachev, A.M.

    1995-01-01

    Nanosecond gas discharges are efficient sources of chemically active plasma. Studies of the nanosecond microwave discharge are interesting for remote modification of the chemical composition of the atmosphere in term of its purification, for diagnostics of impurities and ozone replenishment in the regions of local open-quotes ozone holesclose quotes. In this connection a study of plasma chemical processes in such a discharge seems appropriate, as well as modeling of ecological consequences of the effect of powerful microwave radiation on the atmosphere. The present paper contains generalized results of studying the process of ozone formation in a pulse-periodic freely localized nanosecond microwave discharge. The experiments were performed in a wide range of parameters: microwave radiation wavelength λ = 0.8 and 3cm, pulse duration τ = 6 and 500ns, pulse power P = 50kW and 20MW, pulse repetition rate F = 1-10 3 Hz. The working gases were air and oxygen under pressure P = 10-100Torr. As a source of the microwave radiation a pulse magnetron was used with a device for pulse compression based on the waveguide resonator, and a relativistic microwave generator. The discharge was produced in the focus of the parabolic mirror and had the form of homogeneous cylinder. The plasma chemical processes were studied in two cases. The discharge was created either in the quartz tube placed along the focal line of the mirror or in the free air. Dynamics of formation of ozone and nitrogen oxides in the discharge was studied by means of absorption spectroscopy in the regime of accumulation of the products of chemical reactions (in a closed volume) and their diffusion spreading

  10. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  11. Plasma Diagnostics by Microwave Interferometry in MHD Channels with the Aid of an Open Waveguide

    Energy Technology Data Exchange (ETDEWEB)

    Muenkel, J. [Rheinische-Westfalische Technische Hochschule Aachen, Federal Republic of Germany (Germany)

    1966-10-15

    Plasma diagnostics of a novel kind, using microwave interferometry, is described. Use is made of an open non-conventional waveguide in the test path of the microwave bridge. Guiding the microwave has several advantages over free transmission of the test h.f. beam between two horn antennas if there are small plasma streams bounded by ceramics and metals as in the case of MHD channels. There are less unknown and uncontrolled disturbances of the electromagnetic waves introduced by the boundaries. On the other hand most guiding structures disturb the homogeneity of the streaming plasma (cf. arrangements with Lecher wires, dielectric rods, etc.); the waveguide used here does not do so. This waveguide, a so-called groove guide, consists of two parallel metal plates or bands with a shallow axially-directed groove in each. The plasma stream to be tested flows between these plates in a direction perpendicular to the direction of propagation of the microwaves. The groove guide has properties similar to the ideal parallel-plate guide with infinite side wards extension, but the energy flow is concentrated in the middle region by the grooves. An approximate analysis, the transverse resonance analysis, has been used to calculate the field distribution and propagation characteristics of the guide. Because of the cross-sectional dimensions of the MHD channel in question (height 16 mm) and the wavelength (4 mm) chosen, considering the expected electron density, the groove guide had to be built for use in an oversized quasi-optical technique. The transition from rectangular (hollow pipe) guide to the open guide is done in two steps. With a good knowledge of the groove guide data and an appropriate theory of propagation of electromagnetic waves in ionized media, measuring phase shift and additional damping of the microwaves by introduction of the ionized gas allows the electron density and collision frequency, two of the most important plasma parameters, to be evaluated. The system

  12. Spectroscopic and probe measurements of the electron temperature in the plasma of a pulse-periodic microwave discharge in argon

    Energy Technology Data Exchange (ETDEWEB)

    Andreev, V. V., E-mail: vvandreev@mail.ru; Vasileska, I., E-mail: ivonavasileska@yahoo.com; Korneeva, M. A., E-mail: korneevama@mail.ru [Peoples’ Friendship University of Russia (Russian Federation)

    2016-07-15

    A pulse-periodic 2.45-GHz electron-cyclotron resonance plasma source on the basis of a permanent- magnet mirror trap has been constructed and tested. Variations in the discharge parameters and the electron temperature of argon plasma have been investigated in the argon pressure range of 1 × 10{sup –4} to 4 × 10{sup –3} Torr at a net pulsed input microwave power of up to 600 W. The plasma electron temperature in the above ranges of gas pressures and input powers has been measured by a Langmuir probe and determined using optical emission spectroscopy (OES) from the intensity ratios of spectral lines. The OES results agree qualitatively and quantitatively with the data obtained using the double probe.

  13. Plasma electron density measurement with multichannel microwave interferometer on the HL-1 tokamak device

    International Nuclear Information System (INIS)

    Xu Deming; Zhang Hongyin; Liu Zetian; Ding Xuantong; Li Qirui; Wen Yangxi

    1989-11-01

    A multichannel microwave interferometer which is composed of different microwave interferometers (one 2 mm band, one 4 mm band and two 8 mm band) has been used to measure the plasma electron density on HL-1 tokamak device. The electron density approaching to 5 x 10 13 cm -3 is measured by a 2 mm band microwave interferometer. In the determinable range, the electron density profile in the cross-section on HL-1 device has been measured by this interferometer. A microcomputer data processing system is also developed

  14. Development of Remote Control and Interlock System for the PEFP Microwave Ion Source

    International Nuclear Information System (INIS)

    Song, Young Gi; Seol, Kyung Tae; Kwon, Hyeok Jung; Jang, Ji Ho; Cho, Yong Sub

    2011-01-01

    The control system for a microwave ion source as an isolated high voltage device is a main part of the PEFP distributed control system. The system is used to control two sets of microwave ion sources, the remote control and the interlock system. A VME system with an embedded Power PC CPU is used as main computer. The VME system is dedicated to control and monitoring of the ion source operation. An isolated control system has been designed and developed for remote control and monitoring of a microwave generator and various power supplies. As the source is placed on high voltage platform, optical fiber isolation has been used between the serial to optical fiber VME I/O board and the control system on the high voltage platform. These are connected through RS232 serial interface. A fast Ethernet is used to communicate between the microwave ion source control system and other control stations in the PEFP control system. EPICS toolkit is adopted to provide network programming and user interface by using EPICS Channel Access (CA)

  15. High-power microwave transmission systems for electron cyclotron resonance plasma heating

    International Nuclear Information System (INIS)

    Vernon, R.J.

    1990-08-01

    This progress report is for the fifth year of a grant from the US Department of Energy (Contract FG02-85ER52122) for the design, development, and fabrication of ECRF transmission and mode conversion systems to transport microwave power from a gyrotron, or other high power source, to a magnetically confined plasma. (This period is also the second year covered by a three-year renewal proposal submitted in June of 1988.) The development of new and improved components for such systems and underlying theory, where necessary, is the focus of this project. Devising and improving component testing and diagnostic techniques is also an important part of this effort. The development of possible designs for sections of gyrotrons themselves, such as tapers or Vlasov-type launchers, in support of the Varian gyrotron development program is also considered in this work

  16. MICROWAVE NOISE MEASUREMENT OF ELECTRON TEMPERATURES IN AFTERGLOW PLASMAS

    Energy Technology Data Exchange (ETDEWEB)

    Leiby, Jr., C. C.; McBee, W. D.

    1963-10-15

    Transient electron temperatures in afterglow plasmas were determined for He (5 and 10 torr), Ne, and Ne plus or minus 5% Ar (2.4 and 24 torr) by combining measurements of plasma microwave noise power, and plasma reflectivity and absorptivity. Use of a low-noise parametric preamplifier permitted continuous detection during the afterglow of noise power at 5.5 Bc in a 1 Mc bandwidth. Electron temperature decays were a function of pressure and gas but were slower than predicted by electron energy loss mechanisms. The addition of argon altered the electron density decay in the neon afterglow but the electron temperature decay was not appreciably changed. Resonances in detected noise power vs time in the afterglow were observed for two of the three plasma waveguide geometries studied. These resonances correlate with observed resonances in absorptivity and occur over the same range of electron densities for a given geometry independent of gas type and pressure. (auth)

  17. Removal of volatile organic compounds by a high pressure microwave plasma torch

    International Nuclear Information System (INIS)

    Rubio, S.J.; Quintero, M.C.; Rodero, A.; Alvarez, R.

    2004-01-01

    A helium microwave plasma torch was studied and optimised as a destruction system of volatile organic compounds. Attention was focused on trichloroethylene as a prototypical volatile organic compound, which is used technologically and which poses known health risks. The dependence of the destruction efficiency on the plasma conditions was obtained for different values of trichloroethylene concentrations. The results show a destruction and removal efficiency greater than 99.999% (Authors)

  18. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  19. A new coaxial high power microwave source based on dual beams

    Energy Technology Data Exchange (ETDEWEB)

    Li, Yangmei, E-mail: sunberry1211@hotmail.com; Zhang, Xiaoping; Qi, Zumin; Dang, Fangchao; Qian, Baoliang [College of Optoelectric Science and Engineering, National University of Defense Technology, Changsha 410073 (China)

    2014-05-15

    We present a new coaxial high power microwave source based on dual beams, which combines a relativistic backward wave oscillator (RBWO) (noted as the inner sub-source below) and a coaxial transit-time oscillator (TTO) (noted as the outer sub-source). The cathode consists of an inner and an outer annular cathode, which provides the inner and the outer annular electron beam for the sub-sources, respectively. Particle-in-cell (PIC) simulation results demonstrate that power conversion efficiencies of the two sub-sources with an identical frequency of 9.74 GHz are 29% and 25%, respectively. It is furthermore found that phase locking between the inner and the outer sub-sources can be realized, which suggests a feasibility to obtain a higher power output if the two microwave signals are coherently combined.

  20. A new coaxial high power microwave source based on dual beams

    International Nuclear Information System (INIS)

    Li, Yangmei; Zhang, Xiaoping; Qi, Zumin; Dang, Fangchao; Qian, Baoliang

    2014-01-01

    We present a new coaxial high power microwave source based on dual beams, which combines a relativistic backward wave oscillator (RBWO) (noted as the inner sub-source below) and a coaxial transit-time oscillator (TTO) (noted as the outer sub-source). The cathode consists of an inner and an outer annular cathode, which provides the inner and the outer annular electron beam for the sub-sources, respectively. Particle-in-cell (PIC) simulation results demonstrate that power conversion efficiencies of the two sub-sources with an identical frequency of 9.74 GHz are 29% and 25%, respectively. It is furthermore found that phase locking between the inner and the outer sub-sources can be realized, which suggests a feasibility to obtain a higher power output if the two microwave signals are coherently combined

  1. Microwave-assisted nonionic surfactant extraction of aliphatic hydrocarbons from petroleum source rock

    Energy Technology Data Exchange (ETDEWEB)

    Akinlua, A., E-mail: geochemresearch@yahoo.com [Fossil Fuels and Environmental Geochemistry Group, Department of Chemistry, Obafemi Awolowo University, Ile-Ife (Nigeria); Jochmann, M.A.; Laaks, J.; Ewert, A.; Schmidt, T.C. [Instrumental Analytical Chemistry, University Duisburg-Essen, Universitaetsstr, 5, 45141 Essen (Germany)

    2011-04-08

    The extraction of aliphatic hydrocarbons from petroleum source rock using nonionic surfactants with the assistance of microwave was investigated and the conditions for maximum yield were determined. The results showed that the extraction temperatures and kinetic rates have significant effects on extraction yields of aliphatic hydrocarbons. The optimum temperature for microwave-assisted nonionic surfactant extraction of aliphatic hydrocarbons from petroleum source rock was 105 deg. C. The optimum extraction time for the aliphatic hydrocarbons was at 50 min. Concentration of the nonionic surfactant solution and irradiation power had significant effect on the yields of aliphatic hydrocarbons. The yields of the analytes were much higher using microwave assisted nonionic surfactant extraction than with Soxhlet extraction. The recoveries of the n-alkanes and acyclic isoprenoid hydrocarbons for GC-MS analysis from the extractant nonionic surfactant solution by in-tube extraction (ITEX 2) with a TENAX TA adsorbent were found to be efficient. The results show that microwave-assisted nonionic surfactant extraction (MANSE) is a good and efficient green analytical preparatory technique for geochemical evaluation of petroleum source rock.

  2. Microwave-assisted nonionic surfactant extraction of aliphatic hydrocarbons from petroleum source rock

    International Nuclear Information System (INIS)

    Akinlua, A.; Jochmann, M.A.; Laaks, J.; Ewert, A.; Schmidt, T.C.

    2011-01-01

    The extraction of aliphatic hydrocarbons from petroleum source rock using nonionic surfactants with the assistance of microwave was investigated and the conditions for maximum yield were determined. The results showed that the extraction temperatures and kinetic rates have significant effects on extraction yields of aliphatic hydrocarbons. The optimum temperature for microwave-assisted nonionic surfactant extraction of aliphatic hydrocarbons from petroleum source rock was 105 deg. C. The optimum extraction time for the aliphatic hydrocarbons was at 50 min. Concentration of the nonionic surfactant solution and irradiation power had significant effect on the yields of aliphatic hydrocarbons. The yields of the analytes were much higher using microwave assisted nonionic surfactant extraction than with Soxhlet extraction. The recoveries of the n-alkanes and acyclic isoprenoid hydrocarbons for GC-MS analysis from the extractant nonionic surfactant solution by in-tube extraction (ITEX 2) with a TENAX TA adsorbent were found to be efficient. The results show that microwave-assisted nonionic surfactant extraction (MANSE) is a good and efficient green analytical preparatory technique for geochemical evaluation of petroleum source rock.

  3. The microwave and H-alpha sources of the 1992 January 13 flare

    Science.gov (United States)

    Wang, H.; Gary, D. E.; Zirin, H.; Kosugi, T.; Schwartz, R. A.; Linford, G.

    1995-01-01

    We compare X-ray, microwave and H-alpha observations for the 1992 January 13 limb flare. The soft and hard X-ray images of the flare have been studied thoroughly by Masuda et al. (1994) with Yohkoh SXT and HXT images. We find that during the hard X-ray emission peak there is no H-alpha brightening on the disk nor at the limb, so the main ribbons of this flare must be beyond the limb. The microwave source maintains a fixed distance about 10 arcsecs from the optical limb in the frequency range 2.8-14.0 GHz. We interpret this limit in source position as due to the presence of a microwave limb that extends higher than the white-light limb -- to a height of 7300 +/- 1500 km. We believe that the high-frequency microwave emissions are occulted by this extended limb, while the soft and hard X-ray emissions are able to pass through largely unaffected. We also believe, however, that the hard X-ray footpoints are also partially occulted by the photospheric limb, despite the appearance of 'footpoint sources' in HXT data shown by Masuda et al. The smooth X-ray and microwave time profiles, microwave-rich emission relative to hard X-rays, and progressive hard X-ray spectral hardening through the flare peak are all characteristics that we interpret as being a direct result of the occultation of footpoint emission.

  4. IEEE conference record -- Abstracts: 1996 IEEE international conference on plasma science

    International Nuclear Information System (INIS)

    Anon.

    1996-01-01

    This meeting covered the following topics: space plasmas; non-equilibrium plasma processing; computer simulation of vacuum power tubes; vacuum microelectronics; microwave systems; basic phenomena in partially ionized gases -- gaseous electronics, electrical discharges; ball lightning/spherical plasma configuration; plasma diagnostics; plasmas for lighting; dense plasma focus; intense ion and electron beams; plasma, ion, and electron sources; flat panel displays; fast z-pinches and x-ray lasers; environmental/energy issues in plasma science; thermal plasma processing; computational plasma physics; magnetic confinement fusion; microwave-plasma interactions; space plasma engineering; EM and ETH launchers; fast wave devices; intense beam microwaves; slow wave devices; space plasma measurements; basic phenomena in fully ionized plasma -- waves, instabilities, plasma theory, etc; plasma closing switches; fast opening switches; and laser-produced plasma. Separate abstracts were prepared for most papers in this conference

  5. Quasi-steady carbon plasma source for neutral beam injector

    International Nuclear Information System (INIS)

    Koguchi, H.; Sakakita, H.; Kiyama, S.; Shimada, T.; Sato, Y.; Hirano, Y.

    2014-01-01

    Carbon plasma is successfully sustained during 1000 s without any carrier gas in the bucket type ionization chamber with cusp magnetic field. Every several seconds, seed plasmas having ∼3 ms duration time are injected into the ionization chamber by a shunting arch plasma gun. The weakly ionized carbon plasma ejected from the shunting arch is also ionized by 2.45 GHz microwave at the electron cyclotron resonance surface and the plasma can be sustained even in the interval of gun discharges. Control of the gun discharge interval allows to keep high pressure and to sustain the plasma for long duration

  6. Quasi-steady carbon plasma source for neutral beam injector.

    Science.gov (United States)

    Koguchi, H; Sakakita, H; Kiyama, S; Shimada, T; Sato, Y; Hirano, Y

    2014-02-01

    Carbon plasma is successfully sustained during 1000 s without any carrier gas in the bucket type ionization chamber with cusp magnetic field. Every several seconds, seed plasmas having ∼3 ms duration time are injected into the ionization chamber by a shunting arch plasma gun. The weakly ionized carbon plasma ejected from the shunting arch is also ionized by 2.45 GHz microwave at the electron cyclotron resonance surface and the plasma can be sustained even in the interval of gun discharges. Control of the gun discharge interval allows to keep high pressure and to sustain the plasma for long duration.

  7. Synthesis of Carbon Nanomaterials from Rice Husk via Microwave Oven

    Directory of Open Access Journals (Sweden)

    Muhammad Asnawi

    2018-01-01

    Full Text Available Microwave oven was utilized to fabricate carbon nanostructure, specifically CNTs, from waste RH powders. It has been shown that the use of carbon source, catalyst, and commercial microwave oven to induce plasma is necessary to carry on this synthesis. The plasma enhances and speeds up the catalytic decomposition of RH in presence of ferrocene. FESEM, TGA, and Raman spectroscopy were utilized to confirm the presence and quality of produced carbon nanomaterials. In addition, these results suggest the conversion of ferrocene to iron(II, III oxide with notable conversion rate.

  8. Decomposition of methane hydrate for hydrogen production using microwave and radio frequency in-liquid plasma methods

    International Nuclear Information System (INIS)

    Rahim, Ismail; Nomura, Shinfuku; Mukasa, Shinobu; Toyota, Hiromichi

    2015-01-01

    This research involves two in-liquid plasma methods of methane hydrate decomposition, one using radio frequency wave (RF) irradiation and the other microwave radiation (MW). The ultimate goal of this research is to develop a practical process for decomposition of methane hydrate directly at the subsea site for fuel gas production. The mechanism for methane hydrate decomposition begins with the dissociation process of methane hydrate formed by CH_4 and water. The process continues with the simultaneously occurring steam methane reforming process and methane cracking reaction, during which the methane hydrate is decomposed releasing CH_4 into H_2, CO and other by-products. It was found that methane hydrate can be decomposed with a faster rate of CH_4 release using microwave irradiation over that using radio frequency irradiation. However, the radio frequency plasma method produces hydrogen with a purity of 63.1% and a CH conversion ratio of 99.1%, which is higher than using microwave plasma method which produces hydrogen with a purity of 42.1% and CH_4 conversion ratio of 85.5%. - Highlights: • The decomposition of methane hydrate is proposed using plasma in-liquid method. • Synthetic methane hydrate is used as the sample for decomposition in plasma. • Hydrogen can be produced from decomposition of methane hydrate. • Hydrogen purity is higher when using radio frequency stimulation.

  9. Transmission characteristics of microwave in a glow-discharge dusty plasma

    Science.gov (United States)

    Jia, Jieshu; Yuan, Chengxun; Gao, Ruilin; Liu, Sha; Yue, Feng; Wang, Ying; Zhou, Zhong-Xiang; Wu, Jian; Li, Hui

    2016-07-01

    In this study, the propagation characteristics of electromagnetic wave in a glow discharge plasma with dust particles are experimentally investigated. A helium alternating current glow discharge plasmas have been successfully generated. Measurements of the plasma parameters using Langmuir probes, in the absence of dust particles, provide plasma densities (ne) of 1017 m-3 and electron temperatures (Te) ranging from 2 to 4 eV. Dusty plasmas are made by adding 30 nm radius aluminum oxide (Al2O3) particles into the helium plasma. The density of the dust particle (nd) in the device is about 1011-1012 m-3. The propagation characteristics of electromagnetic waves are determined by a vector network analyzer with 4-6 GHz antennas. An apparent attenuation by the dust is observed, and the measured attenuation data are approximately in accordance with the theoretical calculations. The effects of gas pressure and input power on the propagation are also investigated. Results show that the transmission attenuation increases with the gas pressure and input power, the charged dust particles play a significant role in the microwave attenuation.

  10. Non-equilibrium Microwave Plasma for Efficient High Temperature Chemistry.

    Science.gov (United States)

    van den Bekerom, Dirk; den Harder, Niek; Minea, Teofil; Gatti, Nicola; Linares, Jose Palomares; Bongers, Waldo; van de Sanden, Richard; van Rooij, Gerard

    2017-08-01

    A flowing microwave plasma based methodology for converting electric energy into internal and/or translational modes of stable molecules with the purpose of efficiently driving non-equilibrium chemistry is discussed. The advantage of a flowing plasma reactor is that continuous chemical processes can be driven with the flexibility of startup times in the seconds timescale. The plasma approach is generically suitable for conversion/activation of stable molecules such as CO2, N2 and CH4. Here the reduction of CO2 to CO is used as a model system: the complementary diagnostics illustrate how a baseline thermodynamic equilibrium conversion can be exceeded by the intrinsic non-equilibrium from high vibrational excitation. Laser (Rayleigh) scattering is used to measure the reactor temperature and Fourier Transform Infrared Spectroscopy (FTIR) to characterize in situ internal (vibrational) excitation as well as the effluent composition to monitor conversion and selectivity.

  11. Breakdown simulations in a focused microwave beam within the simplified model

    International Nuclear Information System (INIS)

    Semenov, V. E.; Rakova, E. I.; Glyavin, M. Yu.; Nusinovich, G. S.

    2016-01-01

    The simplified model is proposed to simulate numerically air breakdown in a focused microwave beam. The model is 1D from the mathematical point of view, but it takes into account the spatial non-uniformity of microwave field amplitude along the beam axis. The simulations are completed for different frequencies and different focal lengths of microwave beams. The results demonstrate complicated regimes of the breakdown evolution which represents a series of repeated ionization waves. These waves start at the focal point and propagate towards incident microwave radiation. The ionization wave parameters vary during propagation. At relatively low frequencies, the propagation regime of subsequent waves can also change qualitatively. Each next ionization wave is less pronounced than the previous one, and the breakdown evolution approaches the steady state with relatively small plasma density. The ionization wave parameters are sensitive to the weak source of external ionization, but the steady state is independent on such a source. As the beam focal length decreases, the stationary plasma density increases and the onset of the steady state occurs faster.

  12. ECR Plasma Photos

    International Nuclear Information System (INIS)

    Racz, R.; Biri, S.; Palinkas, J.

    2009-01-01

    Complete text of publication follows. In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from He, methane, N, O, Ne, Ar, Kr, Xe gases and from their mixtures. The effects of the main external setting parameters (gas pressure, gas composition, magnetic field, microwave power, microwave frequency) were studied to the shape, color and structure of the plasma. The double frequency mode (9+14 GHz) was also realized and photos of this special 'star-in-star' shape plasma were recorded. A study was performed to analyze and understand the color of the ECR plasmas. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas. To our best knowledge our work is the first systematic study of ECR plasmas in the visible light region. When looking in the plasma chamber of an ECRIS we can see an axial image of the plasma (figure 1) in conformity with experimental setup. Most of the quantitative information was obtained through the summarised values of the Analogue Digital Unit (ADU) of pixels. By decreasing the strength of the magnetic trap we clearly observed that the brightness of the central part of the plasma gradually decreases, i.e. the plasma becomes more and more 'empty'. Figure 2 shows a photo series of ECR plasma at decreasing axial magnetic field. The radial size of the plasma increased because of the ascendant resonant zone. By increasing the power of the injected microwave an optimum (or at least saturation) was found in the brightness of the plasma. We found correlation between the gas dosing rates and plasma intensities. When sweeping the frequency of the microwave in a wide region

  13. Multichannel microwave interferometer with an antenna switching system for electron density measurement in a laboratory plasma experiment

    Energy Technology Data Exchange (ETDEWEB)

    Kawamori, Eiichirou; Lin, Yu-Hsiang [Institute of Space and Plasma Sciences, National Cheng Kung University, Tainan 70101, Taiwan (China); Mase, Atsushi [Art, Science and Technology Center for Cooperative Research, Kyushu University, Kasuga 816-8580 (Japan); Nishida, Yasushi; Cheng, C. Z. [Institute of Space and Plasma Sciences, National Cheng Kung University, Tainan 70101, Taiwan (China); Plasma and Space Science Center, National Cheng Kung University, Tainan 70101, Taiwan (China)

    2014-02-15

    This study presents a simple and powerful technique for multichannel measurements of the density profile in laboratory plasmas by microwave interferometry. This technique uses electromechanical microwave switches to temporally switch the connection between multiple receiver antennas and one phase-detection circuit. Using this method, the phase information detected at different positions is rearranged into a time series that can be acquired from a minimum number of data acquisition channels (e.g., two channels in the case of quadrature detection). Our successfully developed multichannel microwave interferometer that uses the antenna switching method was applied to measure the radial electron density profiles in a magnetized plasma experiment. The advantage of the proposed method is its compactness and scalability to multidimensional measurement systems at low cost.

  14. Production of nitric oxide using a microwave plasma torch and its application to fungal cell differentiation

    International Nuclear Information System (INIS)

    Na, Young Ho; Kang, Min-Ho; Cho, Guang Sup; Choi, Eun Ha; Park, Gyungsoon; Uhm, Han Sup; Kumar, Naresh

    2015-01-01

    The generation of nitric oxide by a microwave plasma torch is proposed for its application to cell differentiation. A microwave plasma torch was developed based on basic kinetic theory. The analytical theory indicates that nitric oxide density is nearly proportional to oxygen molecular density and that the high-temperature flame is an effective means of generating nitric oxide. Experimental data pertaining to nitric oxide production are presented in terms of the oxygen input in units of cubic centimeters per minute. The apparent length of the torch flame increases as the oxygen input increases. The various levels of nitric oxide are observed depending on the flow rate of nitrogen gas, the mole fraction of oxygen gas, and the microwave power. In order to evaluate the potential of nitric oxide as an activator of cell differentiation, we applied nitric oxide generated from the microwave plasma torch to a model microbial cell (Neurospora crassa: non-pathogenic fungus). Germination and hyphal differentiation of fungal cells were not dramatically changed but there was a significant increase in spore formation after treatment with nitric oxide. In addition, the expression level of a sporulation related gene acon-3 was significantly elevated after 24 h upon nitric oxide treatment. Increase in the level of nitric oxide, nitrite and nitrate in water after nitric oxide treatment seems to be responsible for activation of fungal sporulation. Our results suggest that nitric oxide generated by plasma can be used as a possible activator of cell differentiation and development. (paper)

  15. Energy distribution and transfer in flowing hydrogen microwave plasmas

    International Nuclear Information System (INIS)

    Chapman, R.A.

    1987-01-01

    This thesis is an experimental investigation of the physical and chemical properties of a hydrogen discharge in a flowing microwave plasma system. The plasma system is the mechanisms utilized in an electrothermal propulsion concept to convert electromagnetic energy into the kinetic energy of flowing hydrogen gas. The plasmas are generated inside a 20-cm ID resonant cavity at a driving frequency of 2.45 GHz. The flowing gas is contained in a coaxially positioned 22-mm ID quartz discharge tube. The physical and chemical properties are examined for absorbed powers of 20-100 W, pressures of 0.5-10 torr, and flow rates of 0-10,000 μ-moles/sec. A calorimetry system enclosing the plasma system to accurately measure the energy inputs and outputs has been developed. The rate of energy that is transferred to the hydrogen gas as it flows through the plasma system is determined as a function of absorbed power, pressure, and flow rate to +/-1.8 W from an energy balance around the system. The percentage of power that is transferred to the gas is found to increase with increasing flow rate, decrease with increasing pressure, and to be independent of absorbed power

  16. Microwave energy transmission

    Energy Technology Data Exchange (ETDEWEB)

    Matsumoto, Hiroshi [Kyoto Univ. (Japan)

    1989-03-05

    Laying stress on the technological problems and effect on the environment of microwave energy transmission, recent scientific and engineering problems and related subjects are described. Because no fuel is required for the solar power generation, the power generation system can not be considered as an expensive one when the unit cost of energy is taken into consideration. Some of the important technological problems in the microwave energy transmission are accurate microwave beam control technology to receiving stations and improvement in the efficiency of transmission system. Microwave energy beam has effects on living bodies, communication, and plasma atmosphere of the earth. Microwave energy transmission using a space flyer unit is scheduled. Its objective is the development of microwave wireless transmission technology and the study of the correlation between high power microwave and ionosphere plasma. Experiments on such a small scale application as a microwave driven space ship to bring results seem also important. 12 refs., 13 figs.

  17. Laser diagnostics of atomic hydrogen and oxygen production in rf and microwave plasma discharges

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1993-01-01

    The research for this thesis involved the application of two-photon allowed laser-induced fluorescence (TALIF) to the study of atomic hydrogen and oxygen production in industrial scale radio-frequency and microwave plasma discharge apparatus. Absolute atomic hydrogen concentration profiles were measured in a Gaseous Electronics Conference Reference Cell installed at Wright-Patterson AFB, Ohio operating with a simple H 2 discharge. Two-dimensional atomic hydrogen concentration profiles were also measured in an ASTEX HPMM microwave plasma diamond deposition reactor during actual diamond growth. In addition absolute atomic oxygen concentrations were measured in the ASTEX system. Particular attention as paid to refining the concentration calibration technique and in determining a correction to account for the collisional quenching of excited state fluorescence in high pressure gases

  18. Characterization of low-temperature microwave loss of thin aluminum oxide formed by plasma oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Chunqing, E-mail: cdeng@uwaterloo.ca; Otto, M.; Lupascu, A., E-mail: alupascu@uwaterloo.ca [Institute for Quantum Computing, Department of Physics and Astronomy, and Waterloo Institute for Nanotechnology, University of Waterloo, Waterloo, Ontario N2L 3G1 (Canada)

    2014-01-27

    We report on the characterization of microwave loss of thin aluminum oxide films at low temperatures using superconducting lumped resonators. The oxide films are fabricated using plasma oxidation of aluminum and have a thickness of 5 nm. We measure the dielectric loss versus microwave power for resonators with frequencies in the GHz range at temperatures from 54 to 303 mK. The power and temperature dependence of the loss are consistent with the tunneling two-level system theory. These results are relevant to understanding decoherence in superconducting quantum devices. The obtained oxide films are thin and robust, making them suitable for capacitors in compact microwave resonators.

  19. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  20. A new large-scale plasma source with plasma cathode

    International Nuclear Information System (INIS)

    Yamauchi, K.; Hirokawa, K.; Suzuki, H.; Satake, T.

    1996-01-01

    A new large-scale plasma source (200 mm diameter) with a plasma cathode has been investigated. The plasma has a good spatial uniformity, operates at low electron temperature, and is highly ionized under relatively low gas pressure of about 10 -4 Torr. The plasma source consists of a plasma chamber and a plasma cathode generator. The plasma chamber has an anode which is 200 mm in diameter, 150 mm in length, is made of 304 stainless steel, and acts as a plasma expansion cup. A filament-cathode-like plasma ''plasma cathode'' is placed on the central axis of this source. To improve the plasma spatial uniformity in the plasma chamber, a disk-shaped, floating electrode is placed between the plasma chamber and the plasma cathode. The 200 mm diameter plasma is measure by using Langmuir probes. As a result, the discharge voltage is relatively low (30-120 V), the plasma space potential is almost equal to the discharge voltage and can be easily controlled, the electron temperature is several electron volts, the plasma density is about 10 10 cm -3 , and the plasma density is about 10% variance in over a 100 mm diameter. (Author)

  1. 21 CFR 640.60 - Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Source Plasma. 640.60 Section 640.60 Food and... ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.60 Source Plasma. The proper name of the product shall be Source Plasma. The product is defined as the fluid portion of human blood...

  2. Microwave Tokamak Experiment

    International Nuclear Information System (INIS)

    Anon.

    1988-01-01

    The Microwave Tokamak Experiment, now under construction at the Laboratory, will use microwave heating from a free-electron laser. The intense microwave pulses will be injected into the tokamak to realize several goals, including a demonstration of the effects of localized heat deposition within magnetically confined plasma, a better understanding of energy confinement in tokamaks, and use of the new free-electron laser technology for plasma heating. The experiment, soon to be operational, provides an opportunity to study dense plasmas heated by powers unprecedented in the electron-cyclotron frequency range required by the especially high magnetic fields used with the MTX and needed for reactors. 1 references, 5 figures, 3 tables

  3. Spatio-temporal dynamics of a pulsed microwave argon plasma: ignition and afterglow

    International Nuclear Information System (INIS)

    Carbone, Emile; Sadeghi, Nader; Vos, Erik; Hübner, Simon; Van Veldhuizen, Eddie; Van Dijk, Jan; Nijdam, Sander; Kroesen, Gerrit

    2015-01-01

    In this paper, a detailed investigation of the spatio-temporal dynamics of a pulsed microwave plasma is presented. The plasma is ignited inside a dielectric tube in a repetitively pulsed regime at pressures ranging from 1 up to 100 mbar with pulse repetition frequencies from 200 Hz up to 500 kHz. Various diagnostic techniques are employed to obtain the main plasma parameters both spatially and with high temporal resolution. Thomson scattering is used to obtain the electron density and mean electron energy at fixed positions in the dielectric tube. The temporal evolution of the two resonant and two metastable argon 4s states are measured by laser diode absorption spectroscopy. Nanosecond time-resolved imaging of the discharge allows us to follow the spatio-temporal evolution of the discharge with high temporal and spatial resolution. Finally, the temporal evolution of argon 4p and higher states is measured by optical emission spectroscopy. The combination of these various diagnostics techniques gives deeper insight on the plasma dynamics during pulsed microwave plasma operation from low to high pressure regimes. The effects of the pulse repetition frequency on the plasma ignition dynamics are discussed and the plasma-off time is found to be the relevant parameter for the observed ignition modes. Depending on the delay between two plasma pulses, the dynamics of the ionization front are found to be changing dramatically. This is also reflected in the dynamics of the electron density and temperature and argon line emission from the plasma. On the other hand, the (quasi) steady state properties of the plasma are found to depend only weakly on the pulse repetition frequency and the afterglow kinetics present an uniform spatio-temporal behavior. However, compared to continuous operation, the time-averaged metastable and resonant state 4s densities are found to be significantly larger around a few kHz pulsing frequency. (paper)

  4. Spatially resolved emission spectroscopic investigation of microwave-induced reactive low-power plasma jets

    International Nuclear Information System (INIS)

    Arnold, Thomas; Grabovski, Sergey; Schindler, Axel; Wagner, Hans-Erich

    2004-01-01

    A microwave-induced Ar/SF 6 plasma jet is characterized by means of optical emission spectroscopy. Rotational temperatures from unresolved N 2 bands and excitation temperatures from Fe lines as well as electron densities (H β Stark broadening) have been estimated along the plasma jet axis using a side-on configuration. The SF 6 gas flow rate and chamber pressure were varied from 10 to 250 sccm and 20 to 500 mbar, respectively. Three characteristic jet regions have been observed: the plasma ignition zone, followed by the gas mixing zone and a relaxing zone

  5. Cytotoxicity of cancer HeLa cells sensitivity to normal MCF10A cells in cultivations with cell culture medium treated by microwave-excited atmospheric pressure plasmas

    Science.gov (United States)

    Takahashi, Yohei; Taki, Yusuke; Takeda, Keigo; Hashizume, Hiroshi; Tanaka, Hiromasa; Ishikawa, Kenji; Hori, Masaru

    2018-03-01

    Cytotoxic effects of human epithelial carcinoma HeLa cells sensitivity to human mammary epithelial MCF10A cells appeared in incubation with the plasma-activated medium (PAM), where the cell culture media were irradiated with the hollow-shaped contact of a continuously discharged plasma that was sustained by application of a microwave power under Ar gas flow at atmospheric pressure. The discharged plasma had an electron density of 7  ×  1014 cm-3. As the nozzle exit to the plasma source was a distance of 5 mm to the medium, concentrations of 180 µM for H2O2 and 77 µM for NO2- were generated in the PAM for 30 s irradiation, resulting in the control of irradiation periods for aqueous H2O2 with a generation rate of 6.0 µM s-1, and nitrite ion (NO2- ) with a rate of 2.2 µM s-1. Effective concentrations of H2O2 and NO2- for the antitumor effects were revealed in the microwave-excited PAM, with consideration of the complicated reactions at the plasma-liquid interfaces.

  6. Application of microwave air plasma in the destruction of trichloroethylene and carbon tetrachloride at atmospheric pressure

    Energy Technology Data Exchange (ETDEWEB)

    Rubio, S.J., E-mail: f62rugas@uco.es [Departamento de Fisica, Campus de Rabanales, Edificio Einstein, Planta Baja, Universidad de Cordoba (Spain); Quintero, M.C.; Rodero, A. [Departamento de Fisica, Campus de Rabanales, Edificio Einstein, Planta Baja, Universidad de Cordoba (Spain)

    2011-02-15

    In this study, the destruction rate of a volatile waste destruction system based on a microwave plasma torch operating at atmospheric pressure was investigated. Atmospheric air was used to maintain the plasma and was introduced by a compressor, which resulted in lower operating costs compared to other gases such as argon and helium. To isolate the output gases and control the plasma discharge atmosphere, the plasma was coupled to a reactor. The effect of the gas flow rate, microwave power and initial concentration of compound on the destruction efficiency of the system was evaluated. In this study, trichloroethylene and carbon tetrachloride were used as representative volatile organic compounds to determine the destruction rate of the system. Based on the experimental results, at an applied microwave power less than 1000 W, the proposed system can reduce input concentrations in the ppmv range to output concentrations at the ppbv level. High air flow rates and initial concentrations produced energy efficiency values greater than 1000 g/kW h. The output gases and species present in the plasma were analysed by gas chromatography and optical emission spectroscopy, respectively, and negligible amounts of halogenated compounds resulting from the cleavage of C{sub 2}HCl{sub 3} and CCl{sub 4} were observed. The gaseous byproducts of decomposition consisted mainly of CO{sub 2}, NO and N{sub 2}O, as well as trace amounts of Cl{sub 2} and solid CuCl.

  7. Application of microwave air plasma in the destruction of trichloroethylene and carbon tetrachloride at atmospheric pressure.

    Science.gov (United States)

    Rubio, S J; Quintero, M C; Rodero, A

    2011-02-15

    In this study, the destruction rate of a volatile waste destruction system based on a microwave plasma torch operating at atmospheric pressure was investigated. Atmospheric air was used to maintain the plasma and was introduced by a compressor, which resulted in lower operating costs compared to other gases such as argon and helium. To isolate the output gases and control the plasma discharge atmosphere, the plasma was coupled to a reactor. The effect of the gas flow rate, microwave power and initial concentration of compound on the destruction efficiency of the system was evaluated. In this study, trichloroethylene and carbon tetrachloride were used as representative volatile organic compounds to determine the destruction rate of the system. Based on the experimental results, at an applied microwave power less than 1000 W, the proposed system can reduce input concentrations in the ppmv range to output concentrations at the ppbv level. High air flow rates and initial concentrations produced energy efficiency values greater than 1000 g/kW h. The output gases and species present in the plasma were analysed by gas chromatography and optical emission spectroscopy, respectively, and negligible amounts of halogenated compounds resulting from the cleavage of C(2)HCl(3) and CCl(4) were observed. The gaseous byproducts of decomposition consisted mainly of CO(2), NO and N(2)O, as well as trace amounts of Cl(2) and solid CuCl. Copyright © 2010 Elsevier B.V. All rights reserved.

  8. Source analysis of spaceborne microwave radiometer interference over land

    Science.gov (United States)

    Guan, Li; Zhang, Sibo

    2016-03-01

    Satellite microwave thermal emissions mixed with signals from active sensors are referred to as radiofrequency interference (RFI). Based on Advanced Microwave Scanning Radiometer-Earth Observing System (AMSR-E) observations from June 1 to 16, 2011, RFI over Europe was identified and analyzed using the modified principal component analysis algorithm in this paper. The X band AMSR-E measurements in England and Italy are mostly affected by the stable, persistent, active microwave transmitters on the surface, while the RFI source of other European countries is the interference of the reflected geostationary TV satellite downlink signals to the measurements of spaceborne microwave radiometers. The locations and intensities of the RFI induced by the geostationary TV and communication satellites changed with time within the observed period. The observations of spaceborne microwave radiometers in ascending portions of orbits are usually interfered with over European land, while no RFI was detected in descending passes. The RFI locations and intensities from the reflection of downlink radiation are highly dependent upon the relative geometry between the geostationary satellite and the measuring passive sensor. Only these fields of view of a spaceborne instrument whose scan azimuths are close to the azimuth relative to the geostationary satellite are likely to be affected by RFI.

  9. Microwave plasmatrons for giant integrated circuit processing

    Energy Technology Data Exchange (ETDEWEB)

    Petrin, A.B.

    2000-02-01

    A method for calculating the interaction of a powerful microwave with a plane layer of magnetoactive low-pressure plasma under conditions of electron cyclotron resonance is presented. In this paper, the plasma layer is situated between a plane dielectric layer and a plane metal screen. The calculation model contains the microwave energy balance, particle balance, and electron energy balance. The equation that expressed microwave properties of nonuniform magnetoactive plasma is found. The numerical calculations of the microwave-plasma interaction for a one-dimensional model of the problem are considered. Applications of the results for microwave plasmatrons designed for processing giant integrated circuits are suggested.

  10. Extreme ultraviolet spectroscopy of low pressure helium microwave driven discharges

    Science.gov (United States)

    Espinho, Susana; Felizardo, Edgar; Tatarova, Elena; Alves, Luis Lemos

    2016-09-01

    Surface wave driven discharges are reliable plasma sources that can produce high levels of vacuum and extreme ultraviolet radiation (VUV and EUV). The richness of the emission spectrum makes this type of discharge a possible alternative source in EUV/VUV radiation assisted applications. However, due to challenging experimental requirements, publications concerning EUV radiation emitted by microwave plasmas are scarce and a deeper understanding of the main mechanisms governing the emission of radiation in this spectral range is required. To this end, the EUV radiation emitted by helium microwave driven plasmas operating at 2.45 GHz has been studied for low pressure conditions. Spectral lines from excited helium atoms and ions were detected via emission spectroscopy in the EUV/VUV regions. Novel data concerning the spectral lines observed in the 23 - 33 nm wavelength range and their intensity behaviour with variation of the discharge operational conditions are presented. The intensity of all the spectral emissions strongly increases with the microwave power delivered to the plasma up to 400 W. Furthermore, the intensity of all the ion spectral emissions in the EUV range decreases by nearly one order of magnitude as the pressure was raised from 0.2 to 0.5 mbar. Work funded by FCT - Fundacao para a Ciencia e a Tecnologia, under Project UID/FIS/50010/2013 and grant SFRH/BD/52412/2013 (PD-F APPLAuSE).

  11. Development of a multi-channel horn mixer array for microwave imaging plasma diagnostics

    International Nuclear Information System (INIS)

    Ito, Naoki; Kuwahara, Daisuke; Nagayama, Yoshio

    2015-01-01

    Microwave to millimeter-wave diagnostics techniques, such as interferometry, reflectometry, scattering, and radiometry, have been powerful tools for diagnosing magnetically confined plasmas. The resultant measurements have clarified several physics issues, including instability, wave phenomena, and fluctuation-induced transport. Electron cyclotron emission imaging has been an important tool in the investigation of temperature fluctuations, while reflectometry has been employed to measure plasma density profiles and their fluctuations. We have developed a horn-antenna mixer array (HMA), a 50 - 110 GHz 1D antenna array, which can be easily stacked as a 2D array. This article describes an upgrade to the horn mixer array that combines well-characterized mixers, waveguide-to-microstrip line transitions, intermediate frequency amplifiers, and internal local oscillator modules using a monolithic microwave integrated circuit technology to improve system performance. We also report on the use of a multi-channel HMA system. (author)

  12. Carbon dioxide elimination and regeneration of resources in a microwave plasma torch.

    Science.gov (United States)

    Uhm, Han S; Kwak, Hyoung S; Hong, Yong C

    2016-04-01

    Carbon dioxide gas as a working gas produces a stable plasma-torch by making use of 2.45 GHz microwaves. The temperature of the torch flame is measured by making use of optical spectroscopy and a thermocouple device. Two distinctive regions are exhibited, a bright, whitish region of a high-temperature zone and a bluish, dimmer region of a relatively low-temperature zone. The bright, whitish region is a typical torch based on plasma species where an analytical investigation indicates dissociation of a substantial fraction of carbon dioxide molecules, forming carbon monoxides and oxygen atoms. The emission profiles of the oxygen atoms and the carbon monoxide molecules confirm the theoretical predictions of carbon dioxide disintegration in the torch. Various hydrocarbon materials may be introduced into the carbon dioxide torch, regenerating new resources and reducing carbon dioxide concentration in the torch. As an example, coal powders in the carbon dioxide torch are converted into carbon monoxide according to the reaction of CO2 + C → 2CO, reducing a substantial amount of carbon dioxide concentration in the torch. In this regards, the microwave plasma torch may be one of the best ways of converting the carbon dioxides into useful new materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Recent progress in plasma modelling at INFN-LNS

    Science.gov (United States)

    Neri, L.; Castro, G.; Torrisi, G.; Galatà, A.; Mascali, D.; Celona, L.; Gammino, S.

    2016-02-01

    At Istituto Nazionale di Fisica Nucleare - Laboratori Nazionali del Sud (INFN-LNS), the development of intense ion and proton sources has been supported by a great deal of work on the modelling of microwave generated plasmas for many years. First, a stationary version of the particle-in-cell code was developed for plasma modelling starting from an iterative strategy adopted for the space charge dominated beam transport simulations. Electromagnetic properties of the plasma and full-waves simulations are now affordable for non-homogenous and non-isotropic magnetized plasma via "cold" approximation. The effects of Coulomb collisions on plasma particles dynamics was implemented with the Langevin formalism, instead of simply applying the Spitzer 90° collisions through a Monte Carlo technique. A wide database of different cross sections related to reactions occurring in a hydrogen plasma was implemented. The next step consists of merging such a variety of approaches for retrieving an "as-a-whole" picture of plasma dynamics in ion sources. The preliminary results will be summarized in the paper for a microwave discharge ion source designed for intense and high quality proton beams production, proton source for European Spallation Source project. Even if the realization of a predictive software including the complete processes involved in plasma formation is still rather far, a better comprehension of the source behavior is possible and so the simulations may support the optimization phase.

  14. An amplitude modulated radio frequency plasma generator

    Science.gov (United States)

    Lei, Fan; Li, Xiaoping; Liu, Yanming; Liu, Donglin; Yang, Min; Xie, Kai; Yao, Bo

    2017-04-01

    A glow discharge plasma generator and diagnostic system has been developed to study the effects of rapidly variable plasmas on electromagnetic wave propagation, mimicking the plasma sheath conditions encountered in space vehicle reentry. The plasma chamber is 400 mm in diameter and 240 mm in length, with a 300-mm-diameter unobstructed clear aperture. Electron densities produced are in the mid 1010 electrons/cm3. An 800 W radio frequency (RF) generator is capacitively coupled through an RF matcher to an internally cooled stainless steel electrode to form the plasma. The RF power is amplitude modulated by a waveform generator that operates at different frequencies. The resulting plasma contains electron density modulations caused by the varying power levels. A 10 GHz microwave horn antenna pair situated on opposite sides of the chamber serves as the source and detector of probe radiation. The microwave power feed to the source horn is split and one portion is sent directly to a high-speed recording oscilloscope. On mixing this with the signal from the pickup horn antenna, the plasma-induced phase shift between the two signals gives the path-integrated electron density with its complete time dependent variation. Care is taken to avoid microwave reflections and extensive shielding is in place to minimize electronic pickup. Data clearly show the low frequency modulation of the electron density as well as higher harmonics and plasma fluctuations.

  15. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  16. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  17. Diffusion and drift regimes of plasma ionization wave propagation in a microwave field

    International Nuclear Information System (INIS)

    Khodataev, K.V.; Gorelik, B.R.

    1997-01-01

    Investigation into diffusion and drift modes of a plasma ionization wave propagation in the microwave field are conducted within the framework of a one-dimensional model with regard to gas ionization by electron shock in an electrical field, adhesion, mobility and diffusion of electrons

  18. Structure and properties of the Stainless steel AISI 316 nitrided with microwave plasma

    International Nuclear Information System (INIS)

    Becerril R, F.

    1999-01-01

    In this work were presented the results obtained by nitridation on stainless steel AISI 316 using a plasma generated through a microwave discharge with an external magnetic field using several moistures hydrogen / nitrogen to form a plasma. The purpose of nitridation was to increase the surface hardness of stainless steel through a phase formation knew as γN which has been reported that produces such effect without affect the corrosion resistance proper of this material. (Author)

  19. MTX [Microwave Tokamak Experiment] diagnostic and auxiliary systems for confinement, transport, and plasma physics studies

    International Nuclear Information System (INIS)

    Hooper, E.B.; Allen, S.L.; Casper, T.A.; Thomassen, K.I.

    1989-01-01

    This note describes the diagnostics and auxiliary systems on the Microwave Tokamak Experiment (MTX) for confinement, transport, and other plasma physics studies. It is intended as a reference on the installed and planned hardware on the machine for those who need more familiarity with this equipment. Combined with the tokamak itself, these systems define the opportunities and capabilities for experiments in the MTX facility. We also illustrate how these instruments and equipment are to be used in carrying out the MTX Operations Plan. Near term goals for MTX are focussed on the absorption and heating by the microwave beam from the FEL, but the Plan also includes using the facility to study fundamental phenomena in the plasma, to control MHD activity, and to drive current noninductively

  20. A Guillemin type E pulse forming network as the driver for a pulsed, high density plasma source.

    Science.gov (United States)

    Rathod, Priyavandna J; Anitha, V P; Sholapurwala, Z H; Saxena, Y C

    2014-06-01

    A Guillemin type E pulse forming network (PFN) has been designed, developed, and tested for its application in generating high density (~1 × 10(18) m(-3)) plasmas. In the present study, plasma thus generated is utilized to investigate the interaction of high power microwaves (HPMs) with plasma in an experimental architecture known as SYMPLE (System for Microwave PLasma Experiment). Plasma discharges of ~100 μs (max) duration are to be produced, by delivering energy of 5 kJ stored in a PFN to the plasma source, a washer gun. The output of the PFN, in terms of its rise time, flat top and amplitude, needs to be tailored, depending on the experimental requirements. An ignitron (NL8900) trigger generator (ITG) is developed in-house to control the PFN discharge through the gun. This ITG is also to be used in a circuit that synchronizes the HPM and plasma shots, to ensure that HPM-plasma interaction takes place during a temporal regime where appropriate parametric conditions are satisfied. Hence it is necessary to retain the jitter within ±2.5 μs. Further, requirement on plasma quiescence (~10%) necessitates maintaining the ripple within 5%. The developmental work of the PFN, keeping in view the above criteria and the test results, is presented in this paper. The parameters of the PFN have been analytically approximated and verified with PSPICE simulation. The test results presented include rise time ~5-8 μs, flat top variable in the range 20-100 μs, ripple within ~1.5%, and jitter within ±2.5 μs, producing quiescent (plasma discharge meeting the experimental requirements.

  1. Study of a microwave power source for a two-beam accelerator

    International Nuclear Information System (INIS)

    Houck, T.L.

    1994-01-01

    A theoretical and experimental study of a microwave power source suitable for driving a linear e + e - collider is reported. The power source is based on the Relativistic Klystron Two-Beam Accelerator (RK-TBA) concept, is driven by a 5-MeV, 1-kA induction accelerator electron beam, and operates at X-band frequencies. The development of a computer code to simulate the transverse beam dynamics of an intense relativistic electron beam transiting a system of microwave resonant structures is presented. This code is time dependent with self-consistent beam-cavity interactions and uses realistic beam parameters. Simulations performed with this code are compared with analytical theory and experiments. The concept of spacing resonant structures at distances equal to the betatron wavelength of the focusing system to suppress the growth of transverse instabilities is discussed. Simulations include energy spread over the beam to demonstrate the effect of Landau damping and establish the sensitivity of the betatron wavelength spacing scheme to errors in the focusing system. The design of the Reacceleration Experiment is described in detail and includes essentially all the issues related to a full scale RK-TBA microwave source. A total combined power from three output structures in excess of 170 MW with an amplitude stability of ±4% over a 25 ns pulse was achieved. The results of the experiment are compared to simulations used during the design phase to validate the various codes and methods used. The primary issue for the RK-TBA concept is identified as transverse beam instability associated with the excitation of higher order modes in the resonant structures used for extracting microwave power from the modulated beam. This work represents the first successful experimental demonstration of repeated cycles of microwave energy extraction from and reacceleration of a modulated beam

  2. Modeling of electron behaviors under microwave electric field in methane and air pre-mixture gas plasma assisted combustion

    Science.gov (United States)

    Akashi, Haruaki; Sasaki, K.; Yoshinaga, T.

    2011-10-01

    Recently, plasma-assisted combustion has been focused on for achieving more efficient combustion way of fossil fuels, reducing pollutants and so on. Shinohara et al has reported that the flame length of methane and air premixed burner shortened by irradiating microwave power without increase of gas temperature. This suggests that electrons heated by microwave electric field assist the combustion. They also measured emission from 2nd Positive Band System (2nd PBS) of nitrogen during the irradiation. To clarify this mechanism, electron behavior under microwave power should be examined. To obtain electron transport parameters, electron Monte Carlo simulations in methane and air mixture gas have been done. A simple model has been developed to simulate inside the flame. To make this model simple, some assumptions are made. The electrons diffuse from the combustion plasma region. And the electrons quickly reach their equilibrium state. And it is found that the simulated emission from 2nd PBS agrees with the experimental result. Recently, plasma-assisted combustion has been focused on for achieving more efficient combustion way of fossil fuels, reducing pollutants and so on. Shinohara et al has reported that the flame length of methane and air premixed burner shortened by irradiating microwave power without increase of gas temperature. This suggests that electrons heated by microwave electric field assist the combustion. They also measured emission from 2nd Positive Band System (2nd PBS) of nitrogen during the irradiation. To clarify this mechanism, electron behavior under microwave power should be examined. To obtain electron transport parameters, electron Monte Carlo simulations in methane and air mixture gas have been done. A simple model has been developed to simulate inside the flame. To make this model simple, some assumptions are made. The electrons diffuse from the combustion plasma region. And the electrons quickly reach their equilibrium state. And it is found

  3. Forming method of a functional layer-built film by micro-wave plasma CVD

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Keishi

    1988-11-18

    In forming an amorphous semi-conductor material film, the micro-wave plasma CVD cannot be generally used because of such demerits as film-separation, low yield, columnar structure in the film, and problems in the optical and electrical properties. In this invention, a specific substrate is placed in a layer-built film forming unit which is capable of maintaining vacuum; raw material gas for the film formation is introduced; plasma is generated by a micro-wave energy to decompose the raw material gas, thus forming the layer-built film on the substarte. Then a film is made by adding a specific amount of calcoganide-containing gas to the raw material gas. By this, the utilization efficiency of the raw material gas gets roughly 100% and both the adhesion to the substrate and the structural flexibility of the layer-built film increase, enhancing the yield of forming various functional elements (sensor, solar cell, thin transistor film, etc.), and thus greatly reducing the production cost. 6 figs., 7 tabs.

  4. Factors affecting the adhesion of microwave plasma deposited siloxane films on polycarbonate

    International Nuclear Information System (INIS)

    Muir, B.W.; Thissen, H.; Simon, G.P.; Murphy, P.J.; Griesser, H.J.

    2006-01-01

    The effects of a radiofrequency oxygen plasma pretreatment and residual water content in the substrate on the adhesion of microwave plasma deposited tetramethyldisiloxane thin films on Bisphenol-A polycarbonate (BPA-PC) were investigated. Samples were characterised using a crosshatch adhesion test, optical and electron microscopy, and X-ray photoelectron spectroscopy. It was found that the use of a low power (5 W) and low treatment time (0.1 s) oxygen plasma can improve adhesion while greater treatment times (1-30 s) and higher oxygen plasma powers (40 W) resulted in a decreased level of adhesion. In addition, it was shown that a BPA-PC water content greater than 90 ppm resulted in rapid adhesion failure of deposited films at the substrate-plasma polymer interface during outdoor weathering. All films degraded substantially when exposed to environmental weathering, indicating ageing reactions within the plasma polymer films themselves, and at the bulk polymer-coating interface

  5. High-power broad-band tunable microwave oscillator, driven by REB in plasma

    Energy Technology Data Exchange (ETDEWEB)

    Kuzelev, M V; Loza, O T; Ponomarev, A V; Rukhadze, A A; Strel` kov, P S; Shkvarunets, A G; Ulyanov, D K [General Physics Inst. of Russian Academy of Sciences, Moscow (Russian Federation)

    1997-12-31

    The radiation spectra of a plasma relativistic broad-band microwave oscillator were measured. A hollow relativistic electron beam (REB) was injected into the plasma waveguide, consisting of annular plasma in a circular metal waveguide. The radiation spectra were measured by means of a calorimeter-spectrometer with a large cross section in the band of 3-39 GHz. The mean frequency was tunable in the band of 20-27 GHz, the spectrum width was 5-25 GHz with a power level of 40-85 MW. Calculations were carried out based on non-linear theory, taking into account electromagnetic noise amplification due to REB injection into the plasma waveguide. According to the theory the radiation regime should change from the single-particle regime to the collective regime when the plasma density and the gap between the annular plasma and REB are increased. Comparison of the experimental results with the non-linear theory explains some peculiarities of the measured spectrum. (author). 4 figs., 2 refs.

  6. Double-coronal X-Ray and Microwave Sources Associated with a Magnetic Breakout Solar Eruption

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yao; Wu, Zhao; Zhao, Di; Wang, Bing; Du, Guohui [Shandong Provincial Key Laboratory of Optical Astronomy and Solar-Terrestrial Environment, and Institute of Space Sciences, Shandong University, Weihai, Shandong 264209 (China); Liu, Wei [W. W. Hansen Experimental Physics Laboratory, Stanford University, Stanford, CA 94305 (United States); Schwartz, Richard A., E-mail: yaochen@sdu.edu.cn [NASA Goddard Space Flight Center and American University, Greenbelt, MD 20771 (United States)

    2017-07-01

    Double-coronal hard X-ray (HXR) sources are believed to be critical observational evidence of bi-directional energy release through magnetic reconnection in large-scale current sheets in solar flares. Here, we present a study on double-coronal sources observed in both HXR and microwave regimes, revealing new characteristics distinct from earlier reports. This event is associated with a footpoint-occulted X1.3-class flare (2014 April 25, starting at 00:17 UT) and a coronal mass ejection that were likely triggered by the magnetic breakout process, with the lower source extending upward from the top of the partially occulted flare loops and the upper source co-incident with rapidly squeezing-in side lobes (at a speed of ∼250 km s{sup −1} on both sides). The upper source can be identified at energies as high as 70–100 keV. The X-ray upper source is characterized by flux curves that differ from those of the lower source, a weak energy dependence of projected centroid altitude above 20 keV, a shorter duration, and an HXR photon spectrum slightly harder than those of the lower source. In addition, the microwave emission at 34 GHz also exhibits a similar double-source structure and the microwave spectra at both sources are in line with gyrosynchrotron emission given by non-thermal energetic electrons. These observations, especially the co-incidence of the very-fast squeezing-in motion of side lobes and the upper source, indicate that the upper source is associated with (and possibly caused by) this fast motion of arcades. This sheds new light on the origin of the corona double-source structure observed in both HXRs and microwaves.

  7. Electron cyclotron resonance plasma photos

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R.; Palinkas, J. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary); Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary)

    2010-02-15

    In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open ECR plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from Ne, Ar, and Kr gases and from their mixtures. We studied and recorded the effect of ion source setting parameters (gas pressure, gas composition, magnetic field, and microwave power) to the shape, color, and structure of the plasma. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas.

  8. Electron cyclotron resonance plasma photos

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Biri, S.

    2010-01-01

    In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open ECR plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from Ne, Ar, and Kr gases and from their mixtures. We studied and recorded the effect of ion source setting parameters (gas pressure, gas composition, magnetic field, and microwave power) to the shape, color, and structure of the plasma. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas.

  9. Decontamination of biological warfare agents by a microwave plasma torch

    International Nuclear Information System (INIS)

    Lai, Wilson; Lai, Henry; Kuo, Spencer P.; Tarasenko, Olga; Levon, Kalle

    2005-01-01

    A portable arc-seeded microwave plasma torch running stably with airflow is described and applied for the decontamination of biological warfare agents. Emission spectroscopy of the plasma torch indicated that this torch produced an abundance of reactive atomic oxygen that could effectively oxidize biological agents. Bacillus cereus was chosen as a simulant of Bacillus anthracis spores for biological agent in the decontamination experiments. Decontamination was performed with the airflow rate of 0.393 l/s, corresponding to a maximum concentration of atomic oxygen produced by the torch. The experimental results showed that all spores were killed in less than 8 s at 3 cm distance, 12 s at 4 cm distance, and 16 s at 5 cm distance away from the nozzle of the torch

  10. Pyrolysis of methane by microwaves. Pt. 1

    International Nuclear Information System (INIS)

    Avni, R.; Winefordner, J.D.; Nickel, H.

    1975-04-01

    The pyrolysis of methane and mixtures of argon-methane by microwaves (2,450 MHz) was investigated. The microwave plasma diagnostic study was performed using electrical probes, namely, the double floating probe technique. Parameters such as electric field strength and current densities were measured and from their relationship the electron temperature, electric conductivity, electron and ion densities were evaluated as function of gas pressure, microwave power input and distance of the probe from the microwave cavity. Various spectroscopic techniques were used for the measurement of temperatures in the microwave plasma; the 'reversal temperature' by measuring the intensities of the electronic vibrational bands of CN and OH molecules and 'rotational temperature' from the measured intensities of rotational OH lines. The 'rotational' as well as the 'reversal temperature' were found to be identical and this temperature was assumed to be the temperature of the gas in the microwave plasma. Energy balance calculation, based upon the electrical energy input and thermal losses, were performed in order to determine if steady state conditions existed in the microwave plasma. Emission and absorption spectroscopy were used for determining the active species formed in the pyrolysis of methane and also of mixtures of CH 4 -Ar, by the microwave plasma. (orig.) [de

  11. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  12. Plasma studies of the permanent magnet electron cyclotron resonance ion source at Peking University.

    Science.gov (United States)

    Ren, H T; Peng, S X; Xu, Y; Zhao, J; Lu, P N; Chen, J; Zhang, A L; Zhang, T; Guo, Z Y; Chen, J E

    2014-02-01

    At Peking University (PKU) we have developed several 2.45 GHz Permanent Magnet Electron Cyclotron Resonance ion sources for PKUNIFTY, SFRFQ, Coupled RFQ&SFRFQ, and Dielectric-Wall Accelerator (DWA) projects (respectively, 50 mA of D(+), 10 mA of O(+), 10 mA of He(+), and 50 mA of H(+)). In order to improve performance of these ion sources, it is necessary to better understand the principal factors that influence the plasma density and the atomic ion fraction. Theoretical analysis about microwave transmission and cut-off inside the discharge chamber were carried out to study the influence of the discharge chamber diameters. As a consequence, experimental studies on plasma density and ion fraction with different discharge chamber sizes have been carried out. Due to the difficulties in measuring plasma density inside the discharge chamber, the output beam current was measured to reflect the plasma density. Experimental results show that the plasma density increases to the maximum and then decreases significantly as the diameter changed from 64 mm to 30 mm, and the atomic ion fraction has the same tendency. The maximum beam intensity was obtained with the diameter of 35 mm, but the maximum atomic ion fraction with a diameter of 40 mm. The experimental results are basically accordant with the theoretical calculation. Details are presented in this paper.

  13. Plasma studies of the permanent magnet electron cyclotron resonance ion source at Peking University

    Energy Technology Data Exchange (ETDEWEB)

    Ren, H. T.; Peng, S. X., E-mail: sxpeng@pku.edu.cn; Xu, Y.; Zhao, J.; Lu, P. N.; Chen, J.; Zhang, A. L.; Zhang, T.; Guo, Z. Y.; Chen, J. E. [State Key Laboratory of Nuclear Physics and Technology, Institute of Heavy Ion Physics, Peking University, Beijing 100871 (China)

    2014-02-15

    At Peking University (PKU) we have developed several 2.45 GHz Permanent Magnet Electron Cyclotron Resonance ion sources for PKUNIFTY, SFRFQ, Coupled RFQ and SFRFQ, and Dielectric-Wall Accelerator (DWA) projects (respectively, 50 mA of D{sup +}, 10 mA of O{sup +}, 10 mA of He{sup +}, and 50 mA of H{sup +}). In order to improve performance of these ion sources, it is necessary to better understand the principal factors that influence the plasma density and the atomic ion fraction. Theoretical analysis about microwave transmission and cut-off inside the discharge chamber were carried out to study the influence of the discharge chamber diameters. As a consequence, experimental studies on plasma density and ion fraction with different discharge chamber sizes have been carried out. Due to the difficulties in measuring plasma density inside the discharge chamber, the output beam current was measured to reflect the plasma density. Experimental results show that the plasma density increases to the maximum and then decreases significantly as the diameter changed from 64 mm to 30 mm, and the atomic ion fraction has the same tendency. The maximum beam intensity was obtained with the diameter of 35 mm, but the maximum atomic ion fraction with a diameter of 40 mm. The experimental results are basically accordant with the theoretical calculation. Details are presented in this paper.

  14. Spark plasma sintering and microwave electromagnetic properties of MnFe{sub 2}O{sub 4} ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Penchal Reddy, M., E-mail: drlpenchal@gmail.com [Center for Advanced Materials, Qatar University, Doha 2713 (Qatar); Mohamed, A.M.A. [Center for Advanced Materials, Qatar University, Doha 2713 (Qatar); Department of Metallurgical and Materials Engineering, Faculty of Petroleum and Mining Engineering, Suez University, Suez 4372 (Egypt); Venkata Ramana, M. [Department of Physics, National Taiwan Normal University, Taipei 11677, Taiwan (China); Zhou, X.B.; Huang, Q. [Division of Functional Materials and Nanodevices, Ningbo Institute of Materials Technology and Engineering, Ningbo 315201 (China)

    2015-12-01

    MnFe{sub 2}O{sub 4} ferrite powder was synthesized by a facile one-pot hydrothermal route and then consolidated into dense nanostructured compacts by the spark plasma sintering (SPS) technique. The effect of sintering temperature, on densification, morphology, magnetic and microwave absorption properties was examined. Spark plasma sintering resulted in uniform microstructure, as well as maximum relative density of 98%. The magnetic analysis indicated that the MnFe{sub 2}O{sub 4} ferrite nanoparticles showed ferrimagnetic behavior. Moreover, the dielectric loss and magnetic loss properties of MnFe{sub 2}O{sub 4} ferrite nanoparticles were both enhanced due to its better dipole polarization, interfacial polarization and shape anisotropy. It is believed that such spark plasma sintered ceramic material will be applied widely in microwave absorbing area. - Highlights: • Successful synthesis of dense MnFe{sub 2}O{sub 4} ceramics using spark plasma sintering. • Lower temperature and shorter sintering time, compared to conventional methods. • Optimal sintering condition was achieved. • The magnetic properties of the sintered samples are sensitive to the density and microstructure.

  15. Pulsed radiofrequency microwave fields around a quadrupole particle accelerator: measurement and safety evaluation

    International Nuclear Information System (INIS)

    Sachdev, R.N.; Swarup, G.; Rajan, K.K.; Joseph, L.

    1996-01-01

    Pulsed radiofrequency microwave radiation (RFMR) fields occur during the use of high power microwaves in plasma heating in fusion research, plasma and solid state diagnostics, particle accelerators and colliders, pump sources in lasers, material processing as well as in high power radars. This paper describes the experimental work done at Trombay for measurement of pulsed RFMR fields in the working area of a radiofrequency quadrupole (RFQ) accelerator with the use of a meter calibrated in continuous field and interprets the observed fields in the light of existing protection criteria for pulsed RFMR fields. (author)

  16. Environmental friendly high efficient light source. Plasma lamp. 2006 annual report

    Energy Technology Data Exchange (ETDEWEB)

    Courret, G.

    2006-07-01

    This annual report for 2006 for the Swiss Federal Office of Energy (SFOE) reports on work being done on the development of a high-efficiency source of light based on the light emission of a plasma. The report presents a review of work done in 2006, including thermodynamics and assessment of the efficiency of the magnetron, tests with small bulbs, study of the standing wave ratio (microwave fluxes) and the development of a new coupling system to allow ignition in very small bulbs. Also, knowledge on the fillings of the bulb and induced effects of the modulator were gained. The development of a second generation of modulator to obtain higher efficiency at lower power is noted.

  17. Plasma-assisted CO2 conversion: optimizing performance via microwave power modulation

    Science.gov (United States)

    Britun, Nikolay; Silva, Tiago; Chen, Guoxing; Godfroid, Thomas; van der Mullen, Joost; Snyders, Rony

    2018-04-01

    Significant improvement in the energy efficiency of plasma-assisted CO2 conversion is achieved with applied power modulation in a surfaguide microwave discharge. The obtained values of CO2 conversion and energy efficiency are, respectively, 0.23 and 0.33 for a 0.95 CO2  +  0.05 N2 gas mixture. Analysis of the energy relaxation mechanisms shows that power modulation can potentially affect the vibrational-translational energy exchange in plasma. In our case, however, this mechanism does not play a major role, likely due to the low degree of plasma non-equilibrium in the considered pressure range. Instead, the gas residence time in the discharge active zone together with plasma pulse duration are found to be the main factors affecting the CO2 conversion efficiency at low plasma pulse repetition rates. This effect is confirmed experimentally by the in situ time-resolved two-photon absorption laser-induced fluorescence measurements of CO molecular density produced in the discharge as a result of CO2 decomposition.

  18. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  19. Recent progress in plasma modelling at INFN-LNS

    Energy Technology Data Exchange (ETDEWEB)

    Neri, L., E-mail: neri@lns.infn.it; Castro, G.; Mascali, D.; Celona, L.; Gammino, S. [INFN-Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Torrisi, G. [INFN-Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università Mediterranea di Reggio Calabria, Via Graziella, 89100 Reggio Calabria (Italy); Galatà, A. [INFN-Laboratori Nazionali di Legnaro, Viale dell’Università 2, 35020 Legnaro, Padova (Italy)

    2016-02-15

    At Istituto Nazionale di Fisica Nucleare - Laboratori Nazionali del Sud (INFN-LNS), the development of intense ion and proton sources has been supported by a great deal of work on the modelling of microwave generated plasmas for many years. First, a stationary version of the particle-in-cell code was developed for plasma modelling starting from an iterative strategy adopted for the space charge dominated beam transport simulations. Electromagnetic properties of the plasma and full-waves simulations are now affordable for non-homogenous and non-isotropic magnetized plasma via “cold” approximation. The effects of Coulomb collisions on plasma particles dynamics was implemented with the Langevin formalism, instead of simply applying the Spitzer 90° collisions through a Monte Carlo technique. A wide database of different cross sections related to reactions occurring in a hydrogen plasma was implemented. The next step consists of merging such a variety of approaches for retrieving an “as-a-whole” picture of plasma dynamics in ion sources. The preliminary results will be summarized in the paper for a microwave discharge ion source designed for intense and high quality proton beams production, proton source for European Spallation Source project. Even if the realization of a predictive software including the complete processes involved in plasma formation is still rather far, a better comprehension of the source behavior is possible and so the simulations may support the optimization phase.

  20. Studies of plasma breakdown and electron heating on a 14 GHz ECR ion source through measurement of plasma bremsstrahlung

    Energy Technology Data Exchange (ETDEWEB)

    Ropponen, T; Machicoane, G; Leitner, D [National Superconducting Cyclotron Laboratory, MSU, East Lansing, MI 48824 (United States); Tarvainen, O; Toivanen, V; Koivisto, H; Kalvas, T; Peura, P; Jones, P [University of Jyvaeskylae, Department of Physics, PO Box 35 (YFL), 40500 Jyvaeskylae (Finland); Izotov, I; Skalyga, V; Zorin, V [Institute of Applied Physics, RAS, 46 Ulyanov St., 603950 Nizhny Novgorod (Russian Federation); Noland, J, E-mail: tommi.ropponen@gmail.com, E-mail: olli.tarvainen@jyu.fi [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, CA 94720 (United States)

    2011-10-15

    Temporal evolution of plasma bremsstrahlung emitted by a 14 GHz electron cyclotron resonance ion source (ECRIS) operated in pulsed mode is presented in the energy range 1.5-400 keV with 100 {mu}s resolution. Such a high temporal resolution together with this energy range has never been measured before with an ECRIS. Data are presented as a function of microwave power, neutral gas pressure, magnetic field configuration and seed electron density. The saturation time of the bremsstrahlung count rate is almost independent of the photon energy up to 100 keV and exhibits similar characteristics with the neutral gas balance. The average photon energy during the plasma breakdown is significantly higher than that during the steady state and depends strongly on the density of seed electrons. The results are consistent with a theoretical model describing the evolution of the electron energy distribution function during the preglow transient.

  1. Design and development of a highly sensitive, field portable plasma source instrument for on-line liquid stream monitoring and real-time sample analysis

    International Nuclear Information System (INIS)

    Duan, Yixiang; Su, Yongxuan; Jin, Zhe; Abeln, Stephen P.

    2000-01-01

    The development of a highly sensitive, field portable, low-powered instrument for on-site, real-time liquid waste stream monitoring is described in this article. A series of factors such as system sensitivity and portability, plasma source, sample introduction, desolvation system, power supply, and the instrument configuration, were carefully considered in the design of the portable instrument. A newly designed, miniature, modified microwave plasma source was selected as the emission source for spectroscopy measurement, and an integrated small spectrometer with a charge-coupled device detector was installed for signal processing and detection. An innovative beam collection system with optical fibers was designed and used for emission signal collection. Microwave plasma can be sustained with various gases at relatively low power, and it possesses high detection capabilities for both metal and nonmetal pollutants, making it desirable to use for on-site, real-time, liquid waste stream monitoring. An effective in situ sampling system was coupled with a high efficiency desolvation device for direct-sampling liquid samples into the plasma. A portable computer control system is used for data processing. The new, integrated instrument can be easily used for on-site, real-time monitoring in the field. The system possesses a series of advantages, including high sensitivity for metal and nonmetal elements; in situ sampling; compact structure; low cost; and ease of operation and handling. These advantages will significantly overcome the limitations of previous monitoring techniques and make great contributions to environmental restoration and monitoring. (c)

  2. Generation and confinement of microwave gas-plasma in photonic dielectric microstructure.

    Science.gov (United States)

    Debord, B; Jamier, R; Gérôme, F; Leroy, O; Boisse-Laporte, C; Leprince, P; Alves, L L; Benabid, F

    2013-10-21

    We report on a self-guided microwave surface-wave induced generation of ~60 μm diameter and 6 cm-long column of argon-plasma confined in the core of a hollow-core photonic crystal fiber. At gas pressure of 1 mbar, the micro-confined plasma exhibits a stable transverse profile with a maximum gas-temperature as high as 1300 ± 200 K, and a wall-temperature as low as 500 K, and an electron density level of 10¹⁴ cm⁻³. The fiber guided fluorescence emission presents strong Ar⁺ spectral lines in the visible and near UV. Theory shows that the observed combination of relatively low wall-temperature and high ionisation rate in this strongly confined configuration is due to an unprecedentedly wide electrostatic space-charge field and the subsequent ion acceleration dominance in the plasma-to-gas power transfer.

  3. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  4. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  5. Development and application of helicon plasma sources. Evolution of extensive plasma science

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro

    2009-01-01

    Recent advances in plasma science are remarkable, and are deeply indebted to the development of sophisticated plasma sources. While numerous methods have been proposed for producing the plasma, helicon plasma sources, capable of generating high density (>10 13 cm -3 ) plasma with high ionization degree (>several ten percent) over a wide range of external control parameters, have been utilized in such broad areas as fundamental and processing plasmas, nuclear fusion, gas laser, modeling of space plasma, plasma acceleration/propulsion, among others. On the other hand, a number of important issues are left unsolved, in particular, those relevant to the wave phenomena and efficient plasma production. Solution to these issues are expected to play key roles in taking full advantage of the helicon plasma sources in the next generation. In this article, we overview our current understanding of the helicon plasma production and recent development of characteristic helicon plasma sources, and discuss possible future advancement of extensive plasma science utilizing them. (author)

  6. Dependence of ion beam current on position of mobile plate tuner in multi-frequencies microwaves electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Kurisu, Yosuke; Kiriyama, Ryutaro; Takenaka, Tomoya; Nozaki, Dai; Sato, Fuminobu; Kato, Yushi; Iida, Toshiyuki

    2012-01-01

    We are constructing a tandem-type electron cyclotron resonance ion source (ECRIS). The first stage of this can supply 2.45 GHz and 11-13 GHz microwaves to plasma chamber individually and simultaneously. We optimize the beam current I FC by the mobile plate tuner. The I FC is affected by the position of the mobile plate tuner in the chamber as like a circular cavity resonator. We aim to clarify the relation between the I FC and the ion saturation current in the ECRIS against the position of the mobile plate tuner. We obtained the result that the variation of the plasma density contributes largely to the variation of the I FC when we change the position of the mobile plate tuner.

  7. Determination of the mineral compositions of in six beans by microwave digestion with inductively coupled plasma atomic emission spectrometry

    International Nuclear Information System (INIS)

    Yan, Q.; Yang, L.; Chen, S.; Liu, X.; Ma, X.

    2012-01-01

    In the study, microwave digestion procedure optimized was applied for digesting beans. Nineteen mineral element concentrations were determined by Inductively Coupled Plasma Atomic Emission Spectrometry (ICP-AES). The result indicated detection limits for the 19 elements were less than 0.0998, and relative standard deviations were 1.01% - 5.02% for all the elements, and recoveries were 90.89% - 104.55% by adding standard recovery experiment. The study showed the beans selected were abundant in mineral element contents in human nutrition, determination mineral element contents by ICP-AES with microwave digestion technology were a lot of merits of small environmental pollution, fast and accurate determination result, which could satisfy the examination request of bean samples. The results provided evidence that the six beans were a good source of K, P, Mg and Ca. This study is to give important reference value to people due to individual differences by adjusting the dietary to complement the different mineral elements. (author)

  8. Nonlinear phenomena in the interaction of microwaves with the low-temperature argon plasma flux

    International Nuclear Information System (INIS)

    Armand, N.A.; Lisitskaya, A.A.; Rogashkov, S.A.; Rogashkova, A.I.; Chmil', A.I.; Shustin, E.G.

    1982-01-01

    Theoretical and experimental investigations of nonlinear effects arising during the passing of SHF waves across an argon plasma jet flowing from an arc plasmatron have been carried on. It is shown that under conditions of the radiowave propagation through low temperature plasma moving across the direction of the wave propagation modes of both the wave self-focusing and its nonlinear asymmetrical refaction can be accomplished. The effect of the formation and propagation of the additional ionization region in a microwave flow initiated with plasma independently produced in the region of the maximum amplitude of the SHF field has been experimentally discovered [ru

  9. III Workshop on Microwave Reflectometry for Fusion Plasma Diagnostics

    International Nuclear Information System (INIS)

    Sanchez, J.; Luna, E. de la.

    1997-11-01

    Microwave reflectometry is based on the analysis of the properties (phase delay, time delay, amplitude) of a millimeter wave beam which is launched and reflected at the plasma critical layer. Operation with a fixed frequency beam can be used to analyze the electron density fluctuations in the reflecting region. If several frequencies are launched, information about the density profile can be obtained. In these proceedings, a collection of papers is presented on the issues of density fluctuation studies and profile analysis as well as a special contribution about the development of reflectometry for the ITER project. (Author) 145 refs

  10. III Workshop on Microwave Reflectometry for Fusion Plasma Diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez, J; Luna, E de la

    1997-11-01

    Microwave reflectometry is based on the analysis of the properties (phase delay, time delay, amplitude) of a millimeter wave beam which is launched and reflected at the plasma critical layer. Operation with a fixed frequency beam can be used to analyze the electron density fluctuations in the reflecting region. If several frequencies are launched, information about the density profile can be obtained. In these proceedings, a collection of papers is presented on the issues of density fluctuation studies and profile analysis as well as a special contribution about the development of reflectometry for the ITER project. (Author) 145 refs.

  11. Design of a microwave calorimeter for the microwave tokamak experiment

    International Nuclear Information System (INIS)

    Marinak, M.

    1988-01-01

    The initial design of a microwave calorimeter for the Microwave Tokamak Experiment is presented. The design is optimized to measure the refraction and absorption of millimeter rf microwaves as they traverse the toroidal plasma of the Alcator C tokamak. Techniques utilized can be adapted for use in measuring high intensity pulsed output from a microwave device in an environment of ultra high vacuum, intense fields of ionizing and non-ionizing radiation and intense magnetic fields. 16 refs

  12. Plasma density fluctuation measurements from coherent and incoherent microwave reflection

    International Nuclear Information System (INIS)

    Conway, G.D.; Schott, L.; Hirose, A.

    1996-01-01

    Using the spatial coherency present in a reflected microwave signal (Conway et al 1994 Rev. Sci. Instrum. 65 2920) it is possible to measure a coherent, Γ c , and an incoherent, Γ i , reflection coefficient (proportional to the radar cross section) from a turbulent plasma cutoff layer. Results acquired with a 17 GHz reflectometer from a STOR-M tokamak edge region (r/a ∼ 0.8) give significant Γ c and Γ i , which suggests two-dimensional structure in the reflection layer. Using a 'distorted-mirror' model for the plasma fluctuations, estimates of an effective radial width, σ, and poloidal correlation length, L p , can be derived from the reflection coefficients. STOR-M results typically give a σ of a few millimetres and an L p of a couple of centimetres. (author)

  13. Iron-based Nanocomposite Synthesised by Microwave Plasma Decomposition of Iron Pentacarbonyl

    Czech Academy of Sciences Publication Activity Database

    David, Bohumil; Pizúrová, Naděžda; Schneeweiss, Oldřich; Hoder, T.; Kudrle, V.; Janča, J.

    2007-01-01

    Roč. 263, - (2007), s. 147-152 ISSN 1012-0386. [Diffusion and Thermodynamics of Materials /IX/. Brno, 13.09.2006-15.09.2006] R&D Projects: GA ČR GA202/04/0221 Institutional research plan: CEZ:AV0Z20410507 Keywords : iron-based nanopowder * synthesis * microwave plasma method Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.483, year: 2005 http://www.scientific.net/3-908451-35-3/3.html

  14. Ion source with plasma cathode

    International Nuclear Information System (INIS)

    Yabe, E.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma is convergent, i.e., filamentlike; in zero magnetic field, it turns divergent and spraylike. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 h with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is also eminently suitable for use in oxygen ion production

  15. Future prospects for ECR ion sources with improved charge state distributions

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    Despite the steady advance in the technology of the ECR ion source, present art forms have not yet reached their full potential in terms of charge state and intensity within a particular charge state, in part, because of the narrow band width. single-frequency microwave radiation used to heat the plasma electrons. This article identifies fundamentally important methods which may enhance the performances of ECR ion sources through the use of: (1) a tailored magnetic field configuration (spatial domain) in combination with single-frequency microwave radiation to create a large uniformly distributed ECR ''volume'' or (2) the use of broadband frequency domain techniques (variable-frequency, broad-band frequency, or multiple-discrete-frequency microwave radiation), derived from standard TWT technology, to transform the resonant plasma ''surfaces'' of traditional ECR ion sources into resonant plasma ''volume''. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, thereby producing higher charge state ions and much higher intensities within a particular charge state than possible in present forms of' the source. The ECR ion source concepts described in this article offer exciting opportunities to significantly advance the-state-of-the-art of ECR technology and as a consequence, open new opportunities in fundamental and applied research and for a variety of industrial applications

  16. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  17. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  18. High-rate synthesis of microcrystalline silicon films using high-density SiH4/H2 microwave plasma

    International Nuclear Information System (INIS)

    Jia, Haijun; Saha, Jhantu K.; Ohse, Naoyuki; Shirai, Hajime

    2007-01-01

    A high electron density (> 10 11 cm -3 ) and low electron temperature (1-2 eV) plasma is produced by using a microwave plasma source utilizing a spoke antenna, and is applied for the high-rate synthesis of high quality microcrystalline silicon (μc-Si) films. A very fast deposition rate of ∼ 65 A/s is achieved at a substrate temperature of 150 deg. C with a high Raman crystallinity and a low defect density of (1-2) x 10 16 cm -3 . Optical emission spectroscopy measurements reveal that emission intensity of SiH and intensity ratio of H α /SiH are good monitors for film deposition rate and film crystallinity, respectively. A high flux of film deposition precursor and atomic hydrogen under a moderate substrate temperature condition is effective for the fast deposition of highly crystallized μc-Si films without creating additional defects as well as for the improvement of film homogeneity

  19. Properties of hydrogenated amorphous silicon (a-Si:H) deposited using a microwave Ecr plasma

    International Nuclear Information System (INIS)

    Mejia H, J.A.

    1996-01-01

    Hydrogenated amorphous silicon (a-Si:H) films have been widely applied to semiconductor devices, such as thin film transistors, solar cells and photosensitive devices. In this work, the first Si-H-Cl alloys (obtained at the National Institute for Nuclear Research of Mexico) were formed by a microwave electron cyclotron resonance (Ecr) plasma CVD method. Gaseous mixtures of silicon tetrachloride (Si Cl 4 ), hydrogen and argon were used. The Ecr plasma was generated by microwaves at 2.45 GHz and a magnetic field of 670 G was applied to maintain the discharge after resonance condition (occurring at 875 G). Si and Cl contents were analyzed by Rutherford Backscattering Spectrometry (RBS). It was found that, increasing proportion of Si Cl 4 in the mixture or decreasing pressure, the silicon and chlorine percentages decrease. Optical gaps were obtained by spectrophotometry. Decreasing temperature, optical gap values increase from 1.4 to 1.5 eV. (Author)

  20. Hydrogen sulfide waste treatment by microwave plasma-chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Harkness, J.B.L.; Doctor, R.D.

    1994-03-01

    A waste-treatment process that recovers both hydrogen and sulfur from industrial acid-gas waste streams is being developed to replace the Claus technology, which recovers only sulfur. The proposed process is derived from research reported in the Soviet technical literature and uses microwave (or radio-frequency) energy to initiate plasma-chemical reactions that dissociate hydrogen sulfide into elemental hydrogen and sulfur. This process has several advantages over the current Claus-plus-tail-gas-cleanup technology, which burns the hydrogen to water. The primary advantage of the proposal process is its potential for recovering and recycling hydrogen more cheaply than the direct production of hydrogen. Since unconverted hydrogen sulfide is recycled to the plasma reactor, the plasma-chemical process has the potential for sulfur recoveries in excess of 99% without the additional complexity of the tail-gas-cleanup processes associated with the Claus technology. There may also be some environmental advantages to the plasma-chemical process, because the process purge stream would primarily be the carbon dioxide and water contained in the acid-gas waste stream. Laboratory experiments with pure hydrogen sulfide have demonstrated the ability of the process to operate at or above atmospheric pressure with an acceptable hydrogen sulfide dissociation energy. Experiments with a wide range of acid-gas compositions have demonstrated that carbon dioxide and water are compatible with the plasma-chemical dissociation process and that they do not appear to create new waste-treatment problems. However, carbon dioxide does have negative impacts on the overall process. First, it decreases the hydrogen production, and second, it increases the hydrogen sulfide dissociation energy.

  1. Diamond Windows for High Powered Microwave Transmission. Final Report

    International Nuclear Information System (INIS)

    Gat, R.

    2011-01-01

    This phase II SBIR developed technology for manufacturing diamond windows for use in high energy density photon transmission e.g. microwave or laser light photons. Microwave sources used in fusion research require microwave extraction windows with high thermal conductivity, low microwave absorption, and low resistance to thermal cracking. Newly developed, man made diamond windows have all three of these properties, but these windows are prohibitively expensive. This limits the natural progress of these important technologies to higher powers and slows the development of additional applications. This project developed a lower cost process for manufacturing diamond windows using microwave plasma. Diamond windows were deposited. A grinding process was used to provide optical smoothness for 2 cm diameter diamond windows that met the parallelism specifications for fusion beam windows. The microwave transmission performance (loss tangent) of one of the windows was measured at 95GHz to be less than 10-4, meeting specifications for utilization in the ITER tokamak.

  2. Measurements of beam current density and proton fraction of a permanent-magnet microwave ion source

    Energy Technology Data Exchange (ETDEWEB)

    Waldmann, Ole; Ludewigt, Bernhard [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, California 94720 (United States)

    2011-11-15

    A permanent-magnet microwave ion source has been built for use in a high-yield, compact neutron generator. The source has been designed to produce up to 100 mA of deuterium and tritium ions. The electron-cyclotron resonance condition is met at a microwave frequency of 2.45 GHz and a magnetic field strength of 87.5 mT. The source operates at a low hydrogen gas pressure of about 0.15 Pa. Hydrogen beams with a current density of 40 mA/cm{sup 2} have been extracted at a microwave power of 450 W. The dependence of the extracted proton beam fraction on wall materials and operating parameters was measured and found to vary from 45% for steel to 95% for boron nitride as a wall liner material.

  3. Recent Advancements in Microwave Imaging Plasma Diagnostics

    International Nuclear Information System (INIS)

    Park, H.; Chang, C.C.; Deng, B.H.; Domier, C.W.; Donni, A.J.H.; Kawahata, K.; Liang, C.; Liang, X.P.; Lu, H.J.; Luhmann, N.C. Jr.; Mase, A.; Matsuura, H.; Mazzucato, E.; Miura, A.; Mizuno, K.; Munsat, T.; Nagayama, K.; Nagayama, Y.; Pol, M.J. van de; Wang, J.; Xia, Z.G.; Zhang, W-K.

    2002-01-01

    Significant advances in microwave and millimeter wave technology over the past decade have enabled the development of a new generation of imaging diagnostics for current and envisioned magnetic fusion devices. Prominent among these are revolutionary microwave electron cyclotron emission imaging (ECEI), microwave phase imaging interferometers, imaging microwave scattering and microwave imaging reflectometer (MIR) systems for imaging electron temperature and electron density fluctuations (both turbulent and coherent) and profiles (including transport barriers) on toroidal devices such as tokamaks, spherical tori, and stellarators. The diagnostic technology is reviewed, and typical diagnostic systems are analyzed. Representative experimental results obtained with these novel diagnostic systems are also presented

  4. Benchmarking Microwave Cavity Dark Matter Searches using a Radioactive Source

    CERN Multimedia

    Caspers, F

    2014-01-01

    A radioactive source is proposed as a calibration device to verify the sensitivity of a microwave dark matter search experiment. The interaction of e.g., electrons travelling in an arbitrary direction and velocity through an electromagnetically “empty” microwave cavity can be calculated numerically. We give an estimation of the energy deposited by a charged particle into a particular mode. Numerical examples are given for beta emitters and two particular cases: interaction with a field free cavity and interaction with a cavity which already contains an electromagnetic field. Each particle delivers a certain amount of energy related to the modal R/Q value of the cavity. The transferred energy is a function of the particles trajectory and its velocity. It results in a resonant response of the cavity, which can be observed using a sensitive microwave receiver, provided that the deposited energy is significantly above the single photon threshold.

  5. Proceedings of microwave processing of materials 3

    International Nuclear Information System (INIS)

    Beatty, R.L.

    1992-01-01

    This book contains proceedings of the third MRS Symposium on Microwave Processing of Materials. Topics covered include: Microwave Processing Overviews, Numerical Modeling Techniques, Microwave Processing System Design, Microwave/Plasma Processing, Microwave/Materials Interactions, Microwave Processing of Ceramics, Microwave Processing of Polymers, Microwave Processing of Hazardous Wastes, Microwave NDE Techniques and Dielectric Properties and Measurements

  6. Lower hybrid resonance plasma heating in the LISA machine. Aquecimento do plasma na ressonancia hibrida inferior na maquina LISA

    Energy Technology Data Exchange (ETDEWEB)

    Silva, J C.X. da; Cunha Rapozo, C da [Universidade Federal Fluminense, Niteroi, RJ (Brazil). Inst. de Fisica

    1988-10-01

    Plasma of helium was obtained using microwave source of f[sub RF] = 2.45 GHz and P[sub RF] = 800 W. Temperature and electron density were investigated for plasma excited by radiofrequency of f = 30 MHz and P[sub RF] = 0.1 kw. (M.C.K.).

  7. Measuring the Plasma Density of a Ferroelectric Plasma Source in an Expanding Plasma

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2003-01-01

    The initial density and electron temperature at the surface of a ferroelectric plasma source were deduced from floating probe measurements in an expanding plasma. The method exploits negative charging of the floating probe capacitance by fast flows before the expanding plasma reaches the probe. The temporal profiles of the plasma density can be obtained from the voltage traces of the discharge of the charged probe capacitance by the ion current from the expanding plasma. The temporal profiles of the plasma density, at two different distances from the surface of the ferroelectric plasma source, could be further fitted by using the density profiles for the expanding plasma. This gives the initial values of the plasma density and electron temperature at the surface. The method could be useful for any pulsed discharge, which is accompanied by considerable electromagnetic noise, if the initial plasma parameters might be deduced from measurements in expanding plasma

  8. Spectroscopic investigation of wave driven microwave plasmas

    International Nuclear Information System (INIS)

    Wijtvliet, R.; Felizardo, E.; Tatarova, E.; Dias, F. M.; Ferreira, C. M.; Nijdam, S.; Veldhuizen, E. V.; Kroesen, G.

    2009-01-01

    Large H atom line broadening was found throughout the volume of surface wave generated He-H 2 and H 2 microwave plasmas at low pressures. The measured Doppler temperatures corresponding to the H β , H γ , H δ , H ε , and H ζ line profiles were found to be higher than the rotational temperature of the hydrogen molecular Fulcher-α band and the Doppler temperature of the 667.1 nm singlet He line. No excessive broadening has been found. The Lorentzian and Gaussian widths as determined by fitting the spectral lines with a Voigt profile increase with the principal quantum number of the upper level. In contrast, no such dependence for the Gaussian width has been observed in an Ar-H 2 discharge. No population inversion has been observed from measurements of the relative intensities of transitions within the Balmer series.

  9. Progress of compact Marx generators high power microwave source

    International Nuclear Information System (INIS)

    Liu Jinliang; Fan Xuliang; Bai Guoqiang; Cheng Xinbing

    2012-01-01

    The compact Marx generators, which can operate at a certain repetition frequency with small size, light weight, and high energy efficiency, are widely used in narrowband, wideband and ultra-wideband high power microwave (HPM) sources. This type of HPM source based on compact Marx generators is a worldwide research focus in recent years, and is important trend of development. The developments of this type of HPM source are described systemically in this paper. The output parameters and structural characteristics are reviewed, and the trends of development are discussed. This work provides reference and evidence for us to master the status of the HPM source based on compact Marx generators correctly and to explore its technical routes scientifically. (authors)

  10. Microwave Tokamak Experiment: Overview and status

    International Nuclear Information System (INIS)

    1990-05-01

    The Microwave Tokamak Experiment, now under construction at the Laboratory, will use microwave heating from a free-electron laser. The intense microwave pulses will be injected into the tokamak to realize several goals, including a demonstration of the effects of localized heat deposition within magnetically confined plasma, a better understanding of energy confinement in tokamaks, and use of the new free-electron laser technology for plasma heating. 3 figs., 3 tabs

  11. Microwave plasma CVD of oxide films relating to high Tc Bi-Sr-Ca-Cu-O superconductor

    International Nuclear Information System (INIS)

    Hashimoto, T.; Kosaka, T.; Yoshida, Y.; Yoshimoto, M.; Koinuma, H.

    1989-01-01

    Microwave plasma CVD was applied to the synthesis of Bi, Sr, Ca, and Cu oxide films at relatively low temperatures. Gas source materials used were Bi(C 6 H 5 ) 3 , Sr(PPM) 2 , Ca(PPM) 2 , and Cu(HFA) 2 , where PPM and HFA represent C 2 F 5 COCHCOC(CH 3 ) 3 and CF 3 COCHCOCF 3 , respectively. Films were deposited on MgO (100) substrate at temperatures between 200 C and 400 C under an atmosphere of 1000mTorr Ar-O 2 (50/100) mixture which was partially excited by plasma. From Bi(C 6 H 5 ) 3 , Bi 2 O 3 was formed at 200 C without containing carbon above the detection level by XPS analysis. From Cu(HFA) 2 , CuO was prepared at 400 C by increasing oxygen partial pressure to 0.1Torr. (At lower oxygen partial pressure, CuF 2 or amorphous films were deposited.) From Sr(PPM) 2 and Ca(PPM) 2 , SrF 2 and CaF 2 were obtained at 400 C. The attempt to fabricate superconducting films is also reported

  12. Self-excitation of microwave oscillations in plasma-assisted slow-wave oscillators by an electron beam with a movable focus

    Science.gov (United States)

    Bliokh, Yu. P.; Nusinovich, G. S.; Shkvarunets, A. G.; Carmel, Y.

    2004-10-01

    Plasma-assisted slow-wave oscillators (pasotrons) operate without external magnetic fields, which makes these devices quite compact and lightweight. Beam focusing in pasotrons is provided by ions, which appear in the device due to the impact ionization of a neutral gas by beam electrons. Typically, the ionization time is on the order of the rise time of the beam current. This means that, during the rise of the current, beam focusing by ions becomes stronger. Correspondingly, a beam of electrons, which was initially diverging radially due to the self-electric field, starts to be focused by ions, and this focus moves towards the gun as the ion density increases. This feature makes the self-excitation of electromagnetic (em) oscillations in pasotrons quite different from practically all other microwave sources where em oscillations are excited by a stationary electron beam. The process of self-excitation of em oscillations has been studied both theoretically and experimentally. It is shown that in pasotrons, during the beam current rise the amount of current entering the interaction space and the beam coupling to the em field vary. As a result, the self-excitation can proceed faster than in conventional microwave sources with similar operating parameters such as the operating frequency, cavity quality-factor and the beam current and voltage.

  13. Measurements of beam current density and proton fraction of a permanent-magnet microwave ion source.

    Science.gov (United States)

    Waldmann, Ole; Ludewigt, Bernhard

    2011-11-01

    A permanent-magnet microwave ion source has been built for use in a high-yield, compact neutron generator. The source has been designed to produce up to 100 mA of deuterium and tritium ions. The electron-cyclotron resonance condition is met at a microwave frequency of 2.45 GHz and a magnetic field strength of 87.5 mT. The source operates at a low hydrogen gas pressure of about 0.15 Pa. Hydrogen beams with a current density of 40 mA/cm(2) have been extracted at a microwave power of 450 W. The dependence of the extracted proton beam fraction on wall materials and operating parameters was measured and found to vary from 45% for steel to 95% for boron nitride as a wall liner material. © 2011 American Institute of Physics

  14. Microwave energy transmission system for solar power station

    Energy Technology Data Exchange (ETDEWEB)

    Matsumoto, Hiroshi

    1988-05-05

    This paper deals with a microwave wireless energy transmission system which will be required for a solar power station under investigation, particularly, it describes its foundation and future investigation. It is supposed that for realization of microwave wireless transmission techniques, it is most important to investigate the effect of strong microwave beams on a plasma environment, establish control techniques for microwave beams in which a retro-directive system is combined with a computer control system, and develop a semiconductor transmission module. Institute of Space and Astronautical Science (Japan) made an experiment on the effect of microwaves on ionospheric plasma by using an observatory rocket. The institute has planned to make an experiment on a microwave energy transmission system which is to be mounted to a small-scale space flyer unit in order to examine the control of microwave beams and 10 KW power transmission, in addition to investigation on the interaction of microwave energy beams with a plasma environment. (4 figs, 3 tabs, 20 refs)

  15. A finite-difference time-domain simulation of high power microwave generated plasma at atmospheric pressures

    International Nuclear Information System (INIS)

    Ford, Patrick J.; Beeson, Sterling R.; Krompholz, Hermann G.; Neuber, Andreas A.

    2012-01-01

    A finite-difference algorithm was developed to calculate several RF breakdown parameters, for example, the formative delay time that is observed between the initial application of a RF field to a dielectric surface and the formation of field-induced plasma interrupting the RF power flow. The analysis is focused on the surface being exposed to a background gas pressure above 50 Torr. The finite-difference algorithm provides numerical solutions to partial differential equations with high resolution in the time domain, making it suitable for simulating the time evolving interaction of microwaves with plasma; in lieu of direct particle tracking, a macroscopic electron density is used to model growth and transport. This approach is presented as an alternative to particle-in-cell methods due to its low complexity and runtime leading to more efficient analysis for a simulation of a microsecond scale pulse. The effect and development of the plasma is modeled in the simulation using scaling laws for ionization rates, momentum transfer collision rates, and diffusion coefficients, as a function of electric field, gas type and pressure. The incorporation of plasma material into the simulation involves using the Z-transform to derive a time-domain algorithm from the complex frequency-dependent permittivity of plasma. Therefore, the effect of the developing plasma on the instantaneous microwave field is calculated. Simulation results are compared with power measurements using an apparatus designed to facilitate surface flashover across a polycarbonate boundary in a controlled N 2 , air, or argon environment at pressures exceeding 50 Torr.

  16. Theoretical scaling law of coronal magnetic field and electron power-law index in solar microwave burst sources

    Science.gov (United States)

    Huang, Y.; Song, Q. W.; Tan, B. L.

    2018-04-01

    It is first proposed a theoretical scaling law respectively for the coronal magnetic field strength B and electron power-law index δ versus frequency and coronal height in solar microwave burst sources. Based on the non-thermal gyro-synchrotron radiation model (Ramaty in Astrophys. J. 158:753, 1969), B and δ are uniquely solved by the observable optically-thin spectral index and turnover (peak) frequency, the other parameters (plasma density, temperature, view angle, low and high energy cutoffs, etc.) are relatively insensitive to the calculations, thus taken as some typical values. Both of B and δ increase with increasing of radio frequency but with decreasing of coronal height above photosphere, and well satisfy a square or cubic logarithmic fitting.

  17. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  18. Surface nanostructuring in the carbon–silicon(100) system upon microwave plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Yafarov, R. K., E-mail: pirpc@yandex.ru; Shanygin, V. Ya. [Russian Academy of Sciences, Kotel’nikov Institute of Radio Engineering and Electronics, Saratov Branch (Russian Federation)

    2017-04-15

    The study is concerned with the physical and chemical processes and the mechanisms of the effect of plasma preparation of a surface on the systematic features of condensation and surface phase transformations during the formation of Si–C mask domains on p-Si(100) crystals by the deposition of submonolayer C coatings in the microwave plasma of low-pressure ethanol vapors. It is shown that, at short durations of the deposition of carbon onto silicon wafers with a natural-oxide coating at a temperature of 100°C, the formation of domains is observed. The lateral dimensions of the domains lie in the range from 10–15 to 200 nm, and the heights of ridges produced by the plasma chemical etching of silicon through the mask domain coatings vary in the range from 40 to 80 nm.

  19. Experimental plasma physics

    International Nuclear Information System (INIS)

    Dreicer, H.; Banton, M.E.; Ingraham, J.C.; Wittman, F.; Wright, B.L.

    1976-01-01

    The Experimental Plasma Physics group's main efforts continue to be directed toward the understanding of the mechanisms of electromagnetic energy absorption in a plasma, and the resultant plasma heating and energy transport. The high-frequency spectrum of plasma waves parametrically excited by the microwave signal at high powers has been measured. The absorption of a small test microwave signal in a plasma made parametrically unstable by a separate high-power driver microwave signal was also studied

  20. Plasma stream transport method (2) Use of charge exchange plasma source

    International Nuclear Information System (INIS)

    Tsuchimoto, T.

    1978-01-01

    The plasma stream transport method using a single plasma source has limitations for practical film deposition. Using a charge exchange phenomenon, a new plasma source is devised and tested by the plasma stream transport machine. Metals, silicon dioxide, and nitride films are deposited by this system. The mechanism of deposition under relatively high vacuum surrounding a silicon wafer is discussed as is the effect of radical atoms

  1. Advanced RF and microwave functions based on an integrated optical frequency comb source.

    Science.gov (United States)

    Xu, Xingyuan; Wu, Jiayang; Nguyen, Thach G; Shoeiby, Mehrdad; Chu, Sai T; Little, Brent E; Morandotti, Roberto; Mitchell, Arnan; Moss, David J

    2018-02-05

    We demonstrate advanced transversal radio frequency (RF) and microwave functions based on a Kerr optical comb source generated by an integrated micro-ring resonator. We achieve extremely high performance for an optical true time delay aimed at tunable phased array antenna applications, as well as reconfigurable microwave photonic filters. Our results agree well with theory. We show that our true time delay would yield a phased array antenna with features that include high angular resolution and a wide range of beam steering angles, while the microwave photonic filters feature high Q factors, wideband tunability, and highly reconfigurable filtering shapes. These results show that our approach is a competitive solution to implementing reconfigurable, high performance and potentially low cost RF and microwave signal processing functions for applications including radar and communication systems.

  2. Large area ion and plasma beam sources

    Energy Technology Data Exchange (ETDEWEB)

    Waldorf, J. [IPT Ionen- und Plasmatech. GmbH, Kaiserslautern (Germany)

    1996-06-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.).

  3. Large area ion and plasma beam sources

    International Nuclear Information System (INIS)

    Waldorf, J.

    1996-01-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.)

  4. Novel edible oil sources: Microwave heating and chemical properties.

    Science.gov (United States)

    Hashemi, Seyed Mohammad Bagher; Mousavi Khaneghah, Amin; Koubaa, Mohamed; Lopez-Cervantes, Jaime; Yousefabad, Seyed Hossein Asadi; Hosseini, Seyedeh Fatemeh; Karimi, Masoumeh; Motazedian, Azam; Asadifard, Samira

    2017-02-01

    The aim of this work was to investigate the effect of various microwave heating times (1, 3, 5, 10, and 15min) on the chemical properties of novel edible oil sources, including Mashhadi melon (Cucumis melo var. Iranians cv. Mashhadi), Iranian watermelon (Citrullus lanatus cv. Fire Fon), pumpkin (Cucurbita pepo subsp. pepo var. Styriaca), and yellow apple (Malus domestica cv. Golden Delicious) seed oils. The evaluated parameters were peroxide value (PV), conjugated diene (CD) and triene (CT) values, carbonyl value (CV), p-anisidine value (AnV), oil stability index (OSI), radical scavenging activity (RSA), total tocopherols, total phenolics, as well as chlorophyll and carotenoid contents. Results showed that extended microwave heating involves decreased quality of the seed oils, mainly due to the formation of primary and secondary oxidation products. Microwave heating time also affects the total contents of chlorophylls, carotenoids, phenolics and tocopherols, which clearly decrease by increasing the exposure time. The order of oxidative stability of the analyzed edible oils was pumpkin>Mashhadi melon>Iranian watermelon>yellow apple. The obtained results demonstrated the promising potential of these novel edible oils for different food applications. Copyright © 2016 Elsevier Ltd. All rights reserved.

  5. Convective model of a microwave discharge in a gas at atmospheric pressure in the form of a spatially localized plasma

    International Nuclear Information System (INIS)

    Skovoroda, A.A.

    1997-01-01

    Experiments and a theoretical model consistent with them are presented which show that a stationary microwave discharge in a gas at atmospheric pressure under the action of free convection due to the action of the buoyant force on the heated air can be spatially localized, taking a spheroidal shape. Vortex motion inside the spheroid gives this localized plasma formation some of the properties of a material body which are manifested in a distinct material isolation from the surrounding space, in the formation of a narrow thermal boundary layer and flow separation, and in the formation of secondary vortices in the wake region. The characteristic radius of the stationary localized plasma is governed mainly by the wavelength of the microwave radiation a∼0.137λ. Energy balance is established to a significant degree by convective cooling of the microwave-heated structure

  6. Surface plasma source with saddle antenna radio frequency plasma generator.

    Science.gov (United States)

    Dudnikov, V; Johnson, R P; Murray, S; Pennisi, T; Piller, C; Santana, M; Stockli, M; Welton, R

    2012-02-01

    A prototype RF H(-) surface plasma source (SPS) with saddle (SA) RF antenna is developed which will provide better power efficiency for high pulsed and average current, higher brightness with longer lifetime and higher reliability. Several versions of new plasma generators with small AlN discharge chambers and different antennas and magnetic field configurations were tested in the plasma source test stand. A prototype SA SPS was installed in the Spallation Neutron Source (SNS) ion source test stand with a larger, normal-sized SNS AlN chamber that achieved unanalyzed peak currents of up to 67 mA with an apparent efficiency up to 1.6 mA∕kW. Control experiments with H(-) beam produced by SNS SPS with internal and external antennas were conducted. A new version of the RF triggering plasma gun has been designed. A saddle antenna SPS with water cooling is fabricated for high duty factor testing.

  7. Early results of microwave transmission experiments through an overly dense rectangular plasma sheet with microparticle injection

    Energy Technology Data Exchange (ETDEWEB)

    Gillman, Eric D., E-mail: eric.gillman.ctr@nrl.navy.mil [National Research Council Postdoctoral Associate at the U.S. Naval Research Laboratory, Washington, DC 20375 (United States); Amatucci, W. E. [U.S. Naval Research Laboratory, Washington, DC 20375 (United States)

    2014-06-15

    These experiments utilize a linear hollow cathode to create a dense, rectangular plasma sheet to simulate the plasma layer surrounding vehicles traveling at hypersonic velocities within the Earth's atmosphere. Injection of fine dielectric microparticles significantly reduces the electron density and therefore lowers the electron plasma frequency by binding a significant portion of the bulk free electrons to the relatively massive microparticles. Measurements show that microwave transmission through this previously overly dense, impenetrable plasma layer increases with the injection of alumina microparticles approximately 60 μm in diameter. This method of electron depletion is a potential means of mitigating the radio communications blackout experienced by hypersonic vehicles.

  8. Negative ion surface plasma source development for plasma trap injectors in Novosibirsk

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.; Kupriyanov, A.S.

    1989-01-01

    Work on high-current ion sources carried out at the Novosibirsk Institute of Nuclear Physics (INP) is presented. The INP investigations on ''pure plasma'' planotron and ''pure surface'' secondary emission systems of H - generation, which preceded the surface-plasma concept developed in Novosibirsk, are described. The physical basis of the surface-plasma method of negative-ion production is considered. The versions and operating characteristics of different surface-plasma sources including the multi-ampere (approx-gt 10A) source are discussed. Research on efficient large-area (∼10 2 cm 2 ) negative ion surface-plasma emitters is described. The INP long-pulse multiaperture surface- plasma generators, with a current of about 1A, are described. 38 refs., 17 figs

  9. Operational features and microwave characteristics of the Vircator II experiment

    International Nuclear Information System (INIS)

    Price, D.; Fittinghoff, O.; Benford, J.; Sze, H.; Woo, W.

    1988-01-01

    The Vircator II oscillating virtual-cathode microwave source operates with diode voltages between 600 and 800 kV and diode current between 50 and 120 kA. Maximal microwave output power between 200 and 500 MW is achieved when the diode aspect ratio, cathode surface, charge voltage, and extraction coupling are arranged to simultaneously 1) maximize diode voltage, 2) satisfy magnetic insulation criteria, 3) avoid nonuniform or unstable electron emission, and 4) optimize microwave transmission from the virtual cathode to the launching antenna. Broad-band radiation between 0.4 and 5.5 GHz is generated. The central frequency follows the beam plasma frequency. It is tuned by varying the current density with anode-cathode (A-K) gap adjustments

  10. FY 1998 annual summary report on research and development of hybrid pulse plasma coating (HPPC) system (first year); 1998 nendo hybrid gata pulse plasma coating (HPPC) system no kenkyu kaihatsu seika hokokusho. Daiichinendo

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    The R and D program was implemented for a hybrid pulse plasma coating system, where organometallic gases as the feed gases were selected, and methods for feeding them and treating the exhaust gases to remove organic metals were studied, as the preliminary steps for the pulse introduction tests. The tests of combining an rf plasma with microwaves and pulse plasma generation have been started. The power source characteristics, e.g., pulse width, voltage and current, were analyzed, and high-voltage pulse voltage application tests were conducted, in order to grasp the power source characteristics related to the pulse voltage application. Generation of high-density plasma has been confirmed by the tests with microwaves absorbed by an rf plasma, and the plasma density measurement has been started using the single probe and double probe methods. It is also confirmed that a pulse voltage can be applied to a high-density plasma. A plasma source type ion injector (PSII) has been made on a trial basis, to collect the data for the injector. (NEDO).

  11. Plasma x-ray radiation source.

    Science.gov (United States)

    Popkov, N F; Kargin, V I; Ryaslov, E A; Pikar', A S

    1995-01-01

    This paper gives the results of studies on a plasma x-ray source, which enables one to obtain a 2.5-krad radiation dose per pulse over an area of 100 cm2 in the quantum energy range from 20 to 500 keV. Pulse duration is 100 ns. Spectral radiation distributions from a diode under various operation conditions of a plasma are obtained. A Marx generator served as an initial energy source of 120 kJ with a discharge time of T/4 = 10-6 s. A short electromagnetic pulse (10-7 s) was shaped using plasma erosion opening switches.

  12. Mineral distribution in rice: Measurement by Microwave Plasma Atomic Emission Spectroscopy (MP-AES)

    International Nuclear Information System (INIS)

    Ramos, Nerissa C.; Ramos, R.G.A.; Quirit, L.L.; Arcilla, C.A.

    2015-01-01

    Microwave Plasma Atomic Emission Spectroscopy (MP-AES) is a new technology with comparable performance and sensitivity to Inductively Coupled Plasma Optical Emission Spectroscopy (ICP-OES). Both instrument use plasma as the energy source that produces atomic and ionic emission lines. However, MP-AES uses nitrogen as the plasma gas instead of argon which is an additional expense for ICP-OES. Thus, MP-AES is more economical. This study quantified six essential minerals (Se, Zn, Fe, Cu, Mn and K) in rice using MP-AES. Hot plate digestion was used for sample extraction and the detection limit for each instrument was compared with respect to the requirement for routine analysis in rice. Black, red and non-pigmented rice samples were polished in various intervals to determine the concentration loss of minerals. The polishing time corresponds to the structure of the rice grains such as outer bran layer (0 to 15), inner bran layer (15 to 30), outer endosperm layer (30 to 45), and middle endosperm layer (45 to 60). Results of MP-AES analysis showed that black rice had all essential materials (except K) in high concentration at the outer bran layer. The red and non-pigmented rice samples on the other hand, contained high levels of Se, Zn, Fe, and Mn in the whole bran portion. After 25 seconds, the mineral concentrations remained constant. The concentration of Cu however, gave consistent value in all polishing intervals, hence Cu might be located in the inner endosperm layer. Results also showed that K was uniformly distributed in all samples where 5% loss was consistently observed for every polishing interval. Therefore, the concentration of K was also affected by polishing time. Thus, the new MP-AES technology with comparable performance to ICP-OES is a promising tool for routine analysis in rice. (author)

  13. Surface modification and stability of detonation nanodiamonds in microwave gas discharge plasma

    International Nuclear Information System (INIS)

    Stanishevsky, Andrei V.; Walock, Michael J.; Catledge, Shane A.

    2015-01-01

    Graphical abstract: - Highlights: • Single and binary gas plasma modification of nanodiamond powders studied. • Temperature-dependent effect of N 2 and N 2 /H 2 plasma reported for the first time. • Role of H 2 in H 2 /N 2 and H 2 /O 2 plasma modification of nanodiamond discussed. - Abstract: Detonation nanodiamonds (DND), with low hydrogen content, were exposed to microwave plasma generated in pure H 2 , N 2 , and O 2 gases and their mixtures, and investigated using X-ray diffraction (XRD), Fourier Transform Infrared (FTIR), Raman, and X-ray photoelectron spectroscopies. Considerable alteration of the DND surface was observed under the plasma conditions for all used gases, but the diamond structure of the DND particle core was preserved in most cases. The stabilizing effect of H 2 in H 2 /N 2 and H 2 /O 2 binary gas plasmas on the DND structure and the temperature-dependent formation of various CNH x surface groups in N 2 and H 2 /N 2 plasmas were observed and discussed for the first time. DND surface oxidation and etching were the main effects of O 2 plasma, whereas the N 2 plasma led to DND surfaces rich in amide groups below 1073 K and nitrile groups at higher temperatures. Noticeable graphitization of the DND core structure was detected only in N 2 plasma when the substrate temperature was above 1103 K.

  14. Ultracompact/ultralow power electron cyclotron resonance ion source for multipurpose applications

    International Nuclear Information System (INIS)

    Sortais, P.; Lamy, T.; Medard, J.; Angot, J.; Latrasse, L.; Thuillier, T.

    2010-01-01

    In order to drastically reduce the power consumption of a microwave ion source, we have studied some specific discharge cavity geometries in order to reduce the operating point below 1 W of microwave power (at 2.45 GHz). We show that it is possible to drive an electron cyclotron resonance ion source with a transmitter technology similar to those used for cellular phones. By the reduction in the size and of the required microwave power, we have developed a new type of ultralow cost ion sources. This microwave discharge system (called COMIC, for COmpact MIcrowave and Coaxial) can be used as a source of light, plasma or ions. We will show geometries of conductive cavities where it is possible, in a 20 mm diameter chamber, to reduce the ignition of the plasma below 100 mW and define typical operating points around 5 W. Inside a simple vacuum chamber it is easy to place the source and its extraction system anywhere and fully under vacuum. In that case, current densities from 0.1 to 10 mA/cm 2 (Ar, extraction 4 mm, 1 mAe, 20 kV) have been observed. Preliminary measurements and calculations show the possibility, with a two electrodes system, to extract beams within a low emittance. The first application for these ion sources is the ion injection for charge breeding, surface analyzing system and surface treatment. For this purpose, a very small extraction hole is used (typically 3/10 mm for a 3 μA extracted current with 2 W of HF power). Mass spectrum and emittance measurements will be presented. In these conditions, values down to 1 π mm mrad at 15 kV (1σ) are observed, thus very close to the ones currently observed for a surface ionization source. A major interest of this approach is the possibility to connect together several COMIC devices. We will introduce some new on-going developments such as sources for high voltage implantation platforms, fully quartz radioactive ion source at ISOLDE or large plasma generators for plasma immersion, broad or ribbon beams

  15. Ultracompact/ultralow power electron cyclotron resonance ion source for multipurpose applications

    Energy Technology Data Exchange (ETDEWEB)

    Sortais, P.; Lamy, T.; Medard, J.; Angot, J.; Latrasse, L.; Thuillier, T. [Laboratoire de Physique Subatomique et de Cosmologie de Grenoble, UJF-CNRS/IN2P3 - INPG, 53, rue des Martyrs, 38026 Grenoble Cedex (France)

    2010-02-15

    In order to drastically reduce the power consumption of a microwave ion source, we have studied some specific discharge cavity geometries in order to reduce the operating point below 1 W of microwave power (at 2.45 GHz). We show that it is possible to drive an electron cyclotron resonance ion source with a transmitter technology similar to those used for cellular phones. By the reduction in the size and of the required microwave power, we have developed a new type of ultralow cost ion sources. This microwave discharge system (called COMIC, for COmpact MIcrowave and Coaxial) can be used as a source of light, plasma or ions. We will show geometries of conductive cavities where it is possible, in a 20 mm diameter chamber, to reduce the ignition of the plasma below 100 mW and define typical operating points around 5 W. Inside a simple vacuum chamber it is easy to place the source and its extraction system anywhere and fully under vacuum. In that case, current densities from 0.1 to 10 mA/cm{sup 2} (Ar, extraction 4 mm, 1 mAe, 20 kV) have been observed. Preliminary measurements and calculations show the possibility, with a two electrodes system, to extract beams within a low emittance. The first application for these ion sources is the ion injection for charge breeding, surface analyzing system and surface treatment. For this purpose, a very small extraction hole is used (typically 3/10 mm for a 3 {mu}A extracted current with 2 W of HF power). Mass spectrum and emittance measurements will be presented. In these conditions, values down to 1 {pi} mm mrad at 15 kV (1{sigma}) are observed, thus very close to the ones currently observed for a surface ionization source. A major interest of this approach is the possibility to connect together several COMIC devices. We will introduce some new on-going developments such as sources for high voltage implantation platforms, fully quartz radioactive ion source at ISOLDE or large plasma generators for plasma immersion, broad or ribbon

  16. Loads due to stray microwave radiation in ITER

    Energy Technology Data Exchange (ETDEWEB)

    Oosterbeek, Johan W. [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France); Eindhoven University of Technology, P.O. Box 513, 5600 AZ Eindhoven (Netherlands); Udintsev, Victor S.; Gandini, Franco [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France); Hirsch, Matthias; Laqua, Heinrich P. [Max-Planck-Institut für Plasmaphysik, EURATOM Association, Teilinstitut Greifswald, D-17489 Greifswald (Germany); Maassen, Nick [Eindhoven University of Technology, P.O. Box 513, 5600 AZ Eindhoven (Netherlands); Ma, Yunxing; Polevoi, Alexei; Sirinelli, Antoine; Vayakis, George; Walsh, Mike J. [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France)

    2015-10-15

    High-power microwaves generated by gyrotrons will be extensively used in ITER for a variety of purposes such as assisting plasma breakdown, plasma heating, current drive, tearing mode suppression and as a probing beam for the Collective Thomson Scattering diagnostic. In a number of these schemes absorption of the microwaves by the plasma will not be full and in some cases there could be no absorption at all. This may result in a directed beam with a high microwave power flux or – depending on location and plasma conditions – an approximately isotropic microwave power field. The contribution of electron cyclotron emission to these power densities is briefly discussed. Exposure to in-vessel components leads to absorption by metals and ceramics. In this paper microwave power densities are estimated and, following a brief review of absorption, thermal loads on in-vessel components are assessed. The paper is concluded by a discussion of the current approach to control such loads.

  17. Ion acceleration in the plasma source sheath

    International Nuclear Information System (INIS)

    Birdsall, C.K.

    1986-01-01

    This note is a calculation of the potential drop for a planar plasma source, across the source sheath, into a uniform plasma region defined by vector E = 0 and/or perhaps ∂ 2 PHI/∂ x 2 = 0. The calculation complements that of Bohm who obtained the potential drop at the other end of a plasma, at a planar collector sheath. The result is a relation between the source ion flux and the source sheath potential drop and the accompanying ion acceleration. This planar source sheath ion acceleration mechanism (or that from a distributed source) can provide the pre-collector-sheath ion acceleration as found necessary by Bohm. 3 refs

  18. Measurements of energy distribution and thrust for microwave plasma coupling of electrical energy to hydrogen for propulsion

    Science.gov (United States)

    Morin, T.; Chapman, R.; Filpus, J.; Hawley, M.; Kerber, R.; Asmussen, J.; Nakanishi, S.

    1982-01-01

    A microwave plasma system for transfer of electrical energy to hydrogen flowing through the system has potential application for coupling energy to a flowing gas in the electrothermal propulsion concept. Experimental systems have been designed and built for determination of the energy inputs and outputs and thrust for the microwave coupling of energy to hydrogen. Results for experiments with pressure in the range 100 microns-6 torr, hydrogen flow rate up to 1000 micronmoles/s, and total absorbed power to 700 w are presented.

  19. Synthetic Microwave Imaging Reflectometry diagnostic using 3D FDTD Simulations

    Science.gov (United States)

    Kruger, Scott; Jenkins, Thomas; Smithe, David; King, Jacob; Nimrod Team Team

    2017-10-01

    Microwave Imaging Reflectometry (MIR) has become a standard diagnostic for understanding tokamak edge perturbations, including the edge harmonic oscillations in QH mode operation. These long-wavelength perturbations are larger than the normal turbulent fluctuation levels and thus normal analysis of synthetic signals become more difficult. To investigate, we construct a synthetic MIR diagnostic for exploring density fluctuation amplitudes in the tokamak plasma edge by using the three-dimensional, full-wave FDTD code Vorpal. The source microwave beam for the diagnostic is generated and refelected at the cutoff surface that is distorted by 2D density fluctuations in the edge plasma. Synthetic imaging optics at the detector can be used to understand the fluctuation and background density profiles. We apply the diagnostic to understand the fluctuations in edge plasma density during QH-mode activity in the DIII-D tokamak, as modeled by the NIMROD code. This work was funded under DOE Grant Number DE-FC02-08ER54972.

  20. Microplasmas ignited and sustained by microwaves

    Science.gov (United States)

    Hopwood, Jeffrey; Hoskinson, Alan R.; Gregório, José

    2014-12-01

    The challenges and benefits of microwave-induced microdischarges are reviewed. Transmission lines, resonators and surface wave launchers may be used for coupling microwave power to very small plasmas. Fortunately, microplasmas are typically much smaller than the wavelength of microwaves, and the electromagnetic problem may be treated electrostatically within the plasma. It is possible to trap electrons within small discharge gaps if the amplitude of electron oscillation is smaller than the plasma size. Typically occurring above 0.3 GHz, this condition results in lower breakdown fields than are required by direct current or radio frequency systems. Trapping of electrons also decreases the electrode potential to only tens of volts and makes the plasma density invariant in time. The steady-state microplasma produces electron densities of up to 1015 cm-3 in argon but the electrons are not in equilibrium with the low gas temperatures (500-1000 K). Microwave discharges are compared with other forms of microplasma and guidelines for device selection are recommended. Scale-up of microplasmas using array concepts are presented followed by some exciting new applications.

  1. Plasma sources of solar system magnetospheres

    CERN Document Server

    Blanc, Michel; Chappell, Charles; Krupp, Norbert

    2016-01-01

    This volume reviews what we know of the corresponding plasma source for each intrinsically magnetized planet. Plasma sources fall essentially in three categories: the solar wind, the ionosphere (both prevalent on Earth), and the satellite-related sources. Throughout the text, the case of each planet is described, including the characteristics, chemical composition and intensity of each source. The authors also describe how the plasma generated at the source regions is transported to populate the magnetosphere, and how it is later lost. To summarize, the dominant sources are found to be the solar wind and sputtered surface ions at Mercury, the solar wind and ionosphere at Earth (the relative importance of the two being discussed in a specific introductory chapter), Io at Jupiter and – a big surprise of the Cassini findings – Enceladus at Saturn. The situation for Uranus and Neptune, which were investigated by only one fly-by each, is still open and requires further studies and exploration. In the final cha...

  2. Surface modification and stability of detonation nanodiamonds in microwave gas discharge plasma

    Energy Technology Data Exchange (ETDEWEB)

    Stanishevsky, Andrei V., E-mail: astan@uab.edu; Walock, Michael J.; Catledge, Shane A.

    2015-12-01

    Graphical abstract: - Highlights: • Single and binary gas plasma modification of nanodiamond powders studied. • Temperature-dependent effect of N{sub 2} and N{sub 2}/H{sub 2} plasma reported for the first time. • Role of H{sub 2} in H{sub 2}/N{sub 2} and H{sub 2}/O{sub 2} plasma modification of nanodiamond discussed. - Abstract: Detonation nanodiamonds (DND), with low hydrogen content, were exposed to microwave plasma generated in pure H{sub 2}, N{sub 2}, and O{sub 2} gases and their mixtures, and investigated using X-ray diffraction (XRD), Fourier Transform Infrared (FTIR), Raman, and X-ray photoelectron spectroscopies. Considerable alteration of the DND surface was observed under the plasma conditions for all used gases, but the diamond structure of the DND particle core was preserved in most cases. The stabilizing effect of H{sub 2} in H{sub 2}/N{sub 2} and H{sub 2}/O{sub 2} binary gas plasmas on the DND structure and the temperature-dependent formation of various CNH{sub x} surface groups in N{sub 2} and H{sub 2}/N{sub 2} plasmas were observed and discussed for the first time. DND surface oxidation and etching were the main effects of O{sub 2} plasma, whereas the N{sub 2} plasma led to DND surfaces rich in amide groups below 1073 K and nitrile groups at higher temperatures. Noticeable graphitization of the DND core structure was detected only in N{sub 2} plasma when the substrate temperature was above 1103 K.

  3. 21 CFR 640.74 - Modification of Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Modification of Source Plasma. 640.74 Section 640...) BIOLOGICS ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.74 Modification of Source Plasma. (a) Upon approval by the Director, Center for Biologics Evaluation and Research, Food and...

  4. [Determination of sodium, magnesium, calcium, lithium and strontium in natural mineral drinking water by microwave plasma torch spectrometer with nebulization sample introduction system].

    Science.gov (United States)

    Zhou, Wei; Xiong, Hai-long; Feng, Guo-dong; Yu, Ai-min; Chen, Huan-wen

    2014-06-01

    The microwave plasma torch (MPT) was used as the emission light source. Aqueous samples were introduced with a nebulizer and a desolvation system. A method for the determination of Na, Mg, Ca, Li and Sr in natural mineral drinking water by argon microwave plasma torch spectrometer (ArMPT spectrometer) was established. The effects of microwave power, flow rate of carrier gas and support gas were investigated in detail and these parameters were optimized. Under the optimized condition, the experiments for the determination of Na, Mg, Ca, Li and Sr in 11 kinds of bottled mineral drinking water were carried out by ArMPT spectrometer. The limit-of-detection (LOD) of Na, Mg, Ca, Li and Sr was found to be 4.4, 21, 56, 11 and 84 μg x mL(-1), respectively. Relative standard deviation (n = 6) was in the range of 1.30%-5.45% and standard addition recoveries were in the range of 84.6%-98.5%. MPT spectrometer was simpler, more convenient and of lower cost as compared to ICP unit. MPT spectrometer demonstrated its rapid analysis speed, accuracy, sensitivity and simultaneous multi element analysis ability during the analysis process. The results showed that MPT spectrometer was suitable for metal elements detection for natural mineral drinking water. This approach provides not only one way for resisting the illegal dealings, but also a security for the quality of drinking water. Moreover, the usability of MPT spectrometer in the field of food security; drug safety; clinical diagnostic is promised.

  5. A review of micro-wave techniques in plasma studies; Survol des techniques micro-ondes pour l'etude des plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Consoli, T [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1964-07-01

    The problem of the application of micro-wave techniques to the study of plasma properties is considered in this report. In section I, the author summarizes a few fundamental laws concerning the theory of waves in an ionised medium as well as measurable effects of transverse and longitudinal propagation. Section II is a rapid review of the experimental methods and of the various measurements which may be effected in very high frequency plasmas. Only recent experimental work carried out since the last U. R.S.I. Assembly is considered. Section III is devoted to micro-wave techniques developed during this period in the laboratories of the Applied Physics Service. These techniques deal with longitudinal propagation and in particular with the propagation along a right mode. Section IV is a general view of similar studies undertaken in European Research Centres working on plasma physics or controlled fusion. Section V is a contribution concerning three particular topics from the Juelich and Max Planck Institute laboratories. (author) [French] On etudie dans ce rapport le probleme de l'application des techniques micro-ondes a l'etude des proprietes d'un plasma. Dans la section I, l'auteur rappelle rapidement quelques lois fondamentales de la theorie des ondes dans un milieu ionise ainsi que les effets mesurables en propagation transversale et longitudinale. La section II est une revue rapide des methodes experimentales et des diverses mesures qui peuvent etre faites dans les plasmas aux tres hautes frequences. On ne considere seulement que les etudes experimentales recentes depuis la derniere assemblee de l'U.R.S.I. La section III est consacree aux techniques hyperfrequences developpees durant la meme periode dans les laboratoires des Services de Physique Appliquee. Ces techniques se rapportent a la propagation longitudinale et particulierement a la propagation suivant le mode droit. La section IV est un panorama de travaux similaires entrepris dans les centres europeens

  6. A Penning-assisted subkilovolt coaxial plasma source

    International Nuclear Information System (INIS)

    Wang Zhehui; Beinke, Paul D.; Barnes, Cris W.; Martin, Michael W.; Mignardot, Edward; Wurden, Glen A.; Hsu, Scott C.; Intrator, Thomas P.; Munson, Carter P.

    2005-01-01

    A Penning-assisted 20 MW coaxial plasma source (plasma gun), which can achieve breakdown at sub-kV voltages, is described. The minimum breakdown voltage is about 400 V, significantly lower than previously reported values of 1-5 kV. The Penning region for electrons is created using a permanent magnet assembly, which is mounted to the inside of the cathode of the coaxial plasma source. A theoretical model for the breakdown is given. A 900 V 0.5 F capacitor bank supplies energy for gas breakdown and plasma sustainment from 4 to 6 ms duration. Typical peak gun current is about 100 kA and gun voltage between anode and cathode after breakdown is about 200 V. A circuit model is used to understand the current-voltage characteristics of the coaxial gun plasma. Energy deposited into the plasma accounts for about 60% of the total capacitor bank energy. This plasma source is uniquely suitable for studying multi-MW multi-ms plasmas with sub-MJ capacitor bank energy

  7. Visualization of the microwave beam generated by a plasma relativistic microwave amplifier

    Energy Technology Data Exchange (ETDEWEB)

    Alekseev, I. S.; Ivanov, I. E.; Strelkov, P. S., E-mail: strelkov@fpl.gpi.ru [Russian Academy of Science, Prokhorov General Physics Institute (Russian Federation); Tarakanov, V. P., E-mail: karat@msk.su [Russian Academy of Sciences, Joint Institute for High Temperatures (Russian Federation); Ulyanov, D. K. [Russian Academy of Science, Prokhorov General Physics Institute (Russian Federation)

    2017-03-15

    A method based on the detection of emission of a dielectric screen with metal microinclusions in open air is applied to visualize the transverse structure of a high-power microwave beam. In contrast to other visualization techniques, the results obtained in this work provide qualitative information not only on the electric field strength, but also on the structure of electric field lines in the microwave beam cross section. The interpretation of the results obtained with this method is confirmed by numerical simulations of the structure of electric field lines in the microwave beam cross section by means of the CARAT code.

  8. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  9. Computer model for the recombination zone of a microwave-plasma electrothermal rocket

    Energy Technology Data Exchange (ETDEWEB)

    Filpus, J.W.; Hawley, M.C.

    1987-01-01

    As part of a study of the microwave-plasma electrothermal rocket, a computer model of the flow regime below the plasma has been developed. A second-order model, including axial dispersion of energy and material and boundary conditions at infinite length, was developed to partially reproduce the absence of mass-flow rate dependence that was seen in experimental temperature profiles. To solve the equations of the model, a search technique was developed to find the initial derivatives. On integrating with a trial set of initial derivatives, the values and their derivatives were checked to judge whether the values were likely to attain values outside the practical regime, and hence, the boundary conditions at infinity were likely to be violated. Results are presented and directions for further development are suggested. 17 references.

  10. Simulations of negative hydrogen ion sources

    Science.gov (United States)

    Demerdjiev, A.; Goutev, N.; Tonev, D.

    2018-05-01

    The development and the optimisation of negative hydrogen/deuterium ion sources goes hand in hand with modelling. In this paper a brief introduction on the physics and types of different sources, and on the Kinetic and Fluid theories for plasma description is made. Examples of some recent models are considered whereas the main emphasis is on the model behind the concept and design of a matrix source of negative hydrogen ions. At the Institute for Nuclear Research and Nuclear Energy of the Bulgarian Academy of Sciences a new cyclotron center is under construction which opens new opportunities for research. One of them is the development of plasma sources for additional proton beam acceleration. We have applied the modelling technique implemented in the aforementioned model of the matrix source to a microwave plasma source exemplifying a plasma filled array of cavities made of a dielectric material with high permittivity. Preliminary results for the distribution of the plasma parameters and the φ component of the electric field in the plasma are obtained.

  11. Detailed spectra of high power broadband microwave radiation from interactions of relativistic electron beams with weakly magnetized plasmas

    International Nuclear Information System (INIS)

    Kato, K.G.; Benford, G.; Tzach, D.

    1983-01-01

    Prodigious quantities of microwave energy are observed uniformly across a wide frequency band when a relativistic electron beam (REB) penetrates a plasma. Measurement calculations are illustrated. A model of Compton-like boosting of ambient plasma waves by beam electrons, with collateral emission of high frequency photons, qualitatively explain the spectra. A transition in spectral behavior is observed from the weak to strong turbulence theories advocated for Type III solar burst radiation, and further into the regime the authors characterize as super-strong REB-plasma interactions

  12. ORNL TNS program: microwave start-up of tokamak plasmas near electron cyclotron and upper hybrid resonances

    International Nuclear Information System (INIS)

    Peng, Y.K.M.; Borowski, S.K.

    1977-12-01

    The scenario of toroidal plasma start-up with microwave initiation and heating near the electron cyclotron frequency is suggested and examined here. We assume microwave irradiation from the high field side and an anomalously large absorption of the extraordinary waves near the upper hybrid resonance. The dominant electron energy losses are assumed to be due to magnetic field curvature and parallel drifts, ionization of neutrals, cooling by ions, and radiation by low Z impurities. It is shown by particle and energy balance considerations that electron temperatures around 250 eV and densities of 10 12 to 10 13 cm -3 can be maintained, at least in a narrow region near the upper hybrid resonance, with modest microwave powers in the Impurity Study Experiment (ISX) (120 kW at 28 GHz) and The Next Step (TNS) (0.57 MW at 120 GHz). The loop voltages required for start-up from these initial plasmas are also estimated. It is shown that the loop voltage can be reduced by a factor of five to ten from that for unassisted start-up without an increase in the resistive loss in volt-seconds. If this reduction in loop voltage is verified in the ISX experiments, substantial savings in the cost of power supplies for the ohmic heating (OH) and equilibrium field (EF) coils can be realized in future large tokamaks

  13. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  14. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  15. Microplasmas ignited and sustained by microwaves

    International Nuclear Information System (INIS)

    Hopwood, Jeffrey; Hoskinson, Alan R; Gregório, José

    2014-01-01

    The challenges and benefits of microwave-induced microdischarges are reviewed. Transmission lines, resonators and surface wave launchers may be used for coupling microwave power to very small plasmas. Fortunately, microplasmas are typically much smaller than the wavelength of microwaves, and the electromagnetic problem may be treated electrostatically within the plasma. It is possible to trap electrons within small discharge gaps if the amplitude of electron oscillation is smaller than the plasma size. Typically occurring above 0.3 GHz, this condition results in lower breakdown fields than are required by direct current or radio frequency systems. Trapping of electrons also decreases the electrode potential to only tens of volts and makes the plasma density invariant in time. The steady-state microplasma produces electron densities of up to 10 15  cm −3 in argon but the electrons are not in equilibrium with the low gas temperatures (500–1000 K). Microwave discharges are compared with other forms of microplasma and guidelines for device selection are recommended. Scale-up of microplasmas using array concepts are presented followed by some exciting new applications. (paper)

  16. Removal and sterilization of biofilms and planktonic bacteria by microwave-induced argon plasma at atmospheric pressure

    International Nuclear Information System (INIS)

    Lee, Mi Hee; Park, Bong Joo; Jin, Soo Chang; Kim, Dohyun; Kim, Jungsung; Park, Jong-Chul; Han, Inho; Hyun, Soon O; Chung, Kie-Hyung

    2009-01-01

    Microbial biofilms are a functional matrix of microbial cells, enveloped in polysaccharides, enzymes and virulence factors secreted by them that can develop on indwelling medical devices and biomaterials. Plasma sterilization has been widely studied in recent years for biological applications. In this study, we evaluated the possibility of removal and anti-recovery of biofilms by microwave-induced argon plasma at atmospheric pressure. We observed that all bacterial biofilms formatted by Gram-negative and Gram-positive bacteria are removed in less than 20 s, and the growth inhibitions of planktonic bacteria within biofilms are also confirmed by plasma exposure for 5 s. These results suggest that our plasma system can be applied to medical and biological fields where the removal of biofilms and their debris is required.

  17. Capillary plasma jet: A low volume plasma source for life science applications

    Energy Technology Data Exchange (ETDEWEB)

    Topala, I., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Alexandru Ioan Cuza University of Iasi, Faculty of Physics, Iasi Plasma Advanced Research Center (IPARC), Bd. Carol I No. 11, Iasi 700506 (Romania); Nagatsu, M., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johoku, Naka-ku, Hamamatsu 432-8561 (Japan)

    2015-02-02

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  18. Capillary plasma jet: A low volume plasma source for life science applications

    Science.gov (United States)

    Topala, I.; Nagatsu, M.

    2015-02-01

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  19. The Dartmouth Elephant plasma facility

    Science.gov (United States)

    Lynch, K. A.

    2017-12-01

    The Elephant facility in the Dartmouth Dept of Physics and Astronomyis a 1m by 2m chamber with a microwave-resonant plasma source togetherwith a higher energy electron/ion electrostatic gun. In this chamber weaim to re-create features of the auroral ionosphere including both thethermal plasma background, and the precipitating energetic auroral beam.We can manipulate the position and attitude of various sensors withinthe chamber and monitor their response to the various sources. Recentefforts have focussed on the sheath environment near and around thermalion RPA sensors and the small payloads which carry them into theionosphere.

  20. Ferroelectric plasma sources for NDCX-II and heavy ion drivers

    Energy Technology Data Exchange (ETDEWEB)

    Gilson, E.P., E-mail: egilson@pppl.gov [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey, 08543 (United States); Davidson, R.C.; Efthimion, P.C.; Kaganovich, I.D. [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey, 08543 (United States); Kwan, J.W.; Lidia, S.M.; Ni, P.A.; Roy, P.K.; Seidl, P.A.; Waldron, W.L. [Lawrence Berkeley National Laboratory, One Cyclotron Road, Berkeley, California, 94720 (United States); Barnard, J.J.; Friedman, A. [Lawrence Livermore National Laboratory, P.O. Box 808, Livermore, California, 94550 (United States)

    2014-01-01

    A barium titanate ferroelectric cylindrical plasma source has been developed, tested and delivered for the Neutralized Drift Compression Experiment NDCX-II at Lawrence Berkeley National Laboratory (LBNL). The plasma source design is based on the successful design of the NDCX-I plasma source. A 7 kV pulse applied across the 3.8 mm-thick ceramic cylinder wall produces a large polarization surface charge density that leads to breakdown and plasma formation. The plasma that fills the NDCX-II drift section upstream of the final-focusing solenoid has a plasma number density exceeding 10{sup 10} cm{sup −3} and an electron temperature of several eV. The operating principle of the ferroelectric plasma source are reviewed and a detailed description of the installation plans is presented. The criteria for plasma sources with larger number density will be given, and concepts will be presented for plasma sources for driver applications. Plasma sources for drivers will need to be highly reliable, and operate at several Hz for millions of shots.

  1. ZnO nanostructures induced by microwave plasma

    Directory of Open Access Journals (Sweden)

    Khaled A. Elsayed

    2015-07-01

    Full Text Available Microwave induced hydrogen plasma is used to fabricate ZnO thin films at low ambient gas pressure and controlled oxygen content in the gas mixture. The emission spectra have been observed. Optical emission spectroscopy was used to identify the chemical reaction mechanism. Structural quality of the so-obtained nanoparticles was studied by X-ray diffraction (XRD and high resolution scanning electron microscopy (SEM. SEM results showed that nanorods were formed in the process, and XRD results along with nanorod dimensions obtained from SEM are consistent with the formation of single and poly-crystalline ZnO nanorods. The alignment of these nanorods with respect to the substrates depends on the lattice mismatch between ZnO and the glass substrate. The minimum crystallite grain size as obtained from the SEM measurements was ∼24 nm and the average diameter is 70 nm with a length of 1–2 μm. The deposited ZnO thin films have a wide energy band gap that equals ∼3 eV.

  2. Branched carbon nanofiber network synthesis at room temperature using radio frequency supported microwave plasmas

    OpenAIRE

    Boskovic, BO; Stolojan, V; Zeze, DA; Forrest, RD; Silva, SRP; Haq, S

    2004-01-01

    Carbon nanofibers have been grown at room temperature using a combination of radio frequency and microwave assisted plasma-enhanced chemical vapor deposition. The nanofibers were grown, using Ni powder catalyst, onto substrates kept at room temperature by using a purposely designed water-cooled sample holder. Branched carbon nanofiber growth was obtained without using a template resulting in interconnected carbon nanofiber network formation on substrates held at room temperatur...

  3. Spectroscopic diagnostics and modelling of silane microwave plasmas

    International Nuclear Information System (INIS)

    Fantz, U.

    1998-01-01

    Low-pressure silane plasmas (2-20 Pa) diluted with the noble gases helium and argon as well as hydrogen were generated by microwave excitation in order to determine plasma parameters and absolute particle number densities. Specific silane radicals (SiH, Si, H 2 , H) were measured by means of optical emission spectroscopy, whereas particle densities of silane, disilane and molecular hydrogen were measured with mass spectroscopy. Experimental results confirm model calculations, which were carried out to determine number densities of all silane radicals and of higher silanes as well as electron temperature. The electron temperature varies from 1.5 to 4 eV depending on pressure and gas mixture. The temperature of heavy particles is 450 K and the electron number density is 9x10 16 m -3 . The rotational temperatures of SiH are between room temperature and 2000 K due to increasing dissociative excitation. In the plasma the number density of silane is reduced, whereas the number density of molecular hydrogen is close to the silane density, which is fed in. Particle densities of SiH 3 , disilane and atomic hydrogen are in the range of a few per cent of the silane number density. At low pressure the SiH 2 density is similar to SiH 3 and decreases with increasing pressure due to heavy particle collisions with silane producing higher silanes. Particle densities of SiH and Si are only in the range of some 10 -3 of the silane density decreasing with increasing collisions of heavy particles with silane and molecular hydrogen. In mixtures with argon Penning reactions increase the silane dissociation. (author)

  4. Characterization of the pulse plasma source

    International Nuclear Information System (INIS)

    Milosavljevic, V; Karkari, S K; Ellingboe, A R

    2007-01-01

    Characterization of the pulse plasma source through the determination of the local thermodynamic equilibrium (LTE) threshold is described. The maximum electron density measured at the peak in discharge current is determined by the width of the He II Paschen alpha spectral line, and the electron temperature is determined from the ratios of the relative intensities of spectral lines emitted from successive ionized stages of atoms. The electron density and temperature maximum values are measured to be 1.3 x 10 17 cm -3 and 19 000 K, respectively. These are typical characteristics for low-pressure, pulsed plasma sources for input energy of 15.8 J at 130 Pa pressure in helium-argon mixture. The use of LTE-based analysis of the emission spectra is justified by measurement of the local plasma electron density at four positions in the discharge tube using a floating hairpin resonance probe. The hairpin resonance probe data are collected during the creation and decay phases of the pulse. From the spatio-temporal profile of the plasma density a 60 μs time-window during which LTE exists throughout the entire plasma source is determined

  5. Properties of hydrogenated amorphous silicon (a-Si:H) deposited using a microwave Ecr plasma; Propiedades del a-Si:H depositado utilizando un plasma de microondas

    Energy Technology Data Exchange (ETDEWEB)

    Mejia H, J A

    1997-12-31

    Hydrogenated amorphous silicon (a-Si:H) films have been widely applied to semiconductor devices, such as thin film transistors, solar cells and photosensitive devices. In this work, the first Si-H-Cl alloys (obtained at the National Institute for Nuclear Research of Mexico) were formed by a microwave electron cyclotron resonance (Ecr) plasma CVD method. Gaseous mixtures of silicon tetrachloride (Si Cl{sub 4}), hydrogen and argon were used. The Ecr plasma was generated by microwaves at 2.45 GHz and a magnetic field of 670 G was applied to maintain the discharge after resonance condition (occurring at 875 G). Si and Cl contents were analyzed by Rutherford Backscattering Spectrometry (RBS). It was found that, increasing proportion of Si Cl{sub 4} in the mixture or decreasing pressure, the silicon and chlorine percentages decrease. Optical gaps were obtained by spectrophotometry. Decreasing temperature, optical gap values increase from 1.4 to 1.5 eV. (Author).

  6. Ferroelectric Plasma Source for Heavy Ion Beam Charge Neutralization

    CERN Document Server

    Efthimion, Philip; Gilson, Erik P; Grisham, Larry; Logan, B G; Waldron, William; Yu, Simon

    2005-01-01

    Plasmas are employed as a medium for charge neutralizing heavy ion beams to allow them to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ~ 0.1-1 m would be suitable. To produce 1 meter plasma, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic. High voltage (~ 1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. A prototype ferroelectric source 20 cm long produced plasma densities ~ 5x1011 cm-3. The source was integrated into the experiment and successfully charge neutralized the K ion beam. Presently, the 1 meter source ...

  7. Characterization of the supersonic flowing microwave discharge using two dimensional plasma tomography

    Energy Technology Data Exchange (ETDEWEB)

    Nikolic, M.; Samolov, A.; Popovic, S.; Vuskovic, L.; Godunov, A. [Department of Physics, Center for Accelerator Science, Old Dominion University, Norfolk, Virginia 23529 (United States); Cuckov, F. [Department of Electrical and Computer Engineering, Old Dominion University, Norfolk, Virginia 23529 (United States)

    2013-03-14

    A tomographic numerical method based on the two-dimensional Radon formula for a cylindrical cavity has been employed for obtaining spatial distributions of the argon excited levels. The spectroscopy measurements were taken at different positions and directions to observe populations of excited species in the plasmoid region and the corresponding excitation temperatures. Excited argon states are concentrated near the tube walls, thus, confirming the assumption that the post discharge plasma is dominantly sustained by travelling surface wave. An automated optical measurement system has been developed for reconstruction of local plasma parameters of the plasmoid structure formed in an argon supersonic flowing microwave discharge. The system carries out angle and distance measurements using a rotating, flat mirror, as well as two high precision stepper motors operated by a microcontroller-based system and several sensors for precise feedback control.

  8. 21 CFR 640.64 - Collection of blood for Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Collection of blood for Source Plasma. 640.64... (CONTINUED) BIOLOGICS ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.64 Collection of blood for Source Plasma. (a) Supervision. All blood for the collection of Source Plasma shall...

  9. International Workshop on Magneto-Plasma Aerodynamics (8th)

    Science.gov (United States)

    2010-05-14

    outer conductor of coaxial waveguide. (b) (1 − 3) − different positions of a plasma channel in nonsteady-state plasmatron. The microwave power is...out at MIPT. Nanosecond DBD discharge in a special coaxial geometry of electrodes was used to produce a thin layer of quasi-uniform plasma in the...discharge cell, diagnostics means, high-voltage sources and commutation units. Cell commutation was effected by a plasma gun actuated by a start unit

  10. Counter-facing plasma guns for efficient extreme ultra-violet plasma light source

    Science.gov (United States)

    Kuroda, Yusuke; Yamamoto, Akiko; Kuwabara, Hajime; Nakajima, Mitsuo; Kawamura, Tohru; Horioka, Kazuhiko

    2013-11-01

    A plasma focus system composed of a pair of counter-facing coaxial guns was proposed as a long-pulse and/or repetitive high energy density plasma source. We applied Li as the source of plasma for improvement of the conversion efficiency, the spectral purity, and the repetition capability. For operation of the system with ideal counter-facing plasma focus mode, we changed the system from simple coaxial geometry to a multi-channel configuration. We applied a laser trigger to make synchronous multi-channel discharges with low jitter. The results indicated that the configuration is promising to make a high energy density plasma with high spectral efficiency.

  11. Counter-facing plasma guns for efficient extreme ultra-violet plasma light source

    Directory of Open Access Journals (Sweden)

    Kuroda Yusuke

    2013-11-01

    Full Text Available A plasma focus system composed of a pair of counter-facing coaxial guns was proposed as a long-pulse and/or repetitive high energy density plasma source. We applied Li as the source of plasma for improvement of the conversion efficiency, the spectral purity, and the repetition capability. For operation of the system with ideal counter-facing plasma focus mode, we changed the system from simple coaxial geometry to a multi-channel configuration. We applied a laser trigger to make synchronous multi-channel discharges with low jitter. The results indicated that the configuration is promising to make a high energy density plasma with high spectral efficiency.

  12. Plasma-surface interaction in negative hydrogen ion sources

    Science.gov (United States)

    Wada, Motoi

    2018-05-01

    A negative hydrogen ion source delivers more beam current when Cs is introduced to the discharge, but a continuous operation of the source reduces the beam current until more Cs is added to the source. This behavior can be explained by adsorption and ion induced desorption of Cs atoms on the plasma grid surface of the ion source. The interaction between the ion source plasma and the plasma grid surface of a negative hydrogen ion source is discussed in correlation to the Cs consumption of the ion source. The results show that operation with deuterium instead of hydrogen should require more Cs consumption and the presence of medium mass impurities as well as ions of the source wall materials in the arc discharge enlarges the Cs removal rate during an ion source discharge.

  13. Review on Microwave-Matter Interaction Fundamentals and Efficient Microwave-Associated Heating Strategies

    Science.gov (United States)

    Sun, Jing; Wang, Wenlong; Yue, Qinyan

    2016-01-01

    Microwave heating is rapidly emerging as an effective and efficient tool in various technological and scientific fields. A comprehensive understanding of the fundamentals of microwave–matter interactions is the precondition for better utilization of microwave technology. However, microwave heating is usually only known as dielectric heating, and the contribution of the magnetic field component of microwaves is often ignored, which, in fact, contributes greatly to microwave heating of some aqueous electrolyte solutions, magnetic dielectric materials and certain conductive powder materials, etc. This paper focuses on this point and presents a careful review of microwave heating mechanisms in a comprehensive manner. Moreover, in addition to the acknowledged conventional microwave heating mechanisms, the special interaction mechanisms between microwave and metal-based materials are attracting increasing interest for a variety of metallurgical, plasma and discharge applications, and therefore are reviewed particularly regarding the aspects of the reflection, heating and discharge effects. Finally, several distinct strategies to improve microwave energy utilization efficiencies are proposed and discussed with the aim of tackling the energy-efficiency-related issues arising from the application of microwave heating. This work can present a strategic guideline for the developed understanding and utilization of the microwave heating technology. PMID:28773355

  14. Beam-plasma discharge in a Kyoto beam-plasma-ion source

    International Nuclear Information System (INIS)

    Ishikawa, J.; Takagi, T.

    1983-01-01

    A beam-plasma type ion source employing an original operating principle has been developed by the present authors. The ion source consists of an ion extraction region with an electron gun, a thin long drift tube as the plasma production chamber, and a primary electron beam collector. An electron beam is effectively utilized for the dual purpose of high density plasma production as a result of beam-plasma discharge, and high current ion beam extraction with ion space-charge compensation. A high density plasma of the order of 10 11 --10 13 cm -3 was produced by virtue of the beam-plasma discharge which was caused by the interaction between a space-charge wave on the electron beam and a high frequency plasma wave. The plasma density then produced was 10 2 --10 3 times the density produced only by collisional ionization by the electron beam. In order to obtain a stable beam-plasma discharge, a secondary electron beam emitted from the electron collector should be utilized. The mechanism of the beam-plasma discharge was analyzed by use of a linear theory in the case of the small thermal energy of the electron beam, and by use of a quasilinear theory in the case of the large thermal energy. High current ion beams of more than 0.1 A were extracted even at a low extraction voltage of 1--5 kV

  15. Effect of hydrogen on the microstructure and electrochemical properties of Si nanoparticles synthesized by microwave plasma

    Energy Technology Data Exchange (ETDEWEB)

    Koo, Jeongboon; Lee, Jeongeun; Kim, Joonsoo; Jang, Boyun, E-mail: byjang@kier.re.kr

    2016-09-01

    We synthesized silicon (Si) nanoparticles using an atmospheric microwave plasma process, and investigated the effects of hydrogen (H{sub 2}) injection on their microstructure during the synthesis. Two nozzles were applied to inject H{sub 2} (swirling and rectilinear H{sub 2}). Our microstructural analysis indicated that the amount and method of H{sub 2} injection were critical for completion of the reaction from silicon tetrachloride (SiCl{sub 4}) to Si, as well as to obtain highly crystalline Si nanoparticles. The swirling H{sub 2} was especially critical due to its formation of vortex flow, which allowed relatively long residence time of the H-ions in plasma. The Si nanoparticles synthesized by the atmospheric plasma process had core-shell structures that consisted of crystalline Si cores with amorphous SiO{sub x} shells of 5–15 nm thickness. We also investigated the feasibility of the synthesized Si nanoparticles as anode materials in a lithium-ion battery (LIB). For the core-shell structured Si nanoparticles, we obtained the first reversible capacity of 1204 mAhg{sup −1}, and a capacity retention of 82.2% at the 50{sup th} cycle. - Highlights: • We synthesized Si nanoparticles by an atmospheric microwave plasma process. • We investigated the effects of injected H{sub 2} on the microstructures of Si nanoparticles. • Swirling H{sub 2} was critical, due to the formation of vortex flow in plasma. • The synthesized Si nanoparticles had core (crystalline Si)-shell (SiO{sub x}) structures. • The electrochemical properties depend on its core-shell structures as LIB anode.

  16. Treatment of airborne asbestos and asbestos-like microfiber particles using atmospheric microwave air plasma

    Energy Technology Data Exchange (ETDEWEB)

    Averroes, A., E-mail: aulia.a.aa@m.titech.ac.jp [Department of Chemical Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo 152-8552 (Japan); Sekiguchi, H. [Department of Chemical Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo 152-8552 (Japan); Sakamoto, K. [Street Design Corporation, 6-9-30 Shimo odanaka, Kawasaki-shi, Kanagawa 211-0041 (Japan)

    2011-11-15

    Highlights: {yields} We use atmospheric microwave air plasma to treat ceramic fiber and stainless fiber as asbestos alike micro fiber particle. {yields} Spheroidization of certain type of ceramic fiber and stainless fiber particle. {yields} The evaluation of the treated particles by the fiber vanishing rate. {yields} Good fiber vanishing rate is observed for fiber particle with diameter below 10 {mu}m. {yields} The treatment of pure asbestos and a suggestion of the use of this method for the treatment airborne asbestos. - Abstract: Atmospheric microwave air plasma was used to treat asbestos-like microfiber particles that had two types of ceramic fiber and one type of stainless fiber. The treated particles were characterized via scanning electron microscopy (SEM) and X-ray diffraction (XRD). The experiment results showed that one type of ceramic fiber (Alumina:Silica = 1:1) and the stainless fiber were spheroidized, but the other type of ceramic fiber (Alumina:Silica = 7:3) was not. The conversion of the fibers was investigated by calculating the equivalent diameter, the aspect ratio, and the fiber content ratio. The fiber content ratio in various conditions showed values near zero. The relationship between the normalized fiber vanishing rate and the energy needed to melt the particles completely per unit surface area of projected particles, which is defined as {eta}, was examined and seen to indicate that the normalized fiber vanishing rate decreased rapidly with the increase in {eta}. Finally, some preliminary experiments for pure asbestos were conducted, and the analysis via XRD and phase-contrast microscopy (PCM) showed the availability of the plasma treatment.

  17. Treatment of airborne asbestos and asbestos-like microfiber particles using atmospheric microwave air plasma

    International Nuclear Information System (INIS)

    Averroes, A.; Sekiguchi, H.; Sakamoto, K.

    2011-01-01

    Highlights: → We use atmospheric microwave air plasma to treat ceramic fiber and stainless fiber as asbestos alike micro fiber particle. → Spheroidization of certain type of ceramic fiber and stainless fiber particle. → The evaluation of the treated particles by the fiber vanishing rate. → Good fiber vanishing rate is observed for fiber particle with diameter below 10 μm. → The treatment of pure asbestos and a suggestion of the use of this method for the treatment airborne asbestos. - Abstract: Atmospheric microwave air plasma was used to treat asbestos-like microfiber particles that had two types of ceramic fiber and one type of stainless fiber. The treated particles were characterized via scanning electron microscopy (SEM) and X-ray diffraction (XRD). The experiment results showed that one type of ceramic fiber (Alumina:Silica = 1:1) and the stainless fiber were spheroidized, but the other type of ceramic fiber (Alumina:Silica = 7:3) was not. The conversion of the fibers was investigated by calculating the equivalent diameter, the aspect ratio, and the fiber content ratio. The fiber content ratio in various conditions showed values near zero. The relationship between the normalized fiber vanishing rate and the energy needed to melt the particles completely per unit surface area of projected particles, which is defined as η, was examined and seen to indicate that the normalized fiber vanishing rate decreased rapidly with the increase in η. Finally, some preliminary experiments for pure asbestos were conducted, and the analysis via XRD and phase-contrast microscopy (PCM) showed the availability of the plasma treatment.

  18. Coherent counter-steaming electrostatic wave Raman interaction system utilizing opposing electron beams for the production of coherent microwaves in plasmas

    International Nuclear Information System (INIS)

    Leiby, C.C. Jr.; Prasad, B.

    1977-01-01

    The generation of controlled, electromagnetic, coherent, microwave radiation from a warm, uniform plasma at approximately twice the electron plasma frequency by means of two oppositely directed streams of high energy electrons and the coupling of the resulting coherent, electromagnetic radiation from a cavity resonator into external circuitry, wherein the two opposing streams of high energy electrons directed into the warm, uniform plasma result in a conversion of electron beam and plasma energies into transverse electromagnetic radiation from 10 to 100 times that which is possible with a single electron beam-plasma system. 7 claims, 4 figures

  19. Electron cyclotron resonance heating in a short cylindrical plasma ...

    Indian Academy of Sciences (India)

    The power mode conversion efficiency is estimated to be ... has also found application in electron cyclotron current drive (ECCD) in fusion ... (few GHz) of microwave sources, a small linear ECR plasma system can also serve ..... References.

  20. A Tutorial on Basic Principles of Microwave Reflectometry Applied to Fluctuation Measurements in Fusion Plasmas

    International Nuclear Information System (INIS)

    Nazikian, R.; Kramer, G.J.; Valeo, E.

    2001-01-01

    Microwave reflectometry is now routinely used for probing the structure of magnetohydrodynamic and turbulent fluctuations in fusion plasmas. Conditions specific to the core of tokamak plasmas, such as small amplitude of density irregularities and the uniformity of the background plasma, have enabled progress in the quantitative interpretation of reflectometer signals. In particular, the extent of applicability of the 1-D [one-dimensional] geometric optics description of the reflected field is investigated by direct comparison to 1-D full wave analysis. Significant advances in laboratory experiments are discussed which are paving the way towards a thorough understanding of this important measurement technique. Data is presented from the Tokamak Fusion Test Reactor [R. Hawryluk, Plasma Physics and Controlled Fusion 33 (1991) 1509] identifying the validity of the geometric optics description of the scattered field and demonstrating the feasibility of imaging turbulent fluctuations in fusion scale devices

  1. Structure and properties of the Stainless steel AISI 316 nitrided with microwave plasma; Estructura y propiedades del acero inoxidable AISI 316 nitrurado con plasmas de microondas

    Energy Technology Data Exchange (ETDEWEB)

    Becerril R, F

    1999-07-01

    In this work were presented the results obtained by nitridation on stainless steel AISI 316 using a plasma generated through a microwave discharge with an external magnetic field using several moistures hydrogen / nitrogen to form a plasma. The purpose of nitridation was to increase the surface hardness of stainless steel through a phase formation knew as {gamma}N which has been reported that produces such effect without affect the corrosion resistance proper of this material. (Author)

  2. Volumetric plasma source development and characterization

    International Nuclear Information System (INIS)

    Crain, Marlon D.; Maron, Yitzhak; Oliver, Bryan Velten; Starbird, Robert L.; Johnston, Mark D.; Hahn, Kelly Denise; Mehlhorn, Thomas Alan; Droemer, Darryl W.

    2008-01-01

    The development of plasma sources with densities and temperatures in the 10 15 -10 17 cm -3 and 1-10eV ranges which are slowly varying over several hundreds of nanoseconds within several cubic centimeter volumes is of interest for applications such as intense electron beam focusing as part of the x-ray radiography program. In particular, theoretical work (1,2) suggests that replacing neutral gas in electron beam focusing cells with highly conductive, pre-ionized plasma increases the time-averaged e-beam intensity on target, resulting in brighter x-ray sources. This LDRD project was an attempt to generate such a plasma source from fine metal wires. A high voltage (20-60kV), high current (12-45kA) capacitive discharge was sent through a 100 (micro)m diameter aluminum wire forming a plasma. The plasma's expansion was measured in time and space using spectroscopic techniques. Lineshapes and intensities from various plasma species were used to determine electron and ion densities and temperatures. Electron densities from the mid-10 15 to mid-10 16 cm -3 were generated with corresponding electron temperatures of between 1 and 10eV. These parameters were measured at distances of up to 1.85 cm from the wire surface at times in excess of 1 (micro)s from the initial wire breakdown event. In addition, a hydrocarbon plasma from surface contaminants on the wire was also measured. Control of these contaminants by judicious choice of wire material, size, and/or surface coating allows for the ability to generate plasmas with similar density and temperature to those given above, but with lower atomic masses

  3. Modeling Plasma Formation in a Micro-gap at Microwave Frequency

    Science.gov (United States)

    Bowman, Arthur; Remillard, Stephen

    2013-03-01

    In the presence of a strong electric field, gas molecules become ionized, forming a plasma. The study of this dielectric breakdown at microwave frequency has important applications in improving the operation of radio frequency (RF) devices, where the high electric fields present in small gaps can easily ionize gases like air. A cone and tuner resonant structure was used to induce breakdown of diatomic Nitrogen in adjustable micro-gaps ranging from 13 to 1,156 μm. The electric field for plasma formation exhibited strong pressure dependence in the larger gap sizes, as predicted by previous theoretical and experimental work. Pressure is proportional to the frequency of collision between electrons and molecules, which increases with pressure when the gap is large, but levels off in the micro-gap region. A separate model of the breakdown electric field based on the characteristic diffusion length of the plasma also fit the data poorly for these smaller gap sizes. This may be explained by a hypothesis that dielectric breakdown at and below the 100 μm gap size occurs outside the gap, an argument that is supported by the observation of very high breakdown threshold electric fields in this region. Optical emissions revealed that vibrational and rotational molecular transitions of the first positive electronic system are suppressed in micro-gaps, indicating that transitions into the molecular ground state do not occur in micro-gap plasmas. Acknowledgements: National Science Foundation under NSF-REU Grant No. PHY/DMR-1004811, the Provost's Office of Hope College, and the Hope College Division of Natural and Applied Sciences.

  4. Pulsed, atmospheric pressure plasma source for emission spectrometry

    Science.gov (United States)

    Duan, Yixiang; Jin, Zhe; Su, Yongxuan

    2004-05-11

    A low-power, plasma source-based, portable molecular light emission generator/detector employing an atmospheric pressure pulsed-plasma for molecular fragmentation and excitation is described. The average power required for the operation of the plasma is between 0.02 W and 5 W. The features of the optical emission spectra obtained with the pulsed plasma source are significantly different from those obtained with direct current (dc) discharge higher power; for example, strong CH emission at 431.2 nm which is only weakly observed with dc plasma sources was observed, and the intense CN emission observed at 383-388 nm using dc plasma sources was weak in most cases. Strong CN emission was only observed using the present apparatus when compounds containing nitrogen, such as aniline were employed as samples. The present apparatus detects dimethylsulfoxide at 200 ppb using helium as the plasma gas by observing the emission band of the CH radical. When coupled with a gas chromatograph for separating components present in a sample to be analyzed, the present invention provides an apparatus for detecting the arrival of a particular component in the sample at the end of the chromatographic column and the identity thereof.

  5. Hazardous gas treatment using atmospheric pressure microwave discharges

    International Nuclear Information System (INIS)

    Mizeraczyk, Jerzy; Jasinski, Mariusz; Zakrzewski, Zenon

    2005-01-01

    Atmospheric pressure microwave discharge methods and devices used for producing non-thermal plasmas for control of gaseous pollutants are described in this paper. The main part of the paper is concerned with microwave torch discharges (MTDs). Results of laboratory experiments on plasma abatement of several volatile organic compounds (VOCs) in their mixtures with either synthetic air or nitrogen in low (∼100 W) and moderate (200-400 W) microwave torch plasmas at atmospheric pressure are presented. Three types of MTD generators, i.e. low-power coaxial-line-based MTDs, moderate-power waveguide-based coaxial-line MTDs and moderate-power waveguide-based MTDs were used. The gas flow rate and microwave (2.45 GHz) power delivered to the discharge were in the range of 1-3 litre min -1 and 100-400 W, respectively. The concentrations of the processed gaseous pollutants were from several to several tens of per cent. The results showed that the MTD plasmas fully decomposed the VOCs at a relatively low energy cost. The energy efficiency of decomposition of several gaseous pollutants reached 1000 g (kW-h) -1 . This suggests that MTD plasmas can be useful tools for decomposition of highly concentrated VOCs

  6. Application of optical emission spectroscopy to high current proton sources

    International Nuclear Information System (INIS)

    Castro, G; Mazzaglia, M; Nicolosi, D; Mascali, D; Reitano, R; Celona, L; Leonardi, O; Leone, F; Naselli, E; Neri, L; Torrisi, G; Gammino, S; Zaniol, B

    2017-01-01

    Optical Emission Spectroscopy (OES) represents a very reliable technique to carry out non-invasive measurements of plasma density and plasma temperature in the range of tens of eV. With respect to other diagnostics, it also can characterize the different populations of neutrals and ionized particles constituting the plasma. At INFN-LNS, OES techniques have been developed and applied to characterize the plasma generated by the Flexible Plasma Trap, an ion source used as 'testbench' of the proton source built for European Spallation Source. This work presents the characterization of the parameters of a hydrogen plasma in different conditions of neutral pressure, microwave power and magnetic field profile, along with perspectives for further upgrades of the OES diagnostics system. (paper)

  7. Effect of magnetic field gradient on power absorption in compact microwave plasma sources

    International Nuclear Information System (INIS)

    Dey, Indranuj; Shamim, Md.; Bhattacharjee, Sudeep

    2006-01-01

    We study the effect of the change in magnetic field gradient at the electron cyclotron resonance (ECR) point, on the generated plasma for two different cylindrical minimum B-field configurations, viz. the hexapole and the octupole. The plasma parameters such as the electron and ion density, electron temperature including the wave field characteristics (B-field and E-field) in the plasma will be measured and compared for the two configurations. (author)

  8. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  9. A study of microwave interferometers for electron density measurements in REB-plasma experiments

    International Nuclear Information System (INIS)

    Saxena, A.C.; Paithankar, A.S.; Iyyengar, S.K.; Rohatgi, V.K.

    1981-01-01

    In order to select a suitable microwave interferometer for electron density measurements in Relativistic Electron Beam (REB)-Plasma Experiments, a study has been carried out of four types of interferometers, viz. simple interferometer, standing-wave interferometer, frequency and phase modulated interferometers. Various direct reading interferometers which give a voltage proportional to the phase shift, are also discussed. Systems have been analysed in terms of time resolution, phase sensitivity, system stability, ease of measurement etc. Theoretical and experimental limitations of various systems have been indicated. Summary of the various systems is presented in a table to aid the experimentalist to select the most appropriate system for the prevailina experimental conditions. Finally, an attempt has been made to find out the interferometer system best suited for REB-Plasma Experiments. (author)

  10. Measurement of performance parameters of plasma source for plasma opening switch on Qiangguang-Ⅰ generator

    International Nuclear Information System (INIS)

    Luo Weixi; Zeng Zhengzhong; Lei Tianshi; Wang Liangping; Hu Yixiang; Sun Tieping; Huang Tao

    2012-01-01

    The plasma source (cable guns) of the plasma opening switch (POS) on Qiangguang Ⅰ generator was chosen as the study object. The plasma source performance was investigated by using charge collectors. Experimental results show that the plasma ejection density is positively correlated with the structural parameter, the distance between gun core tip and muzzle plane, and the plasma ejection velocity is negatively correlated with the parameter. The increasing rate of plasma ejection density is less than that of drive current. As far as a plasma source with tens of cable plasma guns is concerned, the influence of single cable gun's discharge dispersancy on plasma uniformity is little. Analysis of uncertainty shows that the uncertainty of measurement can be reduced by increasing the number of experiments and averaging the results. The combined standard uncertainty of plasma ejection density is less than 10%. (authors)

  11. Propagating Structure Of A Microwave Driven Shock wave Inside A Tube

    International Nuclear Information System (INIS)

    Shimada, Yutaka; Shibata, Teppei; Yamaguchi, Toshikazu; Komurasaki, Kimiya; Oda, Yasuhisa; Kajiwara, Ken; Takahashi, Koji; Kasugai, Atsushi; Sakamoto, Keishi; Arakawa, Yoshihiro

    2010-01-01

    The thrust generation process of a microwave rocket is similar to a pulse detonation engine, and understanding the interactions between microwave plasma and shock waves is important. Shadowgraph images of the microwave plasma generated in a tube under atmospheric air were taken. The observed plasma and shock wave were propagating one-dimensionally at constant velocity inside the tube. In order to understand the flow field inside the rocket, one-dimensional CFD analysis was conducted. With the change of microwave power density, the structure of the flow field was classified into two regimes: Microwave Supported Combustion (MSC), and Microwave Supported Detonation (MSD). The structure of the MSD was different from the structure of a chemical detonation, which implied the existence of a preheating in front of the shock wave. Furthermore, the flight performance was estimated by calculating the momentum coupling coefficient. It was confirmed that the efficiency was nearly constant in the MSD regime, with the increase of microwave power density.

  12. The klystron: A microwave source of surprising range and endurance

    International Nuclear Information System (INIS)

    Caryotakis, G.

    1998-04-01

    This year marks the 60th anniversary of the birth of the klystron at Stanford University. The tube was the first practical source of microwaves and its invention initiated a search for increasingly more powerful sources, which continues to this day. This paper reviews the scientific uses of the klystron and outlines its operating principles. The history of the device is traced, from its scientific beginnings, to its role in World War II and the Cold War, and to its current resurgence as the key component in a major accelerator project. Finally, the paper describes the development of a modular klystron, which may someday power future accelerators at millimeter wavelengths

  13. Effect of resonant microwave power on a PIG ion source

    International Nuclear Information System (INIS)

    Brown, I.G.; Galvin, J.E.; Gavin, B.F.; MacGill, R.A.

    1984-08-01

    We have investigated the effect of applying microwave power at the electron cyclotron frequency on the characteristics of the ion beam extracted from a hot-cathode PIG ion source. No change was seen in the ion charge state distribution. A small but significant reduction in the beam noise level was seen, and it is possible that the technique may find application in situations where beam quiescence is important. 29 references, 2 figures

  14. An ECR table plasma generator

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Bin, S.

    2012-01-01

    A compact ECR plasma device was built in our lab using the 'spare parts' of the ATOMKI ECR ion source. We call it 'ECR Table Plasma Generator'. It consists of a relatively big plasma chamber (ID=10 cm, L=40 cm) in a thin NdFeB hexapole magnet with independent vacuum and gas dosing systems. For microwave coupling two low power TWTAs (Travelling Wave tube amplifier) can be applied individually or simultaneously, operating in the 6-18 GHz range. There is no axial magnetic trap and there is no extraction. The technical details of the plasma generator and preliminary plasma photo study results are shown. This paper is followed by the associated poster. (authors)

  15. Low Temperature Graphene Synthesis from Poly(methyl methacrylate) Using Microwave Plasma Treatment

    Science.gov (United States)

    Yamada, Takatoshi; Ishihara, Masatou; Hasegawa, Masataka

    2013-11-01

    A graphene film having low sheet resistance (600 Ω/sq.) was synthesized at low temperatures of 280 °C. Utilizing microwave plasma treatment, graphene films were synthesized from a solid phase on a copper surface. The full width at half maximum of the 2D-band in the Raman spectrum indicated that a high quality graphene film was formed. Cross-sectional transmission electron microscopy observation revealed that the deposited graphene films consisted of single- or double-layer graphene flakes of nanometer order on the Cu surface, which agrees with the estimated number of layers from an average optical transmittance of 96%.

  16. Observation of large-amplitude ion acoustic wave in microwave-plasma interaction experiments

    International Nuclear Information System (INIS)

    Yugami, Noboru; Nishida, Yasushi

    1997-01-01

    Large amplitude ion acoustic wave, which is not satisfied with a linear dispersion relationship of ion acoustic wave, is observed in microwave-plasma interaction experiments. This ion acoustic wave is excited around critical density layer and begins to propagate to underdense region with a phase velocity one order faster than sound velocity C s , which is predicted by the linear theory, the phase velocity and the wave length of the wave decreases as it propagates. Finally, it converges to C s and strongly dumps. Diagnostic by the Faraday cup indicates that this ion acoustic wave is accompanied with a hot ion beam. (author)

  17. New applications of microwave

    International Nuclear Information System (INIS)

    Ejiri, A.; Tanaka, K.; Kawahata, K.; Ito, Y.; Tokuzawa, T.

    2000-01-01

    Interferometry and reflectometry measure phase of the transparent or the reflected wave to derive the information on plasma density. Homodyne reflectometry for an interlock and transmissiometry for sheet plasma measurements could be another class of microwave diagnostics, which does not measure the phase. (author)

  18. The HelCat basic plasma science device

    Science.gov (United States)

    Gilmore, M.; Lynn, A. G.; Desjardins, T. R.; Zhang, Y.; Watts, C.; Hsu, S. C.; Betts, S.; Kelly, R.; Schamiloglu, E.

    2015-01-01

    The Helicon-Cathode(HelCat) device is a medium-size linear experiment suitable for a wide range of basic plasma science experiments in areas such as electrostatic turbulence and transport, magnetic relaxation, and high power microwave (HPM)-plasma interactions. The HelCat device is based on dual plasma sources located at opposite ends of the 4 m long vacuum chamber - an RF helicon source at one end and a thermionic cathode at the other. Thirteen coils provide an axial magnetic field B >= 0.220 T that can be configured individually to give various magnetic configurations (e.g. solenoid, mirror, cusp). Additional plasma sources, such as a compact coaxial plasma gun, are also utilized in some experiments, and can be located either along the chamber for perpendicular (to the background magnetic field) plasma injection, or at one of the ends for parallel injection. Using the multiple plasma sources, a wide range of plasma parameters can be obtained. Here, the HelCat device is described in detail and some examples of results from previous and ongoing experiments are given. Additionally, examples of planned experiments and device modifications are also discussed.

  19. Performance evaluation of a permanent ring magnet based helicon plasma source for negative ion source research

    Science.gov (United States)

    Pandey, Arun; Bandyopadhyay, M.; Sudhir, Dass; Chakraborty, A.

    2017-10-01

    Helicon wave heated plasmas are much more efficient in terms of ionization per unit power consumed. A permanent magnet based compact helicon wave heated plasma source is developed in the Institute for Plasma Research, after carefully optimizing the geometry, the frequency of the RF power, and the magnetic field conditions. The HELicon Experiment for Negative ion-I source is the single driver helicon plasma source that is being studied for the development of a large sized, multi-driver negative hydrogen ion source. In this paper, the details about the single driver machine and the results from the characterization of the device are presented. A parametric study at different pressures and magnetic field values using a 13.56 MHz RF source has been carried out in argon plasma, as an initial step towards source characterization. A theoretical model is also presented for the particle and power balance in the plasma. The ambipolar diffusion process taking place in a magnetized helicon plasma is also discussed.

  20. Microwave proton source development for a high-current linac injector

    International Nuclear Information System (INIS)

    Sherman, J.; Bolme, G.; Geisik, C.

    1995-01-01

    Powerful CW proton linear accelerators (100-mA at 0.5--1.0 GeV) are being proposed for spallation neutron-source applications. A 75-keV, 110-mA dc proton injector using a microwave ion source is being tested for these applications. It has achieved 80-keV, 110-mA hydrogen-ion-beam operation. Video and dc beam-current toroid diagnostics are operational, and an EPICS control system is also operational on the 75-keV injector. A technical base development program has also been carried out on a 50-keV injector obtained from Chalk River Laboratories, and it includes low-energy beam transport studies, ion source lifetime tests, and proton-fraction enhancement studies. Technical base results and the present status of the 75-keV injector will be presented

  1. Kinetic study on non-thermal volumetric plasma decay in the early afterglow of air discharge generated by a short pulse microwave or laser

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Wei, E-mail: yangwei861212@126.com; Zhou, Qianhong; Dong, Zhiwei [Institute of Applied Physics and Computational Mathematics, Beijing 100094 (China)

    2016-08-28

    This paper reports a kinetic study on non-thermal plasma decay in the early afterglow of air discharge generated by short pulse microwave or laser. A global self-consistent model is based on the particle balance of complex plasma chemistry, electron energy equation, and gas thermal balance equation. Electron-ion Coulomb collision is included in the steady state Boltzmann equation solver to accurately describe the electron mobility and other transport coefficients. The model is used to simulate the afterglow of microsecond to nanosecond pulse microwave discharge in N{sub 2}, O{sub 2}, and air, as well as femtosecond laser filament discharge in dry and humid air. The simulated results for electron density decay are in quantitative agreement with the available measured ones. The evolution of plasma decay under an external electric field is also investigated, and the effect of gas heating is considered. The underlying mechanism of plasma density decay is unveiled through the above kinetic modeling.

  2. High power microwave source with a three dimensional printed metamaterial slow-wave structure

    International Nuclear Information System (INIS)

    French, David M.; Shiffler, Don

    2016-01-01

    For over the last decade, the concept of metamaterials has led to new approaches for considering the interaction of radiation with complex structures. However, practical manifestations of such a device operating at high power densities have proven difficult to achieve due to the resonant nature of metamaterials and the resultant high electric fields, which place severe constraints on manufacturing the slow wave structures. In this paper, we describe the first experimental manifestation of a high power microwave device utilizing a metallic slow wave structure (metamaterial-like) fabricated using additive manufacturing. The feasibility of utilizing additive manufacturing as a technique for building these relatively complicated structures has thus been demonstrated. The MW class microwave source operates in the C-band and shows frequency tunablility with electron beam voltage. The basic electromagnetic characteristics of this device, the construction using additive manufacturing, and the basic performance as a microwave oscillator are considered. Due to the tunable nature of the device, it shows promise not only as an oscillator but also as a microwave amplifier. Therefore, the dispersive characteristics and a discussion of the anticipated gain is included as it relates to an amplifier configuration.

  3. High power microwave source with a three dimensional printed metamaterial slow-wave structure

    Energy Technology Data Exchange (ETDEWEB)

    French, David M.; Shiffler, Don [Air Force Research Laboratory, Directed Energy Directorate, Albuquerque, New Mexico 871117 (United States)

    2016-05-15

    For over the last decade, the concept of metamaterials has led to new approaches for considering the interaction of radiation with complex structures. However, practical manifestations of such a device operating at high power densities have proven difficult to achieve due to the resonant nature of metamaterials and the resultant high electric fields, which place severe constraints on manufacturing the slow wave structures. In this paper, we describe the first experimental manifestation of a high power microwave device utilizing a metallic slow wave structure (metamaterial-like) fabricated using additive manufacturing. The feasibility of utilizing additive manufacturing as a technique for building these relatively complicated structures has thus been demonstrated. The MW class microwave source operates in the C-band and shows frequency tunablility with electron beam voltage. The basic electromagnetic characteristics of this device, the construction using additive manufacturing, and the basic performance as a microwave oscillator are considered. Due to the tunable nature of the device, it shows promise not only as an oscillator but also as a microwave amplifier. Therefore, the dispersive characteristics and a discussion of the anticipated gain is included as it relates to an amplifier configuration.

  4. Long plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Grant Logan, Larry B.; Seidl, Peter A.; Waldron, William

    2009-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to focus them to a small spot size and compress their axial length. The plasma source should operate at low neutral pressures and without strong externally applied fields. To produce long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients have been developed. The source utilizes the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) is covered with ceramic material. High voltage (∼8 kV) is applied between the drift tube and the front surface of the ceramics. A BaTiO 3 source comprised of five 20-cm-long sources has been tested and characterized, producing relatively uniform plasma in the 5x10 10 cm -3 density range. The source was integrated into the NDCX device for charge neutralization and beam compression experiments, and yielded current compression ratios ∼120. Present research is developing multi-meter-long and higher density sources to support beam compression experiments for high-energy-density physics applications.

  5. Design of a helicon plasma source for ion–ion plasma production

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, N., E-mail: narayan.sharma@cppipr.res.in; Chakraborty, M.; Neog, N.K.; Bandyopadhyay, M.

    2017-04-15

    Highlights: • Development of a helicon plasma system to carry out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. • Determination of initial parameters of helicon plasma source for ion–ion plasma by using dispersion relation of bounded helicon waves. • Design and development of solenoid with magnetic field strength production capability of ∼ 600 G along the axis of the chamber. • Optimization of the chamber parameters using Helic codes and estimation of optimum attainable density. • Estimation of RF power requirements for various gases. - Abstract: A helicon plasma system is being designed and developed at CPP-IPR. The design parameters of the system are deduced from the dispersion relation of bounded helicon waves and the required magnetic fields are simulated by using Poisson Superfish code. The Helic code is used to simulate the power deposition profile for various conditions and to investigate the optimum values of chamber parameters for effective coupling of radio frequency (RF) power to plasma. The helicon source system is aimed at carrying out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. The system mainly consists of a source chamber in which helicon plasma will be produced by injecting RF power at a frequency of 13.56 MHz through a right helical antenna in presence of a DC magnetic field followed by an expansion chamber in which it is expected to produce negative ions along with the positive ions. Installation of the various parts of the system is in progress. The details of the design and development of the system is presented in this article.

  6. An evaluation of microwave-assisted fusion and microwave-assisted acid digestion methods for determining elemental impurities in carbon nanostructures using inductively coupled plasma optical emission spectrometry

    KAUST Repository

    Patole, Shashikant P.

    2015-10-21

    It is common for as-prepared carbon nanotube (CNT) and graphene samples to contain remnants of the transition metals used to catalyze their growth; contamination may also leave other trace elemental impurities in the samples. Although a full quantification of impurities in as-prepared samples of carbon nanostructures is difficult, particularly when trace elements are intercalated or encapsulated within a protective layer of graphitic carbon, reliable information is essential for reasons such as quantifying the adulteration of physico-chemical properties of the materials and for evaluating environmental issues. Here, we introduce a microwave-based fusion method to degrade single- and double-walled CNTs and graphene nanoplatelets into a fusion flux thereby thoroughly leaching all metallic impurities. Subsequent dissolution of the fusion product in diluted hydrochloric and nitric acid allowed us to identify their trace elemental impurities using inductively coupled plasma optical emission spectrometry. Comparisons of the results from the proposed microwave-assisted fusion method against those of a more classical microwave-assisted acid digestion approach suggest complementarity between the two that ultimately could lead to a more reliable and less costly determination of trace elemental impurities in carbon nanostructured materials. Graphical abstract A method for the complete digestion of carbon nanostructures has been demonstrated. Photographs (on the left side) show zirconium crucibles containing SWCNTs with flux of Na2CO3 and K2CO3, before and after microwave fusion; (on the right side) the appearance of the final solutions containing dissolved samples, from microwave-assisted fusion and microwave-assisted acid digestion. These solutions were used for determining the trace elemental impurities by ICP‒OES.

  7. Construction of a high beta plasma source

    International Nuclear Information System (INIS)

    Naraghi, M.; Torabi-Fard, A.

    1976-02-01

    A high beta plasma source has been designed and constructed. This source will serve as a means of developing and exercising different diagnostic techniques as required for ALVAND I, linear theta pinch experiment. Also, it will serve to acquaint the technicians with some of the techniques and safety rules of high voltage and capacitor discharge experiments. The operating parameters of the theta pinch and Z-pinch preionization is presented and the program of diagnostic measurements on the high beta plasma source is discussed

  8. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  9. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  10. High density plasmas and new diagnostics: An overview (invited)

    International Nuclear Information System (INIS)

    Celona, L.; Gammino, S.; Mascali, D.

    2016-01-01

    One of the limiting factors for the full understanding of Electron Cyclotron Resonance Ion Sources (ECRISs) fundamental mechanisms consists of few types of diagnostic tools so far available for such compact machines. Microwave-to-plasma coupling optimisation, new methods of density overboost provided by plasma wave generation, and magnetostatic field tailoring for generating a proper electron energy distribution function, suitable for optimal ion beams formation, require diagnostic tools spanning across the entire electromagnetic spectrum from microwave interferometry to X-ray spectroscopy; these methods are going to be implemented including high resolution and spatially resolved X-ray spectroscopy made by quasi-optical methods (pin-hole cameras). The ion confinement optimisation also requires a complete control of cold electrons displacement, which can be performed by optical emission spectroscopy. Several diagnostic tools have been recently developed at INFN-LNS, including “volume-integrated” X-ray spectroscopy in low energy domain (2-30 keV, by using silicon drift detectors) or high energy regime (>30 keV, by using high purity germanium detectors). For the direct detection of the spatially resolved spectral distribution of X-rays produced by the electronic motion, a “pin-hole camera” has been developed also taking profit from previous experiences in the ECRIS field. The paper will give an overview of INFN-LNS strategy in terms of new microwave-to-plasma coupling schemes and advanced diagnostics supporting the design of new ion sources and for optimizing the performances of the existing ones, with the goal of a microwave-absorption oriented design of future machines

  11. Microwave processing of radioactive materials-I

    International Nuclear Information System (INIS)

    White, T.L.; Berry, J.B.

    1989-01-01

    This paper is the first of two papers that reviews the major past and present applications of microwave energy for processing radioactive materials, with particular emphasis on processing radioactive wastes. Microwave heating occurs through the internal friction produced inside a dielectric material when its molecules vibrate in response to an oscillating microwave field. For this presentation, we shall focus on the two FCC-approved microwave frequencies for industrial, scientific, and medical use, 915 and 2450 MHz. Also, because of space limitations, we shall postpone addressing plasma processing of hazardous wastes using microwave energy until a later date. 13 refs., 4 figs

  12. Microwave plasma synthesis of Si/Ge and Si/WSi2 nanoparticles for thermoelectric applications

    Science.gov (United States)

    Petermann, Nils; Schneider, Tom; Stötzel, Julia; Stein, Niklas; Weise, Claudia; Wlokas, Irenäus; Schierning, Gabi; Wiggers, Hartmut

    2015-08-01

    The utilization of microwave-based plasma systems enables a contamination-free synthesis of highly specific nanoparticles in the gas phase. A reactor setup allowing stable, long-term operation was developed with the support of computational fluid dynamics. This paper highlights the prospects of gas-phase plasma synthesis to produce specific materials for bulk thermoelectrics. Taking advantage of specific plasma reactor properties such as Coulomb repulsion in combination with gas temperatures considerably higher than 1000 K, spherical and non-aggregated nanoparticles of multiple compositions are accessible. Different strategies towards various nanostructured composites and alloys are discussed. It is shown that, based on doped silicon/germanium alloys and composites, thermoelectric materials with zT values up to almost unity can be synthesized in one step. First experimental results concerning silicon/tungsten silicide thermoelectrics applying the nanoparticle-in-alloy idea are presented indicating that this concept might work. However, it is found that tungsten silicides show a surprising sinter activity more than 1000 K below their melting temperature.

  13. Development of microwave-enhanced spark-induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Ikeda, Yuji; Moon, Ahsa; Kaneko, Masashi

    2010-01-01

    We propose microwave-enhanced spark-induced breakdown spectroscopy with the same measurement and analysis processes as in laser-induced breakdown spectroscopy, but with a different plasma generation mechanism. The size and lifetime of the plasma generated can contribute to increased measurement accuracy and expand its applicability to industrial measurement, such as an exhaust gas analyzer for automobile engine development and its regulation, which has been hard to operate by laser at an engineering evaluation site. The use of microwaves in this application helps lower the cost, reduce the system size, and increase the ease of operation to make it commercially viable. A microwave frequency of 2.45 GHz was used to enhance the volume and lifetime of the plasma at atmospheric condition even at elevated pressure.

  14. Production of atmospheric pressure microwave plasma with dielectric half-mirror resonator and its application to polymer surface treatment

    Science.gov (United States)

    Sasai, Kensuke; Keyamura, Kazuki; Suzuki, Haruka; Toyoda, Hirotaka

    2018-06-01

    For the surface treatment of a polymer tube, a ring-shaped atmospheric pressure microwave plasma (APMP) using a coaxial waveguide is studied. In this APMP, a dielectric plate is used not only as a partial mirror for cavity resonation but also for the precise alignment of the discharge gap for ring-shaped plasma production. The optimum position of the dielectric plate is investigated by electromagnetic wave simulation. On the basis of simulation results, a ring-shaped plasma with good uniformity along the ring is produced. The coaxial APMP is applied to the surface treatment of ethylene tetrafluoroethylene. A very fast surface modification within 3 s is observed.

  15. Time evolution of plasma potential in pulsed operation of ECRIS

    International Nuclear Information System (INIS)

    Tarvainen, O.; Koivisto, H.; Ropponen, T.; Toivanen, V.; Higurashi, Y.; Nakagawa, T.

    2012-01-01

    The time evolution of plasma potential has been measured with a retarding field analyzer in pulsed operation mode with electron cyclotron resonance ion sources at JYFL and RIKEN. Three different ion sources with microwave frequencies ranging from 6.4 to 18 GHz were employed for the experiments. The plasma potential was observed to increase 10-75 % during the Pre-glow and 10-30 % during the afterglow compared to steady state. The paper is followed by the slides of the presentation. (authors)

  16. Development of local oscillator integrated antenna array for microwave imaging diagnostics

    International Nuclear Information System (INIS)

    Kuwahara, D.; Shinohara, S.; Ito, N.; Nagayama, Y.; Tsuchiya, H.; Yoshikawa, M.; Kohagura, J.; Yoshinaga, T.; Yamaguchi, S.; Kogi, Y.; Mase, A.

    2015-01-01

    Microwave imaging diagnostics are powerful tools that are used to obtain details of complex structures and behaviors of such systems as magnetically confined plasmas. For example, microwave imaging reflectometry and microwave imaging interferometers are suitable for observing phenomena that are involved with electron density fluctuations; moreover, electron cyclotron emission imaging diagnostics enable us to accomplish the significant task of observing MHD instabilities in large tokamaks. However, microwave imaging systems include difficulties in terms of multi-channelization and cost. Recently, we solved these problems by developing a Horn-antenna Mixer Array (HMA), a 50 - 110 GHz 1-D heterodyne- type antenna array, which can be easily stacked as a 2-D receiving array, because it uses an end-fire element. However, the HMA still evidenced problems owing to the requirement for local oscillation (LO) optics and an expensive high-power LO source. To solve this problem, we have developed an upgraded HMA, named the Local Integrated Antenna array (LIA), in which each channel has an internal LO supply using a frequency multiplier integrated circuit. Therefore, the proposed antenna array eliminates the need for both the LO optics and the high-power LO source. This paper describes the principle of the LIA, and provides details about an 8 channel prototype LIA

  17. The Influences of H2Plasma Pretreatment on the Growth of Vertically Aligned Carbon Nanotubes by Microwave Plasma Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2008-01-01

    Full Text Available AbstractThe effects of H2flow rate during plasma pretreatment on synthesizing the multiwalled carbon nanotubes (MWCNTs by using the microwave plasma chemical vapor deposition are investigated in this study. A H2and CH4gas mixture with a 9:1 ratio was used as a precursor for the synthesis of MWCNT on Ni-coated TaN/Si(100 substrates. The structure and composition of Ni catalyst nanoparticles were investigated using scanning electron microscopy (SEM and transmission electron microscopy (TEM. The present findings showed that denser Ni catalyst nanoparticles and more vertically aligned MWCNTs could be effectively achieved at higher flow rates. From Raman results, we found that the intensity ratio of G and D bands (ID/IG decreases with an increasing flow rate. In addition, TEM results suggest that H2plasma pretreatment can effectively reduce the amorphous carbon and carbonaceous particles. As a result, the pretreatment plays a crucial role in modifying the obtained MWCNTs structures.

  18. Note: Development of ESS Bilbao's proton ion source: Ion Source Hydrogen Positive

    International Nuclear Information System (INIS)

    Miracoli, R.; Feuchtwanger, J.; Arredondo, I.; Belver, D.; Gonzalez, P. J.; Corres, J.; Djekic, S.; Echevarria, P.; Eguiraun, M.; Garmendia, N.; Muguira, L.

    2014-01-01

    The Ion Source Hydrogen positive is a 2.7 GHz off-resonance microwave discharge ion source. It uses four coils to generate an axial magnetic field in the plasma chamber around 0.1 T that exceeds the ECR resonance field. A new magnetic system was designed as a combination of the four coils and soft iron in order to increase the reliability of the source. The description of the simulations of the magnetic field and the comparison with the magnetic measurements are presented. Moreover, results of the initial commissioning of the source for extraction voltage until 50 kV will be reported

  19. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  20. Ferroelectric plasma source for heavy ion beam space charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Davidson, Ronald C.; Grisham, Larry; Grant Logan, B.; Seidl, Peter A.; Waldron, William; Yu, Simon S.

    2007-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to allow them to focus to a small spot size and compress their axial pulse length. The plasma source should be able to operate at low neutral pressures and without strong externally applied electric or magnetic fields. To produce 1 m-long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients are being developed. The sources utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic material, and high voltage (∼7 kV) will be applied between the drift tube and the front surface of the ceramics. A prototype ferroelectric source, 20 cm in length, has produced plasma densities of 5x10 11 cm -3 . It was integrated into the Neutralized Transport Experiment (NTX), and successfully charge neutralized the K + ion beam. A 1 m-long source comprised of five 20-cm-long sources has been tested. Simply connecting the five sources in parallel to a single pulse forming network power supply yielded non-uniform performance due to the time-dependent nature of the load that each of the five plasma sources experiences. Other circuit combinations have been considered, including powering each source by its own supply. The 1-m-long source has now been successfully characterized, producing relatively uniform plasma over the 1 m length of the source in the mid-10 10 cm -3 density range. This source will be integrated into the NDCX device for charge neutralization and beam compression experiments

  1. Reflectometry and transport in thermonuclear plasmas in the Joint European Torus

    International Nuclear Information System (INIS)

    Sips, A.C.C.

    1991-01-01

    The subjects of this thesis are the study of microwave reflectometry as a method to measure electron density profiles, and the study of particle and energy transport in thermonuclear plasmas. In the transport studies data of a 12-channel reflectometer system are used to analyze the propagation of electron density perturbations in the plasma. The measurements described in this thesis are performed in the plasmas in the Joint European Torus (JET). The main points of study described are based on microwave reflectometry, the principles of which are given. Two modes of operation of a reflectometer are described. Firstly, electro-magnetic waves with constant frequencies may be launched into the plasma to measure variations in the electron density profile. Secondly, the absolute density profile can be measured with a reflectometer, when the source frequencies are swept. (author). 56 refs.; 41 figs.; 5 tabs

  2. Pulsed Plasma Electron Sources

    Science.gov (United States)

    Krasik, Yakov

    2008-11-01

    Pulsed (˜10-7 s) electron beams with high current density (>10^2 A/cm^2) are generated in diodes with electric field of E > 10^6 V/cm. The source of electrons in these diodes is explosive emission plasma, which limits pulse duration; in the case E Saveliev, J. Appl. Phys. 98, 093308 (2005). Ya. E. Krasik, A. Dunaevsky, and J. Felsteiner, Phys. Plasmas 8, 2466 (2001). D. Yarmolich, V. Vekselman, V. Tz. Gurovich, and Ya. E. Krasik, Phys. Rev. Lett. 100, 075004 (2008). J. Z. Gleizer, Y. Hadas and Ya. E. Krasik, Europhysics Lett. 82, 55001 (2008).

  3. Microwave superheaters for fusion

    International Nuclear Information System (INIS)

    Campbell, R.B.; Hoffman, M.A.; Logan, B.G.

    1987-01-01

    The microwave superheater uses the synchrotron radiation from a thermonuclear plasma to heat gas seeded with an alkali metal to temperatures far above the temperature of material walls. It can improve the efficiency of the Compact Fusion Advanced Rankine (CFAR) cycle described elsewhere in these proceedings. For a proof-of-principle experiment using helium, calculations show that a gas superheat ΔT of 2000 0 K is possible when the wall temperature is maintained at 1000 0 K. The concept can be scaled to reactor grade systems. Because of the need for synchrotron radiation, the microwave superheater is best suited for use with plasmas burning an advanced fuel such as D- 3 He. 5 refs

  4. Rocket experiment METS - Microwave Energy Transmission in Space

    Science.gov (United States)

    Kaya, N.; Matsumoto, H.; Akiba, R.

    A Microwave Energy Transmission in Space (METS) rocket experiment is being planned by the Solar Power Satellite Working Group at the Institute of Space and Astronautical Science in Japan for the forthcoming International Space Year, 1992. The METS experiment is an advanced version of the previous MINIX rocket experiment (Matsumoto et al., 1990). This paper describes a conceptual design of the METS rocket experiment. It aims at verifying a newly developed microwave energy transmission system for space use and to study nonlinear effects of the microwave energy beam in the space plasma environment. A high power microwave of 936 W will be transmitted by the new phased-array antenna from a mother rocket to a separated target (daughter rocket) through the ionospheric plasma. The active phased-array system has a capability of focusing the microwave energy around any spatial point by controlling the digital phase shifters individually.

  5. Rocket experiment METS Microwave Energy Transmission in Space

    Science.gov (United States)

    Kaya, N.; Matsumoto, H.; Akiba, R.

    A METS (Microwave Energy Transmission in Space) rocket experiment is being planned by the SPS (Solar Power Satellite) Working Group at the Institute of Space and Astronautical Science (ISAS) in Japan for the forthcoming International Space Year (ISY), 1992. The METS experiment is an advanced version of our MINIX rocket experiment. This paper describes the conceptual design for the METS rocket experiment. Aims are to verify the feasibility of a newly developed microwave energy transmission system designed for use in space and to study nonlinear effects of the microwave energy beam on space plasma. A high power microwave (936 W) will be transmitted by a new phase-array antenna from a mother rocket to a separate target (daughter rocket) through the Earth's ionospheric plasma. The active phased-array system has the capability of being able to focus the microwave energy at any spatial point by individually controlling the digital phase shifters.

  6. Partial microwave-assisted wet digestion of animal tissue using a baby-bottle sterilizer for analyte determination by inductively coupled plasma optical emission spectrometry

    International Nuclear Information System (INIS)

    Matos, Wladiana O.; Menezes, Eveline A.; Gonzalez, Mario H.; Costa, Leticia M.; Trevizan, Lilian C.; Nogueira, Ana Rita A.

    2009-01-01

    A procedure for partial digestion of bovine tissue is proposed using polytetrafluoroethylene (PTFE) micro-vessels inside a baby-bottle sterilizer under microwave radiation for multi-element determination by inductively coupled plasma optical emission spectrometry (ICP OES). Samples were directly weighed in laboratory-made polytetrafluoroethylene vessels. Nitric acid and hydrogen peroxide were added to the uncovered vessels, which were positioned inside the baby-bottle sterilizer, containing 500 mL of water. The hydrogen peroxide volume was fixed at 100 μL. The system was placed in a domestic microwave oven and partial digestion was carried out for the determination of Ca, Cu, Fe, Mg, Mn and Zn by inductively coupled plasma optical emission spectrometry. The single-vessel approach was used in the entire procedure, to minimize contamination in trace analysis. Better recoveries and lower residual carbon content (RCC) levels were obtained under the conditions established through a 2 4-1 fractional factorial design: 650 W microwave power, 7 min digestion time, 50 μL nitric acid and 50 mg sample mass. The digestion efficiency was ascertained according to the residual carbon content determined by inductively coupled plasma optical emission spectrometry. The accuracy of the proposed procedure was checked against two certified reference materials.

  7. Pressure History Measurement in a Microwave Beaming Thruster

    International Nuclear Information System (INIS)

    Oda, Yasuhisa; Ushio, Masato; Komurasaki, Kimiya; Takahashi, Koji; Kasugai, Atsushi; Sakamoto, Keishi

    2006-01-01

    In a microwave beaming thruster with a 1-dimensional nozzle, plasma and shock wave propagates in the nozzle absorbing microwave power. In this study, pressure histories in the thruster are measured using pressure gauges. Measured pressure history at the thruster wall shows constant pressure during plasma propagation in the nozzle. The result of measurement of the propagating velocities of shock wave and plasma shows that both propagate in the same velocity. These result shows that thrust producing model of analogy of pulse detonation engine is successful for the 1D thruster

  8. 11. international conference on ion sources

    International Nuclear Information System (INIS)

    Leitner, D.; Lyneis, C.; Cheng, D.; Galloway, M.L.; Leitner, M.; Todd, D.S.; Ciavola, G.; Gammino, S.; Celona, L.; Ando, L.; Torrisi, L.; Cavenago, M.; Galata, A.; Spaedtke, P.; Tinschert, K.; Lang, R.; Iannucci, R.; Leroy, R.; Barue, C.; Hitz, D.; Koivisto, H.; Suominen, P.; Tarvainen, O.; Beijers, H.; Brandenburg, S.; Vanrooyen, D.; Hillo, C.; Kuchler, D.; Homeyer, H.; Rohrich, J.; Schachter, L.; Dobrescu, S.; Nakagawa, T.; Higurashi, Y.; Kidera, M.; Aihara, T.; Kase, M.; Goto, A.; Yang, Y.; Zhao, H.W.; Zhang, Z.M.; Zhang, X.Z.; Guo, X.H.; He, W.E.; Sun, L.T.; Yuan, P.; Song, M.T.; Xie, Z.Q.; Cao, Y.; Zhan, W.L.; Wei, B.W.; Bricault, P.; Lau, C.; Essabaa, S.; Cheikh Mhamed, M.; Bajeat, O.; Ducourtieux, M.; Lefort, H.; Panteleev, V.N.; Barzakh, A.E.; Fedorov, D.V.; Ionan, A.M.; Mezilev, K.A.; Moroz, F.V.; Orlov, S.Y.; Volkov, Y.M.; Andrighetto, A.; Lhersonneau, G.; Rizzi, V.; Tecchio, L.B.; Dubois, M.; Gaubert, G.; Jardins, P.; Lecesne, N.; Leroy, R.; Pacquet, J.Y.; Saint Laurent, M.G.; Villari, A.C.O.; Bajeat, O.; Essabaa, S.; Lau, C.; Menna, M.; Franberg, H.; Ammann, M.; Gdggeler, H.W.; Koster, U.; Allen, F.; Biedermann, C.; Radtke, R.; Ames, F.; Baartman, R.; Bricault, P.; Jayamanna, K.; Lamy, T.; McDonald, M.; Olivo, M.; Schmorl, P.; Yuan, D.H.L.; Asaji, T.; Sasaki, H.; Kato, Y.; Atabaev, B.; Radjabov, S.S.; Akhmadjanova, M.K.; Yuzikaeva, F.R.; Baoqun, Cui; Liqiang, Li; Yingjun, Ma; Shengyun, Zhu; Cong, Jiang

    2005-01-01

    This document gathers the summaries of the presentations made at ICIS05 (international conference on ion sources). It can be organized into 3 main topics: 1) 'fundamentals and theory' that deals with plasma, beam extraction, transport and emittance, diagnostics and simulation; 2) 'various types of ion sources' that include ECRIS, EBIS, microwave, negative, radioactive, polarized and laser ion sources, and charge breeders; and 3) 'ion sources and applications' in fields like accelerator injection, fusion energy, space propulsion, mass spectrometry, and neutron and cluster and rare nuclide production

  9. Cross-correlation of the cosmic microwave background with the 2MASS galaxy survey: Signatures of dark energy, hot gas, and point sources

    International Nuclear Information System (INIS)

    Afshordi, Niayesh; Loh, Yeong-Shang; Strauss, Michael A.

    2004-01-01

    We cross-correlate the cosmic microwave background temperature anisotropies observed by the Wilkinson Microwave Anisotropy Probe (WMAP) with the projected distribution of extended sources in the Two Micron All Sky Survey (2MASS). By modeling the theoretical expectation for this signal, we extract the signatures of dark energy [integrated Sachs-Wolfe effect (ISW)], hot gas [thermal Sunyaev-Zeldovich (SZ) effect], and microwave point sources in the cross-correlation. Our strongest signal is the thermal SZ, at the 3.1-3.7σ level, which is consistent with the theoretical prediction based on observations of x-ray clusters. We also see the ISW signal at the 2.5σ level, which is consistent with the expected value for the concordance ΛCDM cosmology, and is an independent signature of the presence of dark energy in the Universe. Finally, we see the signature of microwave point sources at the 2.7σ level

  10. FDTD verification of deep-set brain tumor hyperthermia using a spherical microwave source distribution

    Energy Technology Data Exchange (ETDEWEB)

    Dunn, D. [20th Intelligence Squadron, Offutt AFB, NE (United States); Rappaport, C.M. [Northeastern Univ., Boston, MA (United States). Center for Electromagnetics Research; Terzuoli, A.J. Jr. [Air Force Inst. of Tech., Dayton, OH (United States). Graduate School of Engineering

    1996-10-01

    Although use of noninvasive microwave hyperthermia to treat cancer is problematic in many human body structures, careful selection of the source electric field distribution around the entire surface of the head can generate a tightly focused global power density maximum at the deepest point within the brain. An analytic prediction of the optimum volume field distribution in a layered concentric head model based on summing spherical harmonic modes is derived and presented. This ideal distribution is then verified using a three-dimensional finite difference time domain (TDTD) simulation with a discretized, MRI-based head model excited by the spherical source. The numerical computation gives a very similar dissipated power pattern as the analytic prediction. This study demonstrates that microwave hyperthermia can theoretically be a feasible cancer treatment modality for tumors in the head, providing a well-resolved hot-spot at depth without overheating any other healthy tissue.

  11. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  12. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  13. Modified DLC coatings prepared in a large-scale reactor by dual microwave/pulsed-DC plasma-activated chemical vapour deposition

    International Nuclear Information System (INIS)

    Corbella, C.; Bialuch, I.; Kleinschmidt, M.; Bewilogua, K.

    2008-01-01

    Diamond-Like Carbon (DLC) films find abundant applications as hard and protective coatings due to their excellent mechanical and tribological performances. The addition of new elements to the amorphous DLC matrix tunes the properties of this material, leading to an extension of its scope of applications. In order to scale up their production to a large plasma reactor, DLC films modified by silicon and oxygen additions have been grown in an industrial plant of 1m 3 by means of pulsed-DC plasma-activated chemical vapour deposition (PACVD). The use of an additional microwave (MW) source has intensified the glow discharge, partly by electron cyclotron resonance (ECR), accelerating therefore the deposition process. Hence, acetylene, tetramethylsilane (TMS) and hexamethyldisiloxane (HMDSO) constituted the respective gas precursors for the deposition of a-C:H (DLC), a-C:H:Si and a-C:H:Si:O films by dual MW/pulsed-DC PACVD. This work presents systematic studies of the deposition rate, hardness, adhesion, abrasive wear and water contact angle aimed to optimize the technological parameters of deposition: gas pressure, relative gas flow of the monomers and input power. This study has been completed with measures of the atomic composition of the samples. Deposition rates around 1 μm/h, typical for standard processes held in the large reactor, were increased about by a factor 10 when the ionization source has been operated in ECR mode

  14. Influence of Microwave Power on the Properties of Hydrogenated Diamond-Like Carbon Films Prepared by ECR Plasma Enhanced DC Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ru Lili; Huang Jianjun; Gao Liang; Qi Bing

    2010-01-01

    Electron cyclotron resonance (ECR) plasma was applied to enhance the direct current magnetron sputtering to prepare hydrogenated diamond-like carbon (H-DLC) films. For different microwave powers, both argon and hydrogen gas are introduced separately as the ECR working gas to investigate the influence of microwave power on the microstructure and electrical property of the H-DLC films deposited on P-type silicon substrates. A series of characterization methods including the Raman spectrum and atomic force microscopy are used. Results show that, within a certain range, the increase in microwave power affects the properties of the thin films, namely the sp 3 ratio, the hardness, the nanoparticle size and the resistivity all increase while the roughness decreases with the increase in microwave power. The maximum of resistivity amounts to 1.1 x 10 9 Ω · cm. At the same time it is found that the influence of microwave power on the properties of H-DLC films is more pronounced when argon gas is applied as the ECR working gas, compared to hydrogen gas.

  15. Characterization of DBD plasma source for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Kuchenbecker, M; Vioel, W [University of Applied Sciences and Arts, Faculty of Natural Sciences and Technology, Von-Ossietzky-Str. 99, 37085 Goettingen (Germany); Bibinov, N; Awakowicz, P [Institute for Electrical Engineering and Plasma Technology, Ruhr-Universitaet Bochum, Universitaetstr. 150, 44780 Bochum (Germany); Kaemlimg, A; Wandke, D, E-mail: m.kuchenbecker@web.d, E-mail: Nikita.Bibinov@rub.d, E-mail: awakowicz@aept-ruhr-uni-bochum.d, E-mail: vioel@hawk-hhg.d [CINOGY GmbH, Max-Naeder-Str. 15, 37114 Duderstadt (Germany)

    2009-02-21

    The dielectric barrier discharge (DBD) plasma source for biomedical application is characterized using optical emission spectroscopy, plasma-chemical simulation and voltage-current measurements. This plasma source possesses only one electrode covered by ceramic. Human body or some other object with enough high electric capacitance or connected to ground can serve as the opposite electrode. DBD consists of a number of microdischarge channels distributed in the gas gap between the electrodes and on the surface of the dielectric. To characterize the plasma conditions in the DBD source, an aluminium plate is used as an opposite electrode. Electric parameters, the diameter of microdischarge channel and plasma parameters (electron distribution function and electron density) are determined. The gas temperature is measured in the microdischarge channel and calculated in afterglow phase. The heating of the opposite electrode is studied using probe measurement. The gas and plasma parameters in the microdischarge channel are studied at varied distances between electrodes. According to an energy balance study, the input microdischarge electric energy dissipates mainly in heating of electrodes (about 90%) and partially (about 10%) in the production of chemical active species (atoms and metastable molecules).

  16. Electron backstream to the source plasma region in an ion source

    International Nuclear Information System (INIS)

    Ohara, Y.; Akiba, M.; Arakawa, Y.; Okumura, Y.; Sakuraba, J.

    1980-01-01

    The flux of backstream electrons to the source plasma region increases significantly with the acceleration voltage of an ion beam, so that the back plate in the arc chamber should be broken for quasi-dc operation. The flux of backstream electrons is estimated at the acceleration voltage of 50--100 kV for a proton beam with the aid of ion beam simulation code. The power flux of backstream electrons is up to about 7% of the total beam output at the acceleration voltage of 75 kV. It is pointed out that the conventional ion sources such as the duoPIGatron or the bucket source which use a magnetic field for source plasma production are not suitable for quasi-dc and high-energy ion sources, because the surface heat flux of the back plate is increased by the focusing of backstream electrons and the removal of it is quite difficult. A new ion source which has an electron beam dump in the arc chamber is proposed

  17. A DOE/Fusion Energy Sciences Research/Education Program at PVAMU Study of Rotamak Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Tian-Sen [Prairie View A& M Univ., Prairie View, TX (United States); Saganti, Premkumar [Prairie View A& M Univ., Prairie View, TX (United States)

    2017-02-17

    During recent years (2004-2015), with DOE support, the PVAMU plasma research group accomplished new instrumentation development, conducted several new plasma experiments, and is currently poised to advance with standing-wave microwave plasma propulsion research. On the instrumentation development, the research group completed: (i) building a new plasma chamber with metal CF flanges, (ii) setting up of a 6kW/2450MHz microwave input system as an additional plasma heating source at our rotamak plasma facility, (iii) installation of one programmatic Kepco ATE 6-100DMG fast DC current supply system used in rotamak plasma shape control experiment, built a new microwave, standing-wave experiment chamber and (iv) established a new plasma lab with field reversal configuration capability utilizing 1MHz/200kW RF (radio frequency) wave generator. Some of the new experiments conducted in this period also include: (i) assessment of improved magnetic reconnection at field-reversed configuration (FRC) plasma, (ii) introduction of microwave heating experiments, and (iii) suppression of n = 1 tilt instability by one coil with a smaller current added inside the rotamak’s central pipe. These experiments led to publications in Physical Review Letters, Reviews of Scientific Instruments, Division of Plasma Physics (DPP) of American Physical Society (APS) Reports, Physics of Plasmas Controlled Fusion, and Physics of Plasmas (between 2004 and 2015). With these new improvements and advancements, we also initiated and accomplished design and fabrication of a plasma propulsion system. Currently, we are assembling a plasma propulsion experimental system that includes a 5kW helicon plasma source, a 25 cm diameter plasma heating chamber with 1MHz/200kW RF power rotating magnetic field, and a 60 cm diameter plasma exhaust chamber, and expect to achieve a plasma mass flow of 0.1g/s with 60km/s ejection. We anticipate several propulsion applications in near future as we advance our capabilities

  18. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  19. Characterization of Orthorhombic α-MoO3 Microplates Produced by a Microwave Plasma Process

    International Nuclear Information System (INIS)

    Klinbumrung, A.; Thongtem, S.; Thongtem, T.; Thongtem, S.; Thongtem, T.

    2012-01-01

    Orthorhombic α-MoO 3 microplates were produced from (NH 4 ) 6 Mo 7 O 24 H 2 O solid powder by a 900 W microwave plasma for 40, 50, and 60?min. Phase, morphologies, and vibration modes were characterized by X-ray diffraction (XRD), selected area electron diffraction (SAED), scanning electron microscopy (SEM), and Raman and Fourier transform infrared (FTIR) spectroscopy. Sixty min processing resulted in the best crystallization of the α-MoO 3 phase, with photoluminescence (PL) in a wavelength range of 430-440 nm.

  20. Applications of microwave radiation environmental remediation technologies

    International Nuclear Information System (INIS)

    Krause, T.R.; Helt, J.E.

    1993-01-01

    A growing number of environmental remediation technologies (e.g., drying, melting, or sintering) utilize microwave radiation as an integral part of the process. An increasing number of novel applications, such as sustaining low-temperature plasmas or enhancing chemical reactivity, are also being developed. An overview of such technologies being developed by the Department of Energy is presented. A specific example being developed at Argonne National Laboratory, microwave-induced plasma reactors for the destruction of volatile organic compounds, is discussed in more detail

  1. Microwave holography in a uniaxial anial anisotropic plasma

    International Nuclear Information System (INIS)

    Nagai, Keinosuke; Suzuki, Michio

    1974-01-01

    Properties of a hologram constructed in a uniaxial anisotropic medium, namely in a gyro-plasma were investigated theoretically. We considered the interference patterns of ordinary waves and extraordinary waves from a source such as a hologram. An element of permitivity tensor can be measured by the reconstruction process from this hologram. (auth.)

  2. RF plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Davidson, Ronald C.; Yu, Simon S.; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being used as a medium for charge neutralizing heavy ion beams in order to focus the ion beam to a small spot size. A radio frequency (RF) plasma source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The goal is to operate the source at pressures ∼ 10 -5 Torr at full ionization. The initial operation of the source has been at pressures of 10 -4 -10 -1 Torr and electron densities in the range of 10 8 -10 11 cm -3 . Recently, pulsed operation of the source has enabled operation at pressures in the 10 -6 Torr range with densities of 10 11 cm -3 . Near 100% ionization has been achieved. The source has been integrated with the NTX facility and experiments have begun

  3. Characterization of an electrothermal plasma source for fusion transient simulations

    Science.gov (United States)

    Gebhart, T. E.; Baylor, L. R.; Rapp, J.; Winfrey, A. L.

    2018-01-01

    The realization of fusion energy requires materials that can withstand high heat and particle fluxes at the plasma material interface. In this work, an electrothermal (ET) plasma source has been designed as a transient heat flux source for a linear plasma material interaction device. An ET plasma source operates in the ablative arc regime driven by a DC capacitive discharge. The current channel width is defined by the 4 mm bore of a boron nitride liner. At large plasma currents, the arc impacts the liner wall, leading to high particle and heat fluxes to the liner material, which subsequently ablates and ionizes. This results in a high density plasma with a large unidirectional bulk flow out of the source exit. The pulse length for the ET source has been optimized using a pulse forming network to have durations of 1 and 2 ms. The peak currents and maximum source energies seen in this system are 1.9 kA and 1.2 kJ for the 2 ms pulse and 3.2 kA and 2.1 kJ for the 1 ms pulse, respectively. This work is a proof of the principal project to show that an ET source produces electron densities and heat fluxes comparable to those anticipated in transient events in large future magnetic confinement fusion devices. Heat flux, plasma temperature, and plasma density were determined for each shot using infrared imaging and optical spectroscopy techniques. This paper will discuss the assumptions, methods, and results of the experiments.

  4. Studies of electron cyclotron resonance ion source plasma physics

    International Nuclear Information System (INIS)

    Tarvainen, O.

    2005-01-01

    This thesis consists of an introduction to the plasma physics of electron cyclotron resonance ion sources (ECRIS) and a review of the results obtained by the author and co-workers including discussion of related work by others. The thesis begins with a theoretical discussion dealing with plasma physics relevant for the production of highly charged ions in ECR ion source plasmas. This is followed by an overview of different techniques, such as gas mixing and double frequency heating, that can be used to improve the performance of this type of ion source. The experimental part of the work consists of studies related to ECRIS plasma physics. The effect of the gas mixing technique on the production efficiency of different ion beams was studied with both gaseous and solid materials. It was observed that gas mixing improves the confinement of the heavier element while the confinement of the lighter element is reduced. When the effect of gas mixing on MIVOC-plasmas was studied with several mixing gases it was observed that applying this technique can reduce the inevitable carbon contamination by a significant factor. In order to understand the different plasma processes taking place in ECRIS plasmas, a series of plasma potential and emittance measurements was carried out. An instrument, which can be used to measure the plasma potential in a single measurement without disturbing the plasma, was developed for this work. Studying the plasma potential of ECR ion sources is important not only because it helps to understand different plasma processes, but also because the information can be used as an input parameter for beam transport simulations and ion source extraction design. The experiments performed have revealed clear dependencies of the plasma potential on certain source parameters such as the amount of carbon contamination accumulated on the walls of the plasma chamber during a MIVOC-run. It was also observed that gas mixing affects not only the production efficiency

  5. The relationship between cellular adhesion and surface roughness in polystyrene modified by microwave plasma radiation

    Directory of Open Access Journals (Sweden)

    Biazar E

    2011-03-01

    Full Text Available Esmaeil Biazar1, Majid Heidari2, Azadeh Asefnezhad2, Naser Montazeri11Department of Chemistry, Islamic Azad University, Tonekabon Branch, Mazandaran; 2Department of Biomaterial Engineering, Faculty of Biomedical Engineering, Science and Research Branch, Islamic Azad University, Tehran, IranBackground: Surface modification of medical polymers can improve biocompatibility. Pure polystyrene is hydrophobic and cannot provide a suitable environment for cell cultures. The conventional method for surface modification of polystyrene is treatment with plasma. In this study, conventional polystyrene was exposed to microwave plasma treatment with oxygen and argon gases for 30, 60, and 180 seconds.Methods and results: Attenuated total reflection Fourier transform infrared spectra investigations of irradiated samples indicated clearly the presence of functional groups. Atomic force microscopic images of samples irradiated with inert and active gases indicated nanometric surface topography. Samples irradiated with oxygen plasma showed more roughness (31 nm compared with those irradiated with inert plasma (16 nm at 180 seconds. Surface roughness increased with increasing duration of exposure, which could be due to reduction of the contact angle of samples irradiated with oxygen plasma. Contact angle analysis showed reduction in samples irradiated with inert plasma. Samples irradiated with oxygen plasma showed a lower contact angle compared with those irradiated by argon plasma.Conclusion: Cellular investigations with unrestricted somatic stem cells showed better adhesion, cell growth, and proliferation for samples radiated by oxygen plasma with increasing duration of exposure than those of normal samples.Keywords: surface topography, polystyrene, plasma treatment, argon, oxygen

  6. 11. international conference on ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Leitner, D.; Lyneis, C.; Cheng, D.; Galloway, M.L.; Leitner, M.; Todd, D.S.; Ciavola, G.; Gammino, S.; Celona, L.; Ando, L.; Torrisi, L.; Cavenago, M.; Galata, A.; Spaedtke, P.; Tinschert, K.; Lang, R.; Iannucci, R.; Leroy, R.; Barue, C.; Hitz, D.; Koivisto, H.; Suominen, P.; Tarvainen, O.; Beijers, H.; Brandenburg, S.; Vanrooyen, D.; Hillo, C.; Kuchler, D.; Homeyer, H.; Rohrich, J.; Schachter, L.; Dobrescu, S.; Nakagawa, T.; Higurashi, Y.; Kidera, M.; Aihara, T.; Kase, M.; Goto, A.; Yang, Y.; Zhao, H.W.; Zhang, Z.M.; Zhang, X.Z.; Guo, X.H.; He, W.E.; Sun, L.T.; Yuan, P.; Song, M.T.; Xie, Z.Q.; Cao, Y.; Zhan, W.L.; Wei, B.W.; Bricault, P.; Lau, C.; Essabaa, S.; Cheikh Mhamed, M.; Bajeat, O.; Ducourtieux, M.; Lefort, H.; Panteleev, V.N.; Barzakh, A.E.; Fedorov, D.V.; Ionan, A.M.; Mezilev, K.A.; Moroz, F.V.; Orlov, S.Y.; Volkov, Y.M.; Andrighetto, A.; Lhersonneau, G.; Rizzi, V.; Tecchio, L.B.; Dubois, M.; Gaubert, G.; Jardins, P.; Lecesne, N.; Leroy, R.; Pacquet, J.Y.; Saint Laurent, M.G.; Villari, A.C.O.; Bajeat, O.; Essabaa, S.; Lau, C.; Menna, M.; Franberg, H.; Ammann, M.; Gdggeler, H.W.; Koster, U.; Allen, F.; Biedermann, C.; Radtke, R.; Ames, F.; Baartman, R.; Bricault, P.; Jayamanna, K.; Lamy, T.; McDonald, M.; Olivo, M.; Schmorl, P.; Yuan, D.H.L.; Asaji, T.; Sasaki, H.; Kato, Y.; Atabaev, B.; Radjabov, S.S.; Akhmadjanova, M.K.; Yuzikaeva, F.R.; Baoqun, Cui; Liqiang, Li; Yingjun, Ma; Shengyun, Zhu; Cong, Jiang

    2005-07-01

    This document gathers the summaries of the presentations made at ICIS05 (international conference on ion sources). It can be organized into 3 main topics: 1) 'fundamentals and theory' that deals with plasma, beam extraction, transport and emittance, diagnostics and simulation; 2) 'various types of ion sources' that include ECRIS, EBIS, microwave, negative, radioactive, polarized and laser ion sources, and charge breeders; and 3) 'ion sources and applications' in fields like accelerator injection, fusion energy, space propulsion, mass spectrometry, and neutron and cluster and rare nuclide production.

  7. Synthesis of multi-layer graphene and multi-wall carbon nanotubes from direct decomposition of ethanol by microwave plasma without using metal catalysts

    International Nuclear Information System (INIS)

    Rincón, R; Melero, C; Jiménez, M; Calzada, M D

    2015-01-01

    The synthesis of nanostructured carbon materials by using microwave plasmas at atmospheric pressure is presented. This technique involves only one step and without any other supplementary chemical process or metal catalyst. Multi-layer graphene, multi-wall carbon nananotubes and H 2 were obtained by the plasma after ethanol decomposition. Strong emissions of both C 2 molecular bands and C carbon were emitted by the plasma during the process. Futhermore, plasma parameters were studied. Our research shows that both C 2 radicals and high gas temperatures (>3000 K) are required for the synthesis of these materials, which contribute to the understanding of materials synthesis by plasma processes. (fast track communication)

  8. Moderate pressure plasma source of nonthermal electrons

    Science.gov (United States)

    Gershman, S.; Raitses, Y.

    2018-06-01

    Plasma sources of electrons offer control of gas and surface chemistry without the need for complex vacuum systems. The plasma electron source presented here is based on a cold cathode glow discharge (GD) operating in a dc steady state mode in a moderate pressure range of 2–10 torr. Ion-induced secondary electron emission is the source of electrons accelerated to high energies in the cathode sheath potential. The source geometry is a key to the availability and the extraction of the nonthermal portion of the electron population. The source consists of a flat and a cylindrical electrode, 1 mm apart. Our estimates show that the length of the cathode sheath in the plasma source is commensurate (~0.5–1 mm) with the inter-electrode distance so the GD operates in an obstructed regime without a positive column. Estimations of the electron energy relaxation confirm the non-local nature of this GD, hence the nonthermal portion of the electron population is available for extraction outside of the source. The use of a cylindrical anode presents a simple and promising method of extracting the high energy portion of the electron population. Langmuir probe measurements and optical emission spectroscopy confirm the presence of electrons with energies ~15 eV outside of the source. These electrons become available for surface modification and radical production outside of the source. The extraction of the electrons of specific energies by varying the anode geometry opens exciting opportunities for future exploration.

  9. Microwave and RF vacuum electronic power sources

    CERN Document Server

    Carter, Richard G

    2018-01-01

    Do you design and build vacuum electron devices, or work with the systems that use them? Quickly develop a solid understanding of how these devices work with this authoritative guide, written by an author with over fifty years of experience in the field. Rigorous in its approach, it focuses on the theory and design of commercially significant types of gridded, linear-beam, crossed-field and fast-wave tubes. Essential components such as waveguides, resonators, slow-wave structures, electron guns, beams, magnets and collectors are also covered, as well as the integration and reliable operation of devices in microwave and RF systems. Complex mathematical analysis is kept to a minimum, and Mathcad worksheets supporting the book online aid understanding of key concepts and connect the theory with practice. Including coverage of primary sources and current research trends, this is essential reading for researchers, practitioners and graduate students working on vacuum electron devices.

  10. Measurement system of correlation functions of microwave single photon source in real time

    Science.gov (United States)

    Korenkov, A.; Dmitriev, A.; Astafiev, O.

    2018-02-01

    Several quantum setups, such as quantum key distribution networks[1] and quantum simulators (e.g. boson sampling), by their design rely on single photon sources (SPSs). These quantum setups were demonstrated to operate in optical frequency domain. However, following the steady advances in circuit quantum electrodynamics, a proposal has been made recently[2] to demonstrate boson sampling with microwave photons. This in turn requires the development of reliable microwave SPS. It's one of the most important characteristics are the first-order and the second-order correlation functions g1 and g2. The measurement technique of g1 and g2 is significantly different from that in the optical domain [3],[4] because of the current unavailability of microwave single-photon detectors. In particular, due to high levels of noise present in the system a substantial amount of statistics in needed to be acquired. This work presents a platform for measurement of g1 and g2 that processes the incoming data in real time, maximizing the efficiency of data acquisition. The use of field-programmable gate array (FPGA) electronics, common in similar experiments[3] but complex in programming, is avoided; instead, the calculations are performed on a standard desktop computer. The platform is used to perform the measurements of the first-order and the second-order correlation functions of the microwave SPS.

  11. Nonlinear interaction of strong microwave beam with the ionosphere MINIX rocket experiment

    Energy Technology Data Exchange (ETDEWEB)

    Kaya, N.; Matsumoto, H.; Miyatake, S.; Kimura, I.; Nagatomo, M.; Obayashi, T.

    1986-01-01

    A rocket-borne experiment called MINIX was carried out to investigate the nonlinear interaction of a strong microwave energy beam with the ionosphere. The MINIX stands for Microwave-Ionosphere Nonlinear Interaction Experiment and was carried out on August 29, 1983. The objectives of the MINIX is to study possible impacts of the SPS microwave energy beam on the ionosphere such as the Ohmic heating and plasma wave excitation. The experiment showed that the microwave with f = 2.45 GHz nonlinearly excites various electrostatic plasma waves, though no Ohmic heating effects were detected. 4 figures.

  12. Nonlinear interaction of strong microwave beam with the ionosphere MINIX rocket experiment

    Science.gov (United States)

    Kaya, N.; Matsumoto, H.; Miyatake, S.; Kimura, I.; Nagatomo, M.

    A rocket-borne experiment called 'MINIX' was carried out to investigate the nonlinear interaction of a strong microwave energy beam with the ionosphere. The MINIX stands for Microwave-Ionosphere Nonlinear Interaction eXperiment and was carried out on August 29, 1983. The objective of the MINIX is to study possible impacts of the SPS microwave energy beam on the ionosphere, such as the ohmic heating and plasma wave excitation. The experiment showed that the microwave with f = 2.45 GHz nonlinearly excites various electrostatic plasma waves, though no ohmic heating effects were detected.

  13. Nonlinear interaction of strong microwave beam with the ionosphere MINIX rocket experiment

    International Nuclear Information System (INIS)

    Kaya, N.; Matsumoto, H.; Miyatake, S.; Kimura, I.; Nagatomo, M.; Obayashi, T.

    1986-01-01

    A rocket-borne experiment called MINIX was carried out to investigate the nonlinear interaction of a strong microwave energy beam with the ionosphere. The MINIX stands for Microwave-Ionosphere Nonlinear Interaction Experiment and was carried out on August 29, 1983. The objectives of the MINIX is to study possible impacts of the SPS microwave energy beam on the ionosphere such as the Ohmic heating and plasma wave excitation. The experiment showed that the microwave with f = 2.45 GHz nonlinearly excites various electrostatic plasma waves, though no Ohmic heating effects were detected. 4 figures

  14. Limits to source counts and cosmic microwave background fluctuations at 10.6 GHz

    International Nuclear Information System (INIS)

    Seielstad, G.A.; Masson, C.R.; Berge, G.L.

    1981-01-01

    We have determined the distribution of deflections due to sky temperature fluctuations at 10.6 GHz. If all the deflections are due to fine structure in the cosmic microwave background, we limit these fluctuations to ΔT/T -4 on an angular scale of 11 arcmin. If, on the other hand, all the deflections are due to confusion among discrete radio sources, the areal density of these sources is calculated for various slopes of the differential source count relationship and for various cutoff flux densities. If, for example, the slope is 2.1 and the cutoff is 10 mJy, we find (0.25--3.3) 10 6 sources sr -1 Jy -1

  15. Microwave photonic filters using low-cost sources featuring tunability, reconfigurability and negative coefficients.

    Science.gov (United States)

    Capmany, José; Mora, José; Ortega, Beatriz; Pastor, Daniel

    2005-03-07

    We propose and experimentally demonstrate two configurations of photonic filters for the processing of microwave signals featuring tunability, reconfigurability and negative coefficients based on the use of low cost optical sources. The first option is a low power configuration based on spectral slicing of a broadband source. The second is a high power configuration based on fixed lasers. Tunability, reconfigurability and negative coefficients are achieved by means of a MEMS cross-connect, a variable optical attenuator array and simple 2x2 switches respectively.

  16. RF Plasma Source for Heavy Ion Beam Charge Neutralization

    Science.gov (United States)

    Efthimion, P. C.; Gilson, E.; Grisham, L.; Davidson, R. C.

    2003-10-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1 - 100 times the ion beam density and at a length 0.1-0.5 m would be suitable for achieving a high level of charge neutralization. An ECR source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 0-10 gauss. The goal is to operate the source at pressures 10-5 Torr at full ionization. The initial operation of the source has been at pressures of 10-4 - 10-1 Torr. Electron densities in the range of 10^8 - 10^11 cm-3 have been achieved. Recently, pulsed operation of the source has enabled operation at pressures in the 10-6 Torr range with densities of 10^11 cm-3. Near 100% ionization has been achieved. The source has been integrated with NTX and is being used in the experiments. The plasma is approximately 10 cm in length in the direction of the beam propagation. Modifications to the source will be presented that increase its length in the direction of beam propagation.

  17. Ion Source Physics and Technology (1/2)

    CERN Multimedia

    CERN. Geneva

    2016-01-01

    This series of lectures starts with an introduction in some aspects of atomic and plasma physics as base for the ion source physics. The main part covers aspects of ion source physics, technology and operation. Several source types are presented. Some information on infrastructure and supporting services (as high voltage, cooling, microwaves etc) are given to better understand the source environment. The last part on engineering aims to show that, in the field of ion sources, many different technologies are combined in a quite small environment, which is challenging and interesting at the same time.

  18. Ion Source Physics and Technology (2/2)

    CERN Multimedia

    CERN. Geneva

    2016-01-01

    This series of lectures starts with an introduction in some aspects of atomic and plasma physics as base for the ion source physics. The main part covers aspects of ion source physics, technology and operation. Several source types are presented. Some information on infrastructure and supporting services (as high voltage, cooling, microwaves etc) are given to better understand the source environment. The last part on engineering aims to show that, in the field of ion sources, many different technologies are combined in a quite small environment, which is challenging and interesting at the same time.

  19. Design of a novel high efficiency antenna for helicon plasma sources

    Science.gov (United States)

    Fazelpour, S.; Chakhmachi, A.; Iraji, D.

    2018-06-01

    A new configuration for an antenna, which increases the absorption power and plasma density, is proposed for helicon plasma sources. The influence of the electromagnetic wave pattern symmetry on the plasma density and absorption power in a helicon plasma source with a common antenna (Nagoya) is analysed by using the standard COMSOL Multiphysics 5.3 software. In contrast to the theoretical model prediction, the electromagnetic wave does not represent a symmetric pattern for the common Nagoya antenna. In this work, a new configuration for an antenna is proposed which refines the asymmetries of the wave pattern in helicon plasma sources. The plasma parameters such as plasma density and absorption rate for a common Nagoya antenna and our proposed antenna under the same conditions are studied using simulations. In addition, the plasma density of seven operational helicon plasma source devices, having a common Nagoya antenna, is compared with the simulation results of our proposed antenna and the common Nagoya antenna. The simulation results show that the density of the plasma, which is produced by using our proposed antenna, is approximately twice in comparison to the plasma density produced by using the common Nagoya antenna. In fact, the simulation results indicate that the electric and magnetic fields symmetry of the helicon wave plays a vital role in increasing wave-particle coupling. As a result, wave-particle energy exchange and the plasma density of helicon plasma sources will be increased.

  20. In Situ Spectroscopic Analysis of the Carbothermal Reduction Process of Iron Oxides during Microwave Irradiation

    Directory of Open Access Journals (Sweden)

    Jun Fukushima

    2018-01-01

    Full Text Available The effects of microwave plasma induction and reduction on the promotion of the carbothermal reduction of iron oxides (α-Fe2O3, γ-Fe2O3, and Fe3O4 are investigated using in situ emission spectroscopy measurements during 2.45 GHz microwave processing, and the plasma discharge (such as CN and N2 is measured during microwave E-field irradiation. It is shown that CN gas or excited CN molecules contribute to the iron oxide reduction reactions, as well as to the thermal reduction. On the other hand, no plasma is generated during microwave H-field irradiation, resulting in thermal reduction. Magnetite strongly interacts with the microwave H-field, and the reduction reaction is clearly promoted by microwave H-field irradiation, as well as thermal reduction reaction.

  1. Ionic liquid-based microwave-assisted dispersive liquid-liquid microextraction and derivatization of sulfonamides in river water, honey, milk, and animal plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xu Xu; Su Rui; Zhao Xin; Liu Zhuang; Zhang Yupu; Li Dan; Li Xueyuan; Zhang Hanqi [College of Chemistry, Jilin University, Changchun 130012 (China); Wang Ziming, E-mail: analchem@jlu.edu.cn [College of Chemistry, Jilin University, Changchun 130012 (China)

    2011-11-30

    Graphical abstract: The extraction and derivatization efficiency of SAs is dependent on type and volume of extraction solvent, type and volume of disperser, microwave power and irradiation time, volume of derivatization reagent, pH of sample solution as well as ionic strength. Highlights: Black-Right-Pointing-Pointer A new, rapid and sensitive method for determining sulfonamides (SAs) was proposed. Black-Right-Pointing-Pointer Derivatization, extraction and preconcentration of SAs were performed in one step. Black-Right-Pointing-Pointer IL-based MADLLME and derivatization were first applied for the determination of SAs. Black-Right-Pointing-Pointer Trace SAs in river water, honey, milk, and pig plasma were determined. - Abstract: The ionic liquid-based microwave-assisted dispersive liquid-liquid microextraction (IL-based MADLLME) and derivatization was applied for the pretreatment of six sulfonamides (SAs) prior to the determination by high-performance liquid chromatography (HPLC). By adding methanol (disperser), fluorescamine solution (derivatization reagent) and ionic liquid (extraction solvent) into sample, extraction, derivatization, and preconcentration were continuously performed. Several experimental parameters, such as the type and volume of extraction solvent, the type and volume of disperser, amount of derivatization reagent, microwave power, microwave irradiation time, pH of sample solution, and ionic strength were investigated and optimized. When the microwave power was 240 W, the analytes could be derivatized and extracted simultaneously within 90 s. The proposed method was applied to the analysis of river water, honey, milk, and pig plasma samples, and the recoveries of analytes obtained were in the range of 95.0-110.8, 95.4-106.3, 95.0-108.3, and 95.7-107.7, respectively. The relative standard deviations varied between 1.5% and 7.3% (n = 5). The results showed that the proposed method was a rapid, convenient and feasible method for the determination

  2. Ionic liquid-based microwave-assisted dispersive liquid–liquid microextraction and derivatization of sulfonamides in river water, honey, milk, and animal plasma

    International Nuclear Information System (INIS)

    Xu Xu; Su Rui; Zhao Xin; Liu Zhuang; Zhang Yupu; Li Dan; Li Xueyuan; Zhang Hanqi; Wang Ziming

    2011-01-01

    Graphical abstract: The extraction and derivatization efficiency of SAs is dependent on type and volume of extraction solvent, type and volume of disperser, microwave power and irradiation time, volume of derivatization reagent, pH of sample solution as well as ionic strength. Highlights: ► A new, rapid and sensitive method for determining sulfonamides (SAs) was proposed. ► Derivatization, extraction and preconcentration of SAs were performed in one step. ► IL-based MADLLME and derivatization were first applied for the determination of SAs. ► Trace SAs in river water, honey, milk, and pig plasma were determined. - Abstract: The ionic liquid-based microwave-assisted dispersive liquid–liquid microextraction (IL-based MADLLME) and derivatization was applied for the pretreatment of six sulfonamides (SAs) prior to the determination by high-performance liquid chromatography (HPLC). By adding methanol (disperser), fluorescamine solution (derivatization reagent) and ionic liquid (extraction solvent) into sample, extraction, derivatization, and preconcentration were continuously performed. Several experimental parameters, such as the type and volume of extraction solvent, the type and volume of disperser, amount of derivatization reagent, microwave power, microwave irradiation time, pH of sample solution, and ionic strength were investigated and optimized. When the microwave power was 240 W, the analytes could be derivatized and extracted simultaneously within 90 s. The proposed method was applied to the analysis of river water, honey, milk, and pig plasma samples, and the recoveries of analytes obtained were in the range of 95.0–110.8, 95.4–106.3, 95.0–108.3, and 95.7–107.7, respectively. The relative standard deviations varied between 1.5% and 7.3% (n = 5). The results showed that the proposed method was a rapid, convenient and feasible method for the determination of SAs in liquid samples.

  3. ''High-power microwave'' tubes: In the laboratory and on-line

    International Nuclear Information System (INIS)

    Caryotakis, G.

    1994-01-01

    The possibility of incapacitating the electronic circuits of hostile equipment with high-energy microwave pulses has created a demand for microwave tubes capable of very high peak pulsed powers. Experimentalists, primarily from the plasma physics community, have been working in this field, dubbed High-Power Microwave or HPM. Separately, research in high-energy physics requires electron-positron colliders with energies approaching 1 trillion electron-volts (1 terra-electron-volt, or TeV). Such accelerators must be powered by microwave sources that are very similar to some that are proposed for the HPM application. The paper points out that for these tubes to be used on-line in the manner intended, they must be designed and built to operate at a very high internal vacuum, which is not the case for many of the HPM laboratory projects. The development of a particular klystron at the Stanford Linear Accelerator Center is described in detail in order to illustrate the need for special facilities and strong Quality Control. Should the Defense requirements for HPM survive the end of the cold war, an effort should be made to coordinate the tube development activities serving these two widely disparate applications

  4. Collective scattering of electromagnetic waves from a relativistic magnetized plasma

    International Nuclear Information System (INIS)

    Lu Quankang

    1998-01-01

    Recently, laser and microwave scattering has become one of the important diagnostic means for plasma. Laser and microwave correlative scattering spectrum is determined by particle-density fluctuations in a weak turbulent plasma. In a relativistic plasma, on the basis of complete electromagnetic-interaction between particles, a general expression for particle density fluctuations and spectrums of laser and microwave scattering from a magnetized plasma are derived. The laser and microwave scattering spectrums provide informations on electron density and temperature, ion temperature, resonance and nonresonance effects. (author)

  5. Negative hydrogen ion sources for accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Moehs, D.P.; /Fermilab; Peters, J.; /DESY; Sherman, J.; /Los Alamos

    2005-08-01

    A variety of H{sup -} ion sources are in use at accelerator laboratories around the world. A list of these ion sources includes surface plasma sources with magnetron, Penning and surface converter geometries as well as magnetic-multipole volume sources with and without cesium. Just as varied is the means of igniting and maintaining magnetically confined plasmas. Hot and cold cathodes, radio frequency, and microwave power are all in use, as well as electron tandem source ignition. The extraction systems of accelerator H{sup -} ion sources are highly specialized utilizing magnetic and electric fields in their low energy beam transport systems to produce direct current, as well as pulsed and/or chopped beams with a variety of time structures. Within this paper, specific ion sources utilized at accelerator laboratories shall be reviewed along with the physics of surface and volume H{sup -} production in regard to source emittance. Current research trends including aperture modeling, thermal modeling, surface conditioning, and laser diagnostics will also be discussed.

  6. Honeycomblike large area LaB6 plasma source for Multi-Purpose Plasma facility

    International Nuclear Information System (INIS)

    Woo, Hyun-Jong; Chung, Kyu-Sun; You, Hyun-Jong; Lee, Myoung-Jae; Lho, Taihyeop; Choh, Kwon Kook; Yoon, Jung-Sik; Jung, Yong Ho; Lee, Bongju; Yoo, Suk Jae; Kwon, Myeon

    2007-01-01

    A Multi-Purpose Plasma (MP 2 ) facility has been renovated from Hanbit mirror device [Kwon et al., Nucl. Fusion 43, 686 (2003)] by adopting the same philosophy of diversified plasma simulator (DiPS) [Chung et al., Contrib. Plasma Phys. 46, 354 (2006)] by installing two plasma sources: LaB 6 (dc) and helicon (rf) plasma sources; and making three distinct simulators: divertor plasma simulator, space propulsion simulator, and astrophysics simulator. During the first renovation stage, a honeycomblike large area LaB 6 (HLA-LaB 6 ) cathode was developed for the divertor plasma simulator to improve the resistance against the thermal shock fragility for large and high density plasma generation. A HLA-LaB 6 cathode is composed of the one inner cathode with 4 in. diameter and the six outer cathodes with 2 in. diameter along with separate graphite heaters. The first plasma is generated with Ar gas and its properties are measured by the electric probes with various discharge currents and magnetic field configurations. Plasma density at the middle of central cell reaches up to 2.6x10 12 cm -3 , while the electron temperature remains around 3-3.5 eV at the low discharge current of less than 45 A, and the magnetic field intensity of 870 G. Unique features of electric property of heaters, plasma density profiles, is explained comparing with those of single LaB 6 cathode with 4 in. diameter in DiPS

  7. Microwave Frequency Multiplier

    Science.gov (United States)

    Velazco, J. E.

    2017-02-01

    High-power microwave radiation is used in the Deep Space Network (DSN) and Goldstone Solar System Radar (GSSR) for uplink communications with spacecraft and for monitoring asteroids and space debris, respectively. Intense X-band (7.1 to 8.6 GHz) microwave signals are produced for these applications via klystron and traveling-wave microwave vacuum tubes. In order to achieve higher data rate communications with spacecraft, the DSN is planning to gradually furnish several of its deep space stations with uplink systems that employ Ka-band (34-GHz) radiation. Also, the next generation of planetary radar, such as Ka-Band Objects Observation and Monitoring (KaBOOM), is considering frequencies in the Ka-band range (34 to 36 GHz) in order to achieve higher target resolution. Current commercial Ka-band sources are limited to power levels that range from hundreds of watts up to a kilowatt and, at the high-power end, tend to suffer from poor reliability. In either case, there is a clear need for stable Ka-band sources that can produce kilowatts of power with high reliability. In this article, we present a new concept for high-power, high-frequency generation (including Ka-band) that we refer to as the microwave frequency multiplier (MFM). The MFM is a two-cavity vacuum tube concept where low-frequency (2 to 8 GHz) power is fed into the input cavity to modulate and accelerate an electron beam. In the second cavity, the modulated electron beam excites and amplifies high-power microwaves at a frequency that is a multiple integer of the input cavity's frequency. Frequency multiplication factors in the 4 to 10 range are being considered for the current application, although higher multiplication factors are feasible. This novel beam-wave interaction allows the MFM to produce high-power, high-frequency radiation with high efficiency. A key feature of the MFM is that it uses significantly larger cavities than its klystron counterparts, thus greatly reducing power density and arcing

  8. Physics-electrical hybrid model for real time impedance matching and remote plasma characterization in RF plasma sources.

    Science.gov (United States)

    Sudhir, Dass; Bandyopadhyay, M; Chakraborty, A

    2016-02-01

    Plasma characterization and impedance matching are an integral part of any radio frequency (RF) based plasma source. In long pulse operation, particularly in high power operation where plasma load may vary due to different reasons (e.g. pressure and power), online tuning of impedance matching circuit and remote plasma density estimation are very useful. In some cases, due to remote interfaces, radio activation and, due to maintenance issues, power probes are not allowed to be incorporated in the ion source design for plasma characterization. Therefore, for characterization and impedance matching, more remote schemes are envisaged. Two such schemes by the same authors are suggested in these regards, which are based on air core transformer model of inductive coupled plasma (ICP) [M. Bandyopadhyay et al., Nucl. Fusion 55, 033017 (2015); D. Sudhir et al., Rev. Sci. Instrum. 85, 013510 (2014)]. However, the influence of the RF field interaction with the plasma to determine its impedance, a physics code HELIC [D. Arnush, Phys. Plasmas 7, 3042 (2000)] is coupled with the transformer model. This model can be useful for both types of RF sources, i.e., ICP and helicon sources.

  9. VUV emission spectroscopy diagnostics of a 14 GHz ECR negative hydrogen ion source

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, R., E-mail: duo0364@mail4.doshisha.ac.jp; Ichikawa, T.; Kasuya, T.; Wada, M. [Graduate School of Science and Engineering, Doshisha University, Kyotanabe, Kyoto 610-0394 (Japan); Nishiura, M. [Graduate School of Frontier Sciences The University of Tokyo, Kashiwara, Chiba 277-8561 (Japan); Shimozuma, T. [National lnstitute for Fusion Science, Toki, Gifu 509-5292 (Japan)

    2015-04-08

    Vacuum Ultra Violet(VUV) emission from a 4 cm diameter 2 cm long compact ion source excited by 14 GHz microwave has been investigated. Intensity ratio of band spectrum emission near Ly-α to Ly-α line spectrum is determined from the measured spectrum. which shows preferential excitation of molecules near the entrance of microwave input power. The ratio does not depend strongly upon pressure nor the input microwave power when the intensity is integrated over the volume of the plasma. The spatial distribution of the spectrum intensity ratio exhibits concentrations near microwave inlet and the opposite side where the microwave matching structure is located. The ratio at these peripheral regions is about two times as high as that of the central region. The ratio increased in proportion to the ion source pressure up to about 3.0 Pa, indicating efficient production of high energy electrons by ECR up to this pressure.

  10. Aspects of microwave-heating uniformity

    International Nuclear Information System (INIS)

    Ginsberg, T.; Makowitz, H.

    1983-01-01

    Interest has been shown in the field of nuclear reactor safety in the use of microwave heating to simulate the nuclear heat source. The objective of the investigation reported here was to evaluate the usefulness of microwave dielectric heating as a simulator of the nuclear heat source in experiments which simulate the process of boiling of molten mixtures of nuclear fuel and steel. This paper summarizes the results of studies of several aspects of energy deposition in dielectric liquid samples which are exposed to microwave radiation

  11. High Current, High Density Arc Plasma as a New Source for WiPAL

    Science.gov (United States)

    Waleffe, Roger; Endrizzi, Doug; Myers, Rachel; Wallace, John; Clark, Mike; Forest, Cary; WiPAL Team

    2016-10-01

    The Wisconsin Plasma Astrophysics Lab (WiPAL) has installed a new array of nineteen plasma sources (plasma guns) on its 3 m diameter, spherical vacuum vessel. Each gun is a cylindrical, molybdenum, washer-stabilized, arc plasma source. During discharge, the guns are maintained at 1.2 kA across 100 V for 10 ms by the gun power supply establishing a high density plasma. Each plasma source is fired independently allowing for adjustable plasma parameters, with densities varying between 1018 -1019 m-3 and electron temperatures of 5-15 eV. Measurements were characterized using a 16 tip Langmuir probe. The plasma source will be used as a background plasma for the magnetized coaxial plasma gun (MCPG), the Terrestrial Reconnection Experiment (TREX), and as the plasma source for a magnetic mirror experiment. Temperature, density, and confinement results will be presented. This work is supported by the DoE and the NSF.

  12. Source formulation for electron-impact ionization for fluid plasma simulations

    DEFF Research Database (Denmark)

    Müller, S.H.; Holland, C.; Tynan, G.R.

    2009-01-01

    The derivation of the correct functional form of source terms in plasma fluid theory is revisited. The relation between the fluid source terms and atomic physics differential cross sections is established for particle-impact ionization. It is shown that the interface between atomic and plasma phy...... electron temperature regimes in a wide variety of basic plasma physics experiments, including the trends across different gases.......The derivation of the correct functional form of source terms in plasma fluid theory is revisited. The relation between the fluid source terms and atomic physics differential cross sections is established for particle-impact ionization. It is shown that the interface between atomic and plasma...... physics is completely described by three scalar functions of the incident particle energy. These are the total cross section and the newly introduced forward momentum and energy functions, which are properties of the differential cross sections only. For electron-impact ionization, the binary...

  13. Formation of Plasma Structures in Stimulated High-Pressure Microwave Discharge

    National Research Council Canada - National Science Library

    Popov, N. A; Vedenin, P. V

    2003-01-01

    In other papers, the possibility is observed of a jumplike propagation of an stimulated MW discharge toward the radiation source in the form of dipole plasma channels oriented along the electric field vector...

  14. Research program for plasma confinement and heating in ELMO bumpy torus devices

    International Nuclear Information System (INIS)

    Dandl, R.A.; Dory, R.A.; Eason, H.O.

    1975-06-01

    A sequence of experimental devices and related research activities which leads progressively toward an attractive full-scale reactor is described. The implementation of the steps in this sequence hinges on the development of microwave power sources, with high specific power levels, at millimeter wavelengths. Two proposed steps in this sequence are described. The first step proposed here, denoted EBT-S, requires increasing the EBT magnetic field to permit microwave heating at 18 and 28 GHz, as compared to the present 10.6 and 18-GHz configuration. A three-fold increase in plasma density, some increase in the temperatures, and an opportunity to test the validity of the transport models presently used to predict the plasma parameters are anticipated. This step will provide important operating experience with the 28-GHz power supplies, which are prototype tubes for millimeter sources at 120 GHz In the second step a new superconducting bumpy torus, EBT-II, would be fabricated to permit microwave heating at 90 and 120 GHz. This device would be designed to produce plasma densities and temperatures comparable to those of present-day tokamaks. This report reviews the experimental and theoretical research on EBT that has been carried out to date or formulated for the near future, and provides a status report as well as a research program plan. (U.S.)

  15. Plasma density determination by microwave interferometry. The 2 mm interferometer of the TJ-1 tokamak

    International Nuclear Information System (INIS)

    Manero, F.; Martin, R.

    1984-01-01

    In this paper a description is given of the microwave interferometer used for measuring the plasma electronic density in the TJ-1 Tokamak of Fusion Division of JEN. The principles of the electronic density measurement are discussed in detail, as well as those concerning the determination of density profiles from experimental data. A description of the interferometer used in the TJ-1 Tokamak is given, together with a detailed analysis of the circuits which constitute the measuring chain. The working principles of the klystron reflex and hybrid rings are also presented. (author)

  16. Plasma density determination by microwave interferometry .- The 2 mm interferometer of the TJ-1 Tokamak

    International Nuclear Information System (INIS)

    Martin, R.; Manero, F.

    1984-01-01

    In this paper a description is given of the microwave interferometer used for measuring the plasma electronic density in the TJ-1 Tokamak of Fusion Division of JEN. The principles of the electronic density measurement are discussed in detail, as well as those concerning the determination of density pro files from experimental data. A description of the interferometer used in the TJ-1 Tokamak is given, together with a detailed analysis of the circuits which constitute the measuring chain. The working principles of the klystron reflex and hybrid rings are also presented. (Author) 23 refs

  17. Techniques for ceramic sintering using microwave energy

    International Nuclear Information System (INIS)

    Kimrey, H.D.; Janney, M.A.; Becher, P.F.

    1987-01-01

    The use of microwave energy for ceramic sintering offers exciting new possibilities for materials processing. Based on experience gathered in microwave processing associated with the heating of fusion plasmas, we have developed hardware and methods for uniformly heating ceramic parts of large volume and irregular shape to temperatures in excess of 1600 0 C, in vacuum or pressurized atmosphere. Microwave processing at 28 GHz yields enhanced densification rates with a corresponding reduction in sintering temperatures. 6 refs

  18. Microwave assisted synthesis of cyclic carbonates from olefins with sodium bicarbonates as the C1 source.

    Science.gov (United States)

    Yang, Xiaoqing; Wu, Jie; Mao, Xianwen; Jamison, Timothy F; Hatton, T Alan

    2014-03-25

    An effective transformation of alkenes into cyclic carbonates has been achieved using NaHCO3 as the C1 source in acetone-water under microwave heating, with selectivities and yields significantly surpassing those obtained using conventional heating.

  19. Microwave plasma initiated graft copolymerization modification of monomers onto PTFE surface

    International Nuclear Information System (INIS)

    Guan Weishu; Wen Yunjian; Fang Yan; Yin Yongxiang

    1996-02-01

    A graft copolymerization modification technique of monomers onto polytetrafluoroethylene (PTFE) surface initiated by a 2.45 GHz non-equilibrium microwave plasma has been investigated. Standard X-Ray Photoelectron Spectroscopy (XPS), Attenuated Total Reflectance-Fourier Transform Infrared Spectroscopy (ATR-FTIR), Scanning Electron Microscopy (sEM) and wetting techniques were used for examination and analysis of samples. Considerable changes in chemical structure, composition and in morphology of grafted surface of PTFE were found. Results showed the occurrence of noticeable defluorination and cross-linked structure on grafted surface, and indicated that different kinds and contents of oxygen-containing functional groups were introduced into the surface of PTFE. Wetting and adhesion experiment of the sample proved that significant improvements in hydrophilicity and adhesion of surface were exhibited. These results confirmed the success of grafting. (8 refs., 7 figs., 1 tab.)

  20. Investigation of radiofrequency plasma sources for space travel

    International Nuclear Information System (INIS)

    Charles, C; Boswell, R W; Takahashi, K

    2012-01-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (∼1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (∼1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT). (paper)