WorldWideScience

Sample records for mev si implantation

  1. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  2. Effect of substrate temperature on the radiation damage from MeV Si implantation in Si

    International Nuclear Information System (INIS)

    Yu, X.K.; Shao Lin; Rusakova, Irene; Wang, X.M.; Ma, K.B.; Chen, H.; Liu, Jiarui; Chu, W.-K.

    2006-01-01

    We have investigated the radiation damage by MeV implantation of Si in Si and its evolution under thermal annealing. Si wafers were implanted with MeV Si at various substrate temperatures. Damages were characterized by Rutherford-backscattering (RBS) channeling and by transmission electron microscopy (TEM). Defect formation after post-implantation annealing is very sensitive to the substrate temperatures during implantation. When the substrate temperature was decreased to 200 K, TEM revealed two distinct bands of damage after annealing: one around the mean projected ion range and another at half the projected range. Our study indicates that the formation of defects at half range results from the solid phase epitaxy growth of initial buried amorphous layers

  3. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. MeV ion irradiation effects on the luminescence properties of Si-implanted SiO{sub 2}-thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Primetzhofer, D. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J.; Hallen, A. [Royal Institute of Technology (KTH), School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2016-12-15

    The effects of MeV heavy ion irradiation at varying fluence and flux on excess Si, introduced in SiO{sub 2} by keV ion implantation, are investigated by photoluminescence (PL). From the PL peak wavelength (λ) and decay lifetime (τ), two PL sources are distinguished: (i) quasi-direct recombination of excitons of Si-nanoparticles (SiNPs), appearing after thermal annealing (λ > 720 nm, τ ∝ μs), and (ii) fast-decay PL, possibly due to oxide-related defects (λ ∝ 575-690 nm, τ ∝ ns). The fast-decay PL (ii) observed before and after ion irradiation is induced by ion implantation. It is found that this fast-decay luminescence decreases for higher irradiation fluence of MeV heavy ions. After thermal annealing (forming SiNPs), the SiNP PL is reduced for samples irradiated by MeV heavy ions but found to stabilize at higher level for higher irradiation flux; the (ii) band vanishes as a result of annealing. The results are discussed in terms of the influence of electronic and nuclear stopping powers. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Patterned microstructures formed with MeV Au implantation in Si(1 0 0)

    International Nuclear Information System (INIS)

    Rout, Bibhudutta; Greco, Richard R.; Zachry, Daniel P.; Dymnikov, Alexander D.; Glass, Gary A.

    2006-01-01

    Energetic (MeV) Au implantation in Si(1 0 0) (n-type) through masked micropatterns has been used to create layers resistant to KOH wet etching. Microscale patterns were produced in PMMA and SU(8) resist coatings on the silicon substrates using P-beam writing and developed. The silicon substrates were subsequently exposed using 1.5 MeV Au 3+ ions with fluences as high as 1 x 10 16 ions/cm 2 and additional patterns were exposed using copper scanning electron microscope calibration grids as masks on the silicon substrates. When wet etched with KOH microstructures were created in the silicon due to the resistance to KOH etching cause by the Au implantation. The process of combining the fabrication of masked patterns with P-beam writing with broad beam Au implantation through the masks can be a promising, cost-effective process for nanostructure engineering with Si

  6. Enhanced diffusion of dopants in vacancy supersaturation produced by MeV implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Univ. of North Texas, Denton, TX; Haynes, T.E.; Agarwal, A.; Lucent Technologies, Murray Hill, NJ; Gossmann, H.J.; Eaglesham, D.J.

    1997-04-01

    The diffusion of Sb and B markers has been studied in vacancy supersaturations produced by MeV Si implantation in float zone (FZ) silicon and bonded etch-back silicon-on-insulator (BESOI) substrates. MeV Si implantation produces a vacancy supersaturated near-surface region and an interstitial-rich region at the projected ion range. Transient enhanced diffusion (TED) of Sb in the near surface layer was observed as a result of a 2 MeV Si + , 1 x 10 16 /cm 2 , implant. A 4x larger TED of Sb was observed in BESOI than in FZ silicon, demonstrating that the vacancy supersaturation persists longer in BESOI than in FZ. B markers in samples with MeV Si implant showed a factor of 10x smaller diffusion relative to markers without the MeV Si + implant. This data demonstrates that a 2 MeV Si + implant injects vacancies into the near surface region

  7. Si exfoliation by MeV proton implantation

    International Nuclear Information System (INIS)

    Braley, Carole; Mazen, Frédéric; Tauzin, Aurélie; Rieutord, François; Deguet, Chrystel; Ntsoenzok, Esidor

    2012-01-01

    Proton implantation in silicon and subsequent annealing are widely used in the Smart Cut™ technology to transfer thin layers from a substrate to another. The low implantation energy range involved in this process is usually from a few ten to a few hundred of keV, which enables the separation of up to 2 μm thick layers. New applications in the fields of 3D integration and photovoltaic wafer manufacturing raise the demand for extending this technology to higher energy in order to separate thicker layer from a substrate. In this work, we propose to investigate the effect of proton implantation in single crystalline silicon in the 1–3 MeV range which corresponds to a 15–100 μm range for the hydrogen maximum concentration depth. We show that despites a considerably lower hydrogen concentration at R p , the layer separation is obtained with fluence close to the minimum fluence required for low energy implantation. It appears that the fracture propagation in Si and the resulting surface morphology is affected by the substrate orientation. Defects evolution is investigated with Fourier Transform Infrared Spectroscopy. The two orientations reveal similar type of defects but their evolution under annealing appears to be different.

  8. Ion beam synthesis of IrSi3 by implantation of 2 MeV Ir ions

    International Nuclear Information System (INIS)

    Sjoreen, T.P.; Chisholm, M.F.; Hinneberg, H.J.

    1992-11-01

    Formation of a buried IrSi 3 layer in (111) oriented Si by ion implantation and annealing has been studied at an implantation energy of 2 MeV for substrate temperatures of 450--550C. Rutherford backscattering (RBS), ion channeling and cross-sectional transmission electron microscopy showed that a buried epitaxial IrSi 3 layer is produced at 550C by implanting ≥ 3.4 x 10 17 Ir/cm 2 and subsequently annealing for 1 h at 1000C plus 5 h at 1100C. At a dose of 3.4 x 10 17 Ir/cm 2 , the thickness of the layer varied between 120 and 190 nm and many large IrSi 3 precipitates were present above and below the film. Increasing the dose to 4.4 x 10 17 Ir/cm 2 improved the layer uniformity at the expense of increased lattice damage in the overlying Si. RBS analysis of layer formation as a function of substrate temperature revealed the competition between the mechanisms for optimizing surface crystallinity vs. IrSi 3 layer formation. Little apparent substrate temperature dependence was evident in the as-implanted state but after annealing the crystallinity of the top Si layer was observed to deteriorate with increasing substrate temperature while the precipitate coarsening and coalescence improved

  9. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    International Nuclear Information System (INIS)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin

    2010-01-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10 10 -10 11 cm -2 . The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  10. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  11. RBS studies of the lattice damage caused by 1 MeV Si+ implantation into Al0.3Ga0.7As/GaAs superlattices at elevated temperature

    International Nuclear Information System (INIS)

    Xu Tianbing; Zhu Peiran; Zhou Junsi; Li Daiqing; Gong Baoan; Wan Ya; Mu Shanming; Zhao Qingtai; Wang Zhonglie

    1994-01-01

    The lattice damage accumulation in GaAs and Al 0.3 Ga 0.7 As/GaAs superlattices by 1 MeV Si + irradiation at room temperature and 350 C has been studied. For irradiations at 350 C, at lower doses the samples were almost defect-free after irradiation, while a large density of accumulated defects was induced at a higher dose. The critical dose above which the damage accumulation is more efficient is estimated to be 2 x 10 15 Si/cm 2 for GaAs, and is 5 x 10 15 Si/cm 2 for Al 0.8 Ga 0.7 As/GaAs superlattice for implantation with 1.0 MeV Si ions at 350 C. The damage accumulation rate for 1 MeV Si ion implantation in Al 0.3 Ga 0.7 As/GaAs superlattice is less than that in GaAs. (orig.)

  12. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    Energy Technology Data Exchange (ETDEWEB)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin, E-mail: musman@kth.s [Microelectronics and Applied Physics, School of Communication and Information Technology, Royal Institute of Technology (KTH), Electrum 229, 16440 Kista (Sweden)

    2010-11-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10{sup 10}-10{sup 11} cm{sup -2}. The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  13. Effect of Xe ion (167 MeV) irradiation on polycrystalline SiC implanted with Kr and Xe at room temperature

    International Nuclear Information System (INIS)

    Hlatshwayo, T T; Kuhudzai, R J; Njoroge, E G; Malherbe, J B; O’Connell, J H; Skuratov, V A; Msimanga, M

    2015-01-01

    The effect of swift heavy ion (Xe 167 MeV) irradiation on polycrystalline SiC individually implanted with 360 keV Kr and Xe ions at room temperature to fluences of 2  ×  10 16 cm −2 and 1  ×  10 16 cm −2 respectively, was investigated using transmission electron microscopy (TEM), Raman spectroscopy and Rutherford backscattering spectrometry (RBS). Implanted specimens were each irradiated with 167 MeV Xe +26 ions to a fluence of 8.3  ×  10 14 cm −2 at room temperature. It was observed that implantation of 360 keV Kr and Xe ions individually at room temperature amorphized the SiC from the surface up to a depth of 186 and 219 nm respectively. Swift heavy ion (SHI) irradiation reduced the amorphous layer by about 27 nm and 30 nm for the Kr and Xe samples respectively. Interestingly, the reduction in the amorphous layer was accompanied by the appearance of randomly oriented nanocrystals in the former amorphous layers after SHI irradiation in both samples. Previously, no similar nanocrystals were observed after SHI irradiations at electron stopping powers of 33 keV nm −1 and 20 keV nm −1 to fluences below 10 14 cm −2 . Therefore, our results suggest a fluence threshold for the formation of nanocrystals in the initial amorphous SiC after SHI irradiation. Raman results also indicated some annealing of radiation damage after swift heavy ion irradiation and the subsequent formation of small SiC crystals in the amorphous layers. No diffusion of implanted Kr and Xe was observed after swift heavy ion irradiation. (paper)

  14. The studies of surface properties of 1.5 MeV Si-implanted silicon by multiphonon Raman spectrum

    International Nuclear Information System (INIS)

    Huang, X.

    1995-01-01

    The surface layer of crystalline silicon implanted by 1.5 MeV Si ions with doses ranging from 1 x 10 11 to 1 x 10 15 Si + cm -2 has been studied by two-phonon Raman spectra in both the acoustical overtone region and optical overtone region. Two-phonon Raman line intensities and shifts have been used to investigate the properties in the skin layer. The experimental two-phonon Raman spectra showed a decrease in intensity for both optical and acoustical two-phonon Raman peaks and also showed shifts by different amounts in different directions depending on the particular phonons. The stress values obtained by two-phonon Raman line shifts are compared with those obtained previously by one-phonon Raman shifts. The comparison shows that the surface defects make no contribution to two-phonon Raman line shifts. The two-phonon Raman line shifts show that the surface stress increases as a function of implantation doses. (author)

  15. Fluorescence lifetime studies of MeV erbium implanted silica glass

    International Nuclear Information System (INIS)

    Lidgard, A.; Polman, A.; Jacobsen, D.C.; Blonder, G.E.; Kistler, R.; Poate, J.M.; Becker, P.C.

    1991-01-01

    MeV erbium ion implantation into various SiO 2 glasses has been studied with the aim of incorporating the rare-earth dopant as an optically active ion in the silica network. The lifetime of the excited state ranges from 1.6 to 12.8 ms, depending on base material and implantation fluence. These results have positive implications for silica-based integrated optical technology. (Author)

  16. Fluorescence lifetime studies of MeV erbium implanted silica glass

    Energy Technology Data Exchange (ETDEWEB)

    Lidgard, A.; Polman, A.; Jacobsen, D.C.; Blonder, G.E.; Kistler, R.; Poate, J.M.; Becker, P.C. (AT and T Bell Labs., Murray Hill, NJ (USA))

    1991-05-23

    MeV erbium ion implantation into various SiO{sub 2} glasses has been studied with the aim of incorporating the rare-earth dopant as an optically active ion in the silica network. The lifetime of the excited state ranges from 1.6 to 12.8 ms, depending on base material and implantation fluence. These results have positive implications for silica-based integrated optical technology. (Author).

  17. Bond formation in hafnium atom implantation into SiC induced by high-energy electron irradiation

    International Nuclear Information System (INIS)

    Yasuda, H.; Mori, H.; Sakata, T.; Naka, M.; Fujita, H.

    1992-01-01

    Bilayer films of Hf (target atoms)/α-SiC (substrate) were irradiated with 2 MeV electrons in an ultra-high voltage electron microscope (UHVEM), with the electron beam incident on the hafnium layer. As a result of the irradiation, hafnium atoms were implanted into the SiC substrate. Changes in the microstructure and valence electronic states associated with the implantation were studied by a combination of UHVEM and Auger valence electron spectroscopy. The implantation process is summarized as follows. (1) Irradiation with 2 MeV electrons first induces a crystalline-to-amorphous transition in α-SiC. (2) Hafnium atoms which have been knocked-off from the hafnium layer by collision with the 2 MeV electrons are implanted into the resultant amorphous SiC. (3) The implanted hafnium atoms make preferential bonding to carbon atoms. (4) With continued irradiation, the hafnium atoms repeat the displacement along the beam direction and the subsequent bonding with the dangling hybrids of carbon and silicon. The repetition of the displacement and subsequent bonding lead to the deep implantation of hafnium atoms into the SiC substrate. It is concluded that implantation successfully occurs when the bond strength between a constituent atom of a substrate and an injected atom is stronger than that between constituent atoms of a substrate. (Author)

  18. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  19. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  20. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  1. Strain evolution in Si substrate due to implantation of MeV ion observed by extremely asymmetric x-ray diffraction

    International Nuclear Information System (INIS)

    Emoto, T.; Ghatak, J.; Satyam, P. V.; Akimoto, K.

    2009-01-01

    We studied the strain introduced in a Si(111) substrate due to MeV ion implantation using extremely asymmetric x-ray diffraction and measured the rocking curves of asymmetrical 113 diffraction for the Si substrates implanted with a 1.5 MeV Au 2+ ion at fluence values of 1x10 13 , 5x10 13 , and 1x10 14 /cm 2 . The measured curves consisted of a bulk peak and accompanying subpeak with an interference fringe. The positional relationship of the bulk peak to the subpeak and the intensity variation of those peaks with respect to the wavelengths of the x rays indicated that crystal lattices near the surface were strained; the lattice spacing of surface normal (111) planes near the surface was larger than that of the bulk. Detailed strain profiles along the depth direction were successfully estimated using a curve-fitting method based on Darwin's dynamical diffraction theory. Comparing the shapes of resultant strain profiles, we found that a strain evolution rapidly occurred within a depth of ∼300 nm at fluence values between 1x10 13 and 5x10 13 /cm 2 . This indicates that formation of the complex defects progressed near the surface when the fluence value went beyond a critical value between 1x10 13 and 5x10 13 /cm 2 and the defects brought a large strain to the substrate.

  2. Defects induced by helium implantation in SiC

    International Nuclear Information System (INIS)

    Oliviero, E.; Barbot, J.F.; Declemy, A.; Beaufort, M.F.; Oliviero, E.

    2008-01-01

    SiC is one of the considered materials for nuclear fuel conditioning and for the fabrication of some core structures in future nuclear generation reactors. For the development of this advance technology, a fundamental research on this material is of prime importance. In particular, the implantation/irradiation effects have to be understood and controlled. It is with this aim that the structural alterations induced by implantation/irradiation in SiC are studied by different experimental techniques as transmission electron microscopy, helium desorption, X-ray diffraction and Rutherford backscattering spectrometry. In this work, the different types of defects induced by helium implantation in SiC, point or primary defects (obtained at low energy (∼100 eV) until spread defects (obtained at higher energy (until ∼2 MeV)) are exposed. The amorphization/recrystallization and swelling phenomena are presented too. (O.M.)

  3. Annealing Behavior of Al-Implantation-Induced Disorder in 4H-SiC

    International Nuclear Information System (INIS)

    Zhang, Yanwen; Weber, William J.; Jiang, Weilin; Shutthanandan, V.; Thevuthasan, Suntharampillai; Janson, Martin; Hallen, Anders

    2004-01-01

    Single crystal 4H-SiC films were implanted at 150 K with 1.1 MeV Al 2 2+ and subsequently annealed at elevated temperatures. Rutherford backscattering spectrometry (RBS) results indicate that the relative Si disorder at the damage peak recovers significantly as the annealing temperature increases. However, the residual Si disorder is more resistant to high-temperature annealing in the region of the implanted Al. The maximum concentration of Al profile measured by secondary ion mass spectroscopy (SIMS) is a factor of 1000 lower than the level of the residual Si disorder at the same region. Analysis of these results indicates that the excess residual Si disorder around the implanted Al projected range cannot be accounted for by just the Al interstitials; instead, it appears that each implanted Al stabilizes or inhibits recovery for an equivalent of a few hundred Si interstitials under the current experimental conditions

  4. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Science.gov (United States)

    Budak, S.; Heidary, K.; Johnson, R. B.; Colon, T.; Muntele, C.; Ila, D.

    2014-08-01

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S2σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  5. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Heidary, K. [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Johnson, R.B.; Colon, T. [Department of Physics, Alabama A and M University, Huntsville, AL (United States); Muntele, C. [Cygnus Scientific Services, Huntsville, AL (United States); Ila, D. [Department of Physics, Fayetteville St. University, Fayetteville, NC (United States)

    2014-08-15

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S{sup 2}σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  6. Electrical activation of nitrogen heavily implanted 3C-SiC(1 0 0)

    Energy Technology Data Exchange (ETDEWEB)

    Li, Fan, E-mail: f.li.1@warwick.ac.uk [School of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom); Sharma, Yogesh; Shah, Vishal; Jennings, Mike [School of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom); Pérez-Tomás, Amador [ICN2 – Institut Catala de Nanociència i Nanotecnologia, Campus UAB, 08193 Bellaterra, Barcelona (Spain); Myronov, Maksym [Physics Department, University of Warwick, Coventry CV4 7AL (United Kingdom); Fisher, Craig [School of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom); Leadley, David [Physics Department, University of Warwick, Coventry CV4 7AL (United Kingdom); Mawby, Phil [School of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom)

    2015-10-30

    Highlights: • Nitrogen is fully activated by 1175 °C annealing for 1.5 × 10{sup 19} cm{sup −3} doped 3C-SiC. • Free donor concentration is found to readily saturate in 3C-SiC at ∼7 × 10{sup 19} cm{sup −3}. • 3C-SiC is found to have complete donor thermal ionization above 150 K. • Donor in 1.5 × 10{sup 19} cm{sup −3} nitrogen implanted 3C-SiC has an energy level ∼15 meV. • The SiO{sub 2} cap is found to have a bigger influence on low and medium doped samples. - Abstract: A degenerated wide bandgap semiconductor is a rare system. In general, implant levels lie deeper in the band-gap and carrier freeze-out usually takes place at room temperature. Nevertheless, we have observed that heavily doped n-type degenerated 3C-SiC films are achieved by nitrogen implantation level of ∼6 × 10{sup 20} cm{sup −3} at 20 K. According to temperature dependent Hall measurements, nitrogen activation rates decrease with the doping level from almost 100% (1.5 × 10{sup 19} cm{sup −3}, donor level 15 meV) to ∼12% for 6 × 10{sup 20} cm{sup −3}. Free donors are found to saturate in 3C-SiC at ∼7 × 10{sup 19} cm{sup −3}. The implanted film electrical performances are characterized as a function of the dopant doses and post implantation annealing (PIA) conditions by fabricating Van der Pauw structures. A deposited SiO{sub 2} layer was used as the surface capping layer during the PIA process to study its effect on the resultant film properties. From the device design point of view, the lowest sheet resistivity (∼1.4 mΩ cm) has been observed for medium doped (4 × 10{sup 19} cm{sup −3}) sample with PIA 1375 °C 2 h without a SiO{sub 2} cap.

  7. Effects of He implantation on radiation induced segregation in Cu-Au and Ni-Si alloys

    Science.gov (United States)

    Iwase, A.; Rehn, L. E.; Baldo, P. M.; Funk, L.

    Effects of He implantation on radiation induced segregation (RIS) in Cu-Au and Ni-Si alloys were investigated using in situ Rutherford backscattering spectrometry during simultaneous irradiation with 1.5-MeV He and low-energy (100 or 400-keV) He ions at elevated temperatures. RIS during single He ion irradiation, and the effects of pre-implantation with low-energy He ions, were also studied. RIS near the specimen surface, which was pronounced during 1.5-MeV He single-ion irradiation, was strongly reduced under low-energy He single-ion irradiation, and during simultaneous irradiation with 1.5-MeV He and low-energy He ions. A similar RIS reduction was also observed in the specimens pre-implanted with low-energy He ions. The experimental results indicate that the accumulated He atoms cause the formation of small bubbles, which provide additional recombination sites for freely migrating defects.

  8. Amorphization and recrystallization in MeV ion implanted InP crystals

    International Nuclear Information System (INIS)

    Xiong, F.; Nieh, C.W.; Jamieson, D.N.; Vreeland, T. Jr.; Tombrello, T.A.

    1988-01-01

    A comprehensive study of MeV- 15 N-ion-implanted InP by a variety of analytical techniques has revealed the physical processes involved in MeV ion implantation into III-V compound semiconductors as well as the influence of post-implantation annealing. It provides a coherent picture of implant distribution, structural transition, crystalline damage, and lattice strain in InP crystals induced by ion implantation and thermal annealing. The experimental results from the different measurements are summarized in this report. Mechanisms of amorphization by implantation and recrystallization through annealing in MeV-ion-implanted InP are proposed and discussed in light of the results obtained

  9. Anomalous defect processes in Si implanted amorphous SiO2, II

    International Nuclear Information System (INIS)

    Fujita, Tetsuo; Fukui, Minoru; Okada, Syunji; Shimizu-Iwayama, Tsutomu; Hioki, Tatsumi; Itoh, Noriaki

    1994-01-01

    Aanomalous features of the defects in Si implanted amorphous SiO 2 are reported. The numbers of E 1 prime centers and B 2 centers are found to increase monotonically with implanted Si dose, in contrast to the saturating feature of these numbers in Ar implanted samples. Moreover, when H ions are implanted in amorphous SiO 2 predamaged by Si implantation, both of the density and the number of E 1 prime centers increase and they reach a constant value at a small H dose. We point out that these anomalies can be explained in terms of the difference in the cross-section for defect annihilation in the specimens implanted with Si ions and other ions, in accordance with the homogeneous model proposed by Devine and Golanski. We consider that the main mechanism of defect annihilation is the recombination of an E 1 prime center and an interstitial O, which is stabilized by an implanted Si, reducing the cross-section in Si-implanted specimens. ((orig.))

  10. Accelerator based synthesis of hydroxyapatite by MeV ion implantation

    International Nuclear Information System (INIS)

    Rautray, Tapash R.; Narayanan, R.; Kwon, Tae-Yub; Kim, Kyo-Han

    2010-01-01

    Accelerator based MeV ion implantation of Ca 2+ and P 2+ into the titanium substrate to form hydroxyapatite (HA) has been carried out. Calcium hydroxide was formed after heating the calcium implanted titanium in air at 80 o C for 3 h. Upon subsequent annealing for 5 min at 600 o C HA was formed on the surface. Penetration depth of the HA layer in this method is much higher as compared to keV ion implantation. By elemental analysis, Ca/P ratio of the HA was found to be 1.76 which is higher than the ideal 1.67. This higher Ca/P ratio is attributed to the higher penetration depth of the MeV technique used.

  11. Effect of MeV energy He and N pre-implantation on the formation of porous silicon

    International Nuclear Information System (INIS)

    Manuaba, A.; Paszti, F.; Ortega, C.; Grosman, A.; Horvath, Z.E.; Szilagyi, E.; Khanh, N.Q.; Vickridge, I.

    2001-01-01

    The effects of MeV energy He and N pre-implantation of Si substrate on the structure of porous silicon formed by anodic etching were studied by measuring the depth profiles of 15 N decorating the pores walls. Radiation damage was recovered by annealing after the implantation. It was found that the He implant accelerates the etching process, probably due to the bubbles or the remaining lattice damage. At a dose of 8x10 16 ions/cm 2 the He containing layer was formed with a significantly enhanced porosity due to the contribution of the large-sized bubbles. At the highest dose of 32.5x10 16 ions/cm 2 flaking took place during the anodic etching. In contrast to He, N stopped the anodic etching at a depth of critical N concentration of ∼0.9 at.%. For the lowest implantation dose, where the peak concentration was below this limit, the pores propagate through the implanted layer with an enhanced speed

  12. High-temperature Au implantation into Ni-Be and Ni-Si alloys

    Science.gov (United States)

    James, M. R.; Lam, N. Q.; Rehn, L. E.; Baldo, P. M.; Funk, L.; Stubbins, J. F.

    1992-12-01

    Effects of implantation temperature and target composition on depth distribution of implanted species were investigated. Au+ ions were implanted at 300 keV into polycrystalline Ni-Be and Ni-Si alloys between 25 and 700C to a dose of 10(exp 16) cm(exp -2). Depth distributions of Au were analyzed with RBS using He+ at both 1.7 and 3.0 MeV, and those of the other alloying elements by SIMS. Theoretical modeling of compositional redistribution during implantation at elevated temperatures was also carried out with the aid of a comprehensive kinetic model. The analysis indicated that below approximately 250C, the primary controlling processes were preferential sputtering and displacement mixing, while between 250 and 600C radiation-induced segregation was dominant. Above 600C, thermal-diffusion effects were most important. Fitting of model calculations to experimental measurements provided values for various defect migration and formation parameters.

  13. Elastic and inelastic scattering of α particles at 41MeV and elastic scattering of 3He at 46MeV on 27Al, 28Si, 29Si, and 30Si

    International Nuclear Information System (INIS)

    Mariolopoulos, Georges.

    1976-01-01

    Elastic and inelastic scattering of α particles at 41MeV has been studied on 27 Al, 28 Si, 29 Si and 30 Si between 30 and 160deg c.m. The elastic cross section for α particles on 28 Si shows more oscillation than that for the other targets in the region between 80 and 160deg c.m. The data have been analyzed using both a 9 parameters optical model potential and a coupled channel code. In order to investigate the assumption that the abnormal cross section of 28 Si is due to a cluster effect, the 27 Al, 28 Si, 29 Si, 30 Si( 3 He, 3 He) reaction have been studied between 30 and 110deg c.m., using a 46MeV beam. In this case the angular distributions of the three Si isotopes are similar. An optical model analysis of the data reveals no anomaly [fr

  14. Effect of MeV energy He and N pre-implantation on the formation of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Manuaba, A. E-mail: manu@rmki.kfki.hu; Paszti, F.; Ortega, C.; Grosman, A.; Horvath, Z.E.; Szilagyi, E.; Khanh, N.Q.; Vickridge, I

    2001-06-01

    The effects of MeV energy He and N pre-implantation of Si substrate on the structure of porous silicon formed by anodic etching were studied by measuring the depth profiles of {sup 15}N decorating the pores walls. Radiation damage was recovered by annealing after the implantation. It was found that the He implant accelerates the etching process, probably due to the bubbles or the remaining lattice damage. At a dose of 8x10{sup 16} ions/cm{sup 2} the He containing layer was formed with a significantly enhanced porosity due to the contribution of the large-sized bubbles. At the highest dose of 32.5x10{sup 16} ions/cm{sup 2} flaking took place during the anodic etching. In contrast to He, N stopped the anodic etching at a depth of critical N concentration of {approx}0.9 at.%. For the lowest implantation dose, where the peak concentration was below this limit, the pores propagate through the implanted layer with an enhanced speed.

  15. Carbon redistribution and precipitation in high temperature ion-implanted strained Si/SiGe/Si multi-layered structures

    DEFF Research Database (Denmark)

    Gaiduk, Peter; Hansen, John Lundsgaard; Nylandsted Larsen, Arne

    2014-01-01

    Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects.......Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects....

  16. Simulations of Proton Implantation in Silicon Carbide (SiC)

    Science.gov (United States)

    2016-03-31

    Simulations of Proton Implantation in Silicon Carbide (SiC) Jonathan P. McCandless, Hailong Chen, Philip X.-L. Feng Electrical Engineering, Case...of implanting protons (hydrogen ions, H+) into SiC thin layers on silicon (Si) substrate, and explore the ion implantation conditions that are...relevant to experimental radiation of SiC layers. Keywords: silicon carbide (SiC); radiation effects; ion implantation ; proton; stopping and range of

  17. Annealing behaviour of MeV erbium implanted lithium niobate

    Energy Technology Data Exchange (ETDEWEB)

    Gortmaker, P.; McCallum, J.C. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Lithium niobate (LiNbO{sub 3}) is a crystalline ceramic commonly used in the fabrication of optoelectronic devices. Recently, rare earth doping of LiNbO{sub 3} has become a topic of particular interest. The electronic configuration of rare earth elements such as Erbium (Er) and Neodymium (Nd) allows them to lase in nearly any host matrix making fabrication of a whole range of new optoelectronic devices possible. At present, the doping technique, for LiNbO{sub 3} are centred upon diffusion technology, but the diffusion profiles for the rare earths are not generally well-matched to the optical modes of the device. The aim of this research is to develop MeV implantation and annealing conditions of rare earth doped LiNbO{sub 3} that would be compatible with optoelectronic device fabrication. To determine the characteristics of the rare earth elements in the LiNbO{sub 3} host material over the depth range of interest in optoelectronic device applications, high energy Rutherford backscattering spectrometry and ion channeling (RBS-C) must be used. Presented here are the Er depth profile and lattice damage results obtained from 5 MeV RBS-C measurements on samples of LiNbO{sub 3} implanted with various doses of MeV Erbium and subsequently thermally annealed at a temperature of 1000 deg C. It was found that there is a peak implant concentration (2 x 10{sup 16} Er/cm{sup 2}) for which erbium no longer goes substitutional in the lattice, and the implantation damage is not fully removed by annealing. 8 refs., 3 figs.

  18. Annealing behaviour of MeV erbium implanted lithium niobate

    Energy Technology Data Exchange (ETDEWEB)

    Gortmaker, P; McCallum, J C [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Lithium niobate (LiNbO{sub 3}) is a crystalline ceramic commonly used in the fabrication of optoelectronic devices. Recently, rare earth doping of LiNbO{sub 3} has become a topic of particular interest. The electronic configuration of rare earth elements such as Erbium (Er) and Neodymium (Nd) allows them to lase in nearly any host matrix making fabrication of a whole range of new optoelectronic devices possible. At present, the doping technique, for LiNbO{sub 3} are centred upon diffusion technology, but the diffusion profiles for the rare earths are not generally well-matched to the optical modes of the device. The aim of this research is to develop MeV implantation and annealing conditions of rare earth doped LiNbO{sub 3} that would be compatible with optoelectronic device fabrication. To determine the characteristics of the rare earth elements in the LiNbO{sub 3} host material over the depth range of interest in optoelectronic device applications, high energy Rutherford backscattering spectrometry and ion channeling (RBS-C) must be used. Presented here are the Er depth profile and lattice damage results obtained from 5 MeV RBS-C measurements on samples of LiNbO{sub 3} implanted with various doses of MeV Erbium and subsequently thermally annealed at a temperature of 1000 deg C. It was found that there is a peak implant concentration (2 x 10{sup 16} Er/cm{sup 2}) for which erbium no longer goes substitutional in the lattice, and the implantation damage is not fully removed by annealing. 8 refs., 3 figs.

  19. Simulation studies of the n{sup +}n{sup -} Si sensors having p-spray/p-stop implant for the SiD experiment

    Energy Technology Data Exchange (ETDEWEB)

    Saxena, Pooja; Ranjan, Kirti [Centre for Detector and Related Software Technology, Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Bhardwaj, Ashutosh, E-mail: abhardwaj@physics.du.ac.in [Centre for Detector and Related Software Technology, Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Shivpuri, R.K.; Bhattacharya, Satyaki [Centre for Detector and Related Software Technology, Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India)

    2011-12-01

    Silicon Detector (SiD) is one of the proposed detectors for the future International Linear Collider (ILC). In the innermost vertex of the ILC, Si micro-strip sensors will be exposed to the neutron background of around 1-1.6 Multiplication-Sign 10{sup 10} 1 MeV equivalent neutrons cm{sup -2} year{sup -1}. The p{sup +}n{sup -}n{sup +} double-sided Si strip sensors are supposed to be used as position sensitive sensors for SiD. The shortening due to electron accumulation on the n{sup +}n{sup -} side of these sensors leads to uniform spreading of signal over all the n{sup +} strips and thus ensuring good isolation between the n{sup +} strips becomes one of the major issues in these sensors. One of the possible solutions is the use of floating p-type implants introduced between the n{sup +} strips (p-stops) and another alternative is the use of uniform layer of p-type implant on the entire n-side (p-spray). However, pre-breakdown micro-discharge is reported because of the high electric field at the edge of the p-stop/p-spray. An optimization of the implant dose profile of the p-stop and p-spray is required to achieve good electrical isolation while ensuring satisfactory breakdown performance of the Si sensors. Preliminary results of the simulation study performed on the n{sup +}n{sup -} Si sensors having p-stop and p-spray using device simulation program, ATLAS, are presented.

  20. Hydrogen- and helium-implanted silicon: Low-temperature positron-lifetime studies

    DEFF Research Database (Denmark)

    Mäkinen, S.; Rajainmäki, H.; Linderoth, Søren

    1991-01-01

    High-purity single-crystal samples of float-zoned Si have been implanted with 6.95-MeV protons and with 25-MeV 3He2 ions at 15 K, and the positron-lifetime technique has been used to identify the defects created in the samples, and to study the effects of H and He on the annealing of point defects...... in Si. The results have been compared with those of proton-irradiated Si. A 100–300-K annealing stage was clearly observed in hydrogen (H+) -implanted Si, and this stage was almost identical to that in the p-irradiated Si. The final annealing state of the H+-implanted Si started at about 400 K......, and it is connected to annealing out of negatively charged divacancy-oxygen pairs. This stage was clearly longer than that for the p-irradiated Si, probably due to the breakup of Si-H bonds at about 550 K. The 100-K annealing stage was not seen with the He-implanted samples. This has been explained by assuming...

  1. Structural and magnetic properties of Mn-implanted Si

    International Nuclear Information System (INIS)

    Zhou Shengqiang; Potzger, K.; Zhang Gufei; Muecklich, A.; Eichhorn, F.; Schell, N.; Groetzschel, R.; Schmidt, B.; Skorupa, W.; Helm, M.; Fassbender, J.; Geiger, D.

    2007-01-01

    Structural and magnetic properties in Mn-implanted, p-type Si were investigated. High resolution structural analysis techniques such as synchrotron x-ray diffraction revealed the formation of MnSi 1.7 nanoparticles already in the as-implanted samples. Depending on the Mn fluence, the size increases from 5 nm to 20 nm upon rapid thermal annealing. No significant evidence is found for Mn substituting Si sites either in the as-implanted or annealed samples. The observed ferromagnetism yields a saturation moment of 0.21μ B per implanted Mn at 10 K, which could be assigned to MnSi 1.7 nanoparticles as revealed by a temperature-dependent magnetization measurement

  2. INCLUSIVE SYSTEMATICS FOR SI-28+SI-28 REACTIONS BETWEEN 20 AND 35 MEV PER NUCLEON

    NARCIS (Netherlands)

    BOX, PF; GRIFFIOEN, KA; DECOWSKI, P; BOOTSMA, T; GIERLIK, E; VANNIEUWENHUIZEN, GJ; TWENHOFEL, C; KAMERMANS, R; WILSCHUT, HW; GIORNI, A; MORAND, C; DEMEYER, A; GUINET, D

    Inclusive velocity spectra of heavy ions produced in the Si-28 + Si-28 reaction at 22, 26, 30, and 35 MeV per nucleon were measured and decomposed into peripheral and central components using an analytical moving-source parametrization. The persistence of incomplete fusion followed by evaporation

  3. Electronic states at Si-SiO2 interface introduced by implantation of Si in thermal SiO2

    International Nuclear Information System (INIS)

    Kalnitsky, A.; Poindexter, E.H.; Caplan, P.J.

    1990-01-01

    Interface traps due to excess Si introduced into the Si-SiO 2 system by ion implantation are investigated. Implanted oxides are shown to have interface traps at or slightly above the Si conduction band edge with densities proportional to the density of off-stoichiometric Si at the Si-SiO 2 interface. Diluted oxygen annealing is shown to result in physical separation of interface traps and equilibrium substrate electrons, demonstrating that ''interface'' states are located within a 0.5 nm thick layer of SiO 2 . Possible charge trapping mechanisms are discussed and the effect of these traps on MOS transistor characteristics is described using a sheet charge model. (author)

  4. Synthesis and characterization of ion-implanted Pt nanocrystals in SiO2

    International Nuclear Information System (INIS)

    Giulian, R.; Kluth, P.; Johannessen, B.; Araujo, L.L.; Llewellyn, D.J.; Cookson, D.J.; Ridgway, M.C.

    2007-01-01

    Pt nanocrystals (NCs) produced by ion implantation in SiO 2 films were investigated by Rutherford backscattering spectroscopy (RBS), transmission electron microscopy (TEM) and small angle X-ray scattering (SAXS). The implantations were performed at liquid nitrogen temperature using energies between 3.4 and 5.6 MeV and an ion fluence range of 2-30 x 10 16 cm -2 and were followed by annealing in forming gas (95% N 2 , 5% H 2 ) for one hour at temperatures between 500 and 1100 deg. C. TEM analysis revealed that the NCs are spherical in shape. The mean size of the NCs annealed at 1100 deg. C varied between 2.8 and 3.6 nm for the highest and lowest fluences, respectively, as determined with both TEM and SAXS. In contrast to previous studies on ion implanted metal NCs, larger Pt NCs are located far beyond the Pt peak concentration, potentially the result of a strongly defect mediated NC nucleation

  5. Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts

    International Nuclear Information System (INIS)

    Zhang, B.; Yu, W.; Zhao, Q.T.; Buca, D.; Breuer, U.; Hartmann, J.-M.; Holländer, B.; Mantl, S.; Zhang, M.; Wang, X.

    2013-01-01

    We have investigated the morphology and electrical properties of NiSiGe/SiGe contact by C + ions pre-implanted into relaxed Si 0.8 Ge 0.2 layers. Cross-section transmission electron microscopy revealed that both the surface and interface of NiSiGe were improved by C + ions implantation. In addition, the effective hole Schottky barrier heights (Φ Bp ) of NiSiGe/SiGe were extracted. Φ Bp was observed to decrease substantially with an increase in C + ion implantation dose

  6. Amorphization threshold in Si-implanted strained SiGe alloy layers

    International Nuclear Information System (INIS)

    Simpson, T.W.; Love, D.; Endisch, E.; Goldberg, R.D.; Mitchell, I.V.; Haynes, T.E.; Baribeau, J.M.

    1994-12-01

    The authors have examined the damage produced by Si-ion implantation into strained Si 1-x Ge x epilayers. Damage accumulation in the implanted layers was monitored in situ by time-resolved reflectivity and measured by ion channeling techniques to determine the amorphization threshold in strained Si 1-x Ge x (x = 0.16 and 0.29) over the temperature range 30--110 C. The results are compared with previously reported measurements on unstrained Si 1-x Ge x , and with the simple model used to describe those results. They report here data which lend support to this model and which indicate that pre-existing strain does not enhance damage accumulation in the alloy layer

  7. Formation of Si/SiC multilayers by low-energy ion implantation and thermal annealing

    NARCIS (Netherlands)

    Dobrovolskiy, S.; Yakshin, Andrey; Tichelaar, F.D.; Verhoeven, J.; Louis, Eric; Bijkerk, Frederik

    2010-01-01

    Si/SiC multilayer systems for XUV reflection optics with a periodicity of 10–20 nm were produced by sequential deposition of Si and implantation of 1 keV View the MathML source ions. Only about 3% of the implanted carbon was transferred into the SiC, with a thin, 0.5–1 nm, buried SiC layer being

  8. Implantation of P ions in SiO2 layers with embedded Si nanocrystals

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I.

    2004-01-01

    The effect of 10 13 -10 16 cm -2 P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO 2 layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10 14 cm -2 , thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs

  9. Moessbauer and channeling experiments on TeSi and SmSi

    International Nuclear Information System (INIS)

    Kemerink, G.J.; Boerma, D.O.; Waard, H. de; Wit, J.C. de; Drentje, S.A.

    1980-01-01

    Considerable effort is made to obtain an insight in the structural and electronic properties of ion implanted elemental semiconductors. This research is strongly stimulated by the many applications of semi-conductor devices. We report here on Moessbauer studies of 129 TeSi and 153 SmSi, using the 27.8 keV transition in 129 I and the 103.2 keV transition in 153 Eu, respectively, and on channeling experiments on 128 TeSi and 152 SmSi with a 2 MeV α-beam from the Groningen Van de Graaff generator. In the Moessbauer experiments we used Cu 129 I and EuF 3 .1/2H 2 O as absorber materials. Source and absorber were held at 4.2 K. The implantations were generally done at room temperature with an implantation energy of 100-115 keV. For the Moessbauer and channeling measurements we applied similar Si single crystals and the same implantation and annealing conditions. Crystals with low doses could only be investigated with the Moessbauer effect

  10. Modelling of ion implantation in SiC crystals

    Energy Technology Data Exchange (ETDEWEB)

    Chakarov, Ivan [SILVACO International, 4701 Patrick Henry Drive, Building 2, Santa Clara, CA 95054 (United States)]. E-mail: ivan.chakarov@silvaco.com; Temkin, Misha [SILVACO International, 4701 Patrick Henry Drive, Building 2, Santa Clara, CA 95054 (United States)

    2006-01-15

    An advanced electronic stopping model for ion implantation in SiC has been implemented within the binary collision approximation. The model has been thoroughly tested and validated for Al implantation into 4H-, 6H-SiC under different initial implant conditions. A very good agreement between calculated and experimental profiles has been achieved. The model has been integrated in an industrial technology CAD process simulator.

  11. Modelling of ion implantation in SiC crystals

    International Nuclear Information System (INIS)

    Chakarov, Ivan; Temkin, Misha

    2006-01-01

    An advanced electronic stopping model for ion implantation in SiC has been implemented within the binary collision approximation. The model has been thoroughly tested and validated for Al implantation into 4H-, 6H-SiC under different initial implant conditions. A very good agreement between calculated and experimental profiles has been achieved. The model has been integrated in an industrial technology CAD process simulator

  12. Activation characteristics of ion-implanted Si+ in AlGaN

    International Nuclear Information System (INIS)

    Irokawa, Y.; Fujishima, O.; Kachi, T.; Pearton, S.J.; Ren, F.

    2005-01-01

    Multiple-energy Si + implantation in the range 30-360 keV into Al 0.13 Ga 0.87 N for n-type doping was carried out at room temperature, followed by annealing at 1150-1375 deg. C for 5 min. Activation efficiencies close to 100% were obtained for ion doses of 1.0x10 15 cm -2 after annealing at 1375 deg. C, with a resulting sheet resistance of 74 Ω/square. By sharp contrast, the activation efficiency at 1150 deg. C was only 4% for this dose, with a sheet resistance of 1.63x10 4 Ω/square. The activation efficiency was also a function of dose, with a maximum activation percentage of only 55% for lower doses of 1.0x10 14 cm -2 annealed at 1375 deg. C. This is due to the comparatively larger effect of compensating acceptors at the lower dose and is also lower than the corresponding activation of Si in pure GaN under these conditions (78%). The measurement temperature dependence of sheet carrier density showed an activation energy of 23 meV, consistent with the ionization energy of Si in AlGaN

  13. Annealing of Al implanted 4H silicon carbide

    International Nuclear Information System (INIS)

    Hallen, A; Suchodolskis, A; Oesterman, J; Abtin, L; Linnarsson, M

    2006-01-01

    Al ions were implanted with multiple energies up to 250 keV at elevated temperatures in n-type 4H SiC epitaxial layers to reach a surface concentration of 1x10 20 cm -3 . These samples were then annealed at temperatures between 1500 and 1950 deg. C. A similar 4H SiC epitaxial sample was implanted by MeV Al ions to lower doses and annealed only at 200 and 400 deg. C. After annealing, cross-sections of the samples were characterized by scanning spreading resistance microscopy (SSRM). The results show that the resistivity of high-dose Al implanted samples has not reached a saturated value, even after annealing at the highest temperature. For the MeV Al implanted sample, the activation of Al has not yet started, but a substantial annealing of the implantation induced damage can be seen from the SSRM depth profiles

  14. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  15. Scanning probe microscopy of single Au ion implants in Si

    International Nuclear Information System (INIS)

    Vines, L.; Monakhov, E.; Maknys, K.; Svensson, B.G.; Jensen, J.; Hallen, A.; Kuznetsov, A. Yu.

    2006-01-01

    We have studied 5 MeV Au 2+ ion implantation with fluences between 7 x 10 7 and 2 x 10 8 cm -2 in Si by deep level transient spectroscopy (DLTS) and scanning capacitance microscopy (SCM). The DLTS measurements show formation of electrically active defects such as the two negative charge states of the divacancy (V 2 (=/-) and V 2 (-/0)) and the vacancy-oxygen (VO) center. It is observed that the intensity of the V 2 (=/-) peak is lower compared to that of V 2 (-/0) by a factor of 5. This has been attributed to a highly localized distribution of the defects along the ion tracks, which results in trapping of the carriers at V 2 (-/0) and incomplete occupancy of V 2 (=/-). The SCM measurements obtained in a plan view show a random pattern of regions with a reduced SCM signal for the samples implanted with fluence above 2 x 10 8 cm -2 . The reduced SCM signal is attributed to extra charges associated with acceptor states, such as V 2 (-/0), formed along the ion tracks in the bulk Si. Indeed, the electron emission rate from the V 2 (-/0) state is in the range of 10 kHz at room temperature, which is well below the probing frequency of the SCM measurements, resulting in 'freezing' of electrons at V 2 (-/0)

  16. Properties of tribology for Si implanted PET

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Xu; Liu Andong; Xie Mengxia; Zhang Aimin; Chen Jianmin

    2002-01-01

    Polyethylene terephthalate (PET) has been modified with Si ions from a metal vapor arc source (MEVVA). After implantation, the surface structure has been greatly changed. The experimental results of infrared absorption indicated that the particles are referred to rich carbon and SiC particles. The PET has been strengthened by these dispersed particles. The measurement results using nanometer hardness tester reveal that both surface hardness and modulus increase obviously. Therefore the surface wear resistance improved extremely. Finally the modification mechanism of Si implanted PET was discussed

  17. Formation of Si/SiC multilayers by low-energy ion implantation and thermal annealing

    NARCIS (Netherlands)

    Dobrovolskiy, S.; Yakshin, A. E.; Tichelaar, F. D.; Verhoeven, J.; E. Louis,; F. Bijkerk,

    2010-01-01

    Si/SiC multilayer systems for XUV reflection optics with a periodicity of 10-20 nm were produced by sequential deposition of Si and implantation of 1 key CHx+ ions. Only about 3% of the implanted carbon was transferred into the SIC, with a thin, 0.5-1 nm, buried SIC layer being formed. We

  18. Implantation of P ions in SiO{sub 2} layers with embedded Si nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G.A. E-mail: kachurin@isp.nsc.ru; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I

    2004-08-01

    The effect of 10{sup 13}-10{sup 16} cm{sup -2} P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO{sub 2} layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10{sup 14} cm{sup -2}, thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs.

  19. Different strain relaxation mechanisms in strained Si/Si sub 1 sub - sub x Ge sub x /Si heterostructures by high dose B sup + and BF sub 2 sup + doping

    CERN Document Server

    Chen, C C; Zhang, S L; Zhu, D Z; Vantomme, A

    2002-01-01

    Strained Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructures are implanted at room temperature with 7.5 keV B sup + and 33 keV BF sub 2 sup + ions to a high dose of 2x10 sup 1 sup 5 ions/cm sup 2 , respectively. The samples are subsequently subjected to three-step anneals (spacer anneal, oxidation anneal and rapid thermal anneal), which are used to simulate a real fabrication process of SiGe-based MOSFET devices. The damage induced by implantation and its recovery are characterized by 2 MeV sup 4 He sup + RBS/channeling spectrometry. A damage layer on the surface is induced by B sup + implantation, but BF sup + sub 2 ion implantation amorphizes the surface of Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructure. Channeling angular scans along the axial direction demonstrate that the strain stored in the SiGe layer could be nearly completely retained for the B sup + implanted and subsequently annealed sample. However, the strain in the BF sub 2 sup + implanted/annealed SiGe layer has...

  20. Implantation activation annealing of Si-implanted gallium nitride at temperatures > 1,100 C

    International Nuclear Information System (INIS)

    Zolper, J.C.; Han, J.; Biefeld, R.M.

    1997-01-01

    The activation annealing of Si-implanted GaN is reported for temperatures from 1,100 to 1,400 C. Although previous work has shown that Si-implanted GaN can be activated by a rapid thermal annealing at ∼1,100 C, it was also shown that significant damage remained in the crystal. Therefore, both AlN-encapsulated and uncapped Si-implanted GaN samples were annealed in a metal organic chemical vapor deposition system in a N 2 /NH 3 ambient to further assess the annealing process. Electrical Hall characterization shows increases in carrier density and mobility for annealing up to 1,300 C before degrading at 1,400 C due to decomposition of the GaN epilayer. Rutherford backscattering spectra show that the high annealing temperatures reduce the implantation induced damage profile but do not completely restore the as-grown crystallinity

  1. Relaxation of mechanical stresses in Si-Ge/Si structures implanted by carbon ions. Study with optical methods

    International Nuclear Information System (INIS)

    Klyuj, M.Yi.

    1998-01-01

    Optical properties of Si-Ge/Si structures implanted by carbon ions with the energy of 20 keV and at the doses of 5 centre dot 10 15 - 1- 16 cm -2 are studied by spectro ellipsometry and Raman scattering techniques. From the comparison of experimental data with the results of theoretical calculations, it is shown that, as a result of implantation, a partial relaxation of mechanical stresses in the Si 1-x Ge x film due to introduction of carbon atoms with a small covalent radius into the Si-Ge lattice takes place. An elevated implantation temperature allows one to maintain a high structural perfection of the implanted film

  2. Effect of Si implantation on the microstructure of silicon nanocrystals and surrounding SiO2 layer

    International Nuclear Information System (INIS)

    Ross, G.G.; Smirani, R.; Levitcharsky, V.; Wang, Y.Q.; Veilleux, G.; Saint-Jacques, R.G.

    2005-01-01

    Si nanocrystals (Si-nc) embedded in a SiO 2 layer have been characterized by means of transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). For local Si concentration in excess 8 x 10 21 Si + /cm 3 , the size of the Si-nc was found to be ∼3 nm and comparatively homogeneous throughout the whole implanted layer. For local Si concentration in excess of ∼2.4 x 10 22 Si + /cm 3 , the Si-nc diameter ranges from ∼2 to ∼12 nm in the sample, the Si-nc in the middle region of the implanted layer being bigger than those near the surface and the bottom of the layer. Also, Si-nc are visible deeper than the implanted depth. Characterization by XPS shows that a large quantity of oxygen was depleted from the first ∼25 nm in this sample (also visible on TEM image) and most of the SiO 2 bonds have been replaced by Si-O bonds. Experimental and simulation results suggest that a local Si concentration in excess of ∼3 x 10 21 Si/cm 3 is required for the production of Si-nc

  3. Study of ({alpha}, {sup 3}He) and ({alpha}, t) reactions on {sup 28}Si at 45 MeV

    Energy Technology Data Exchange (ETDEWEB)

    Darshan, V.P.; Sathyavathiamma, M.P.; Ramaswamy, C.R.; Raja Rao, M.; Puttaswamy, N.G.; Banerjee, S.R.; Chintalapudi, S.N. [Dept. of Phys., Bangalore Univ. (India)

    1995-03-01

    The {sup 28}Si({alpha}, {sup 3}He){sup 29}Si, {sup 28}Si({alpha}, t){sup 29}P and Si({alpha}, {alpha})Si reactions were studied at E{sub {alpha}} = 45 MeV. Exact finite-range (EFR) DWBA analysis was carried out for the transitions to the ground state and to five excited states in {sup 29}Si and {sup 29}P. Spectroscopic strengths G were extracted for all the states and were compared with the predictions from shell-model and quasi-particle core-coupling calculations. Similar EFR-DWBA analyses were carried out from available (unpublished) data for the {sup 28}Si({alpha}, {sup 3}He){sup 29}Si reaction at E{sub {alpha}} = 64.9 and 120 MeV, and for the {sup 28}Si({alpha}, t){sup 29}P reaction at E{sub {alpha}} = 50 and 64.9 MeV. The comparison of experimental and theoretical values of G are provided. (author)

  4. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  5. Vacancy supersaturations produced by high-energy ion implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Eaglesham, D.J.; Jacobson, D.C.; Gossmann, H.J.

    1998-01-01

    A new technique for detecting the vacancy clusters produced by high-energy ion implantation into silicon is proposed and tested. This technique takes advantage of the fact that metal impurities, such as Au, are gettered near one-half of the projected range (1/2 R p ) of MeV implants. The vacancy clustered region produced by a 2 MeV Si + implant into silicon has been labeled with Au diffused in from the front surface. The trapped Au was detected by Rutherford backscattering spectrometry (RBS) to profile the vacancy clusters. Cross section transmission electron microscopy (XTEM) analysis shows that the Au in the region of vacancy clusters is in the form of precipitates. By annealing MeV implanted samples prior to introduction of the Au, changes in the defect concentration within the vacancy clustered region were monitored as a function of annealing conditions

  6. A comparative study of 30MeV boron4+ and 60MeV oxygen8+ ion irradiated Si NPN BJTs

    International Nuclear Information System (INIS)

    Kumar, M. Vinay; Krishnaveni, S.; Yashoda, T.; Dinesh, C. M.; Krishnakumar, K. S.; Jayashree, B.; Ramani

    2015-01-01

    The impact of 30MeV boron 4+ and 60MeV oxygen 8+ ion irradiation on electrical characteristics of 2N3773 Si NPN Bipolar junction transistors (BJTs) is reported in the present study. The transistors were decapped and irradiated at room temperature. Gummel characteristics, DC current gain and Capacitance-voltage (C-V) characteristics were studied before and after irradiation at different fluences. DC current gain has decreased significantly in both boron and oxygen ion irradiation. Also the value of capacitance decreased 3-4 times with increase in fluence. Both 30MeV boron ion and 60MeV oxygen ion induced similar extent of degradation in electrical characteristics of the transistor

  7. Effects of dual-ion irradiation on the swelling of SiC/SiC composites

    International Nuclear Information System (INIS)

    Kishimoto, Hirotatsu; Kohyama, Akira; Ozawa, Kazumi; Kondo, Sosuke

    2005-01-01

    Silicon carbide (SiC) matrix composites reinforced by SiC fibers is a candidate structural material of fusion gas-cooled blanket system. From the viewpoint of material designs, it is important to investigate the swelling by irradiation, which results from the accumulation of displacement damages. In the fusion environment, (n, α) nuclear reactions are considered to produce helium gas in SiC. For the microstructural evolution, a dual-ion irradiation method is able to simulate the effects of helium. In the present research, 1.7 MeV tandem and 1 MeV single-end accelerators were used for Si self-ion irradiation and helium implantation, respectively. The average helium over displacement per atom (dpa) ratio in SiC was adjusted to 60 appm/dpa. The irradiation temperature ranged from room temperature to 1400degC. The irradiation-induced swelling was measured by the step height method. Helium that was implanted simultaneously with displacement damages in dual-ion irradiated SiC increased the swelling that was larger than that by single-ion irradiated SiC below 800degC. Since this increase was not observed above 1000degC, the interaction of helium and displacement damages was considered to change above 800degC. In this paper, the microstructural behavior and dimensional stability of SiC materials under the fusion relevant environment are discussed. (author)

  8. Interface strength of SiC/SiC composites with and without helium implantation using micro-indentation test

    International Nuclear Information System (INIS)

    Saito, M.; Ohtsuka, S.

    1998-01-01

    Helium implantation effects on interface strength of SiC/SiC composite were studied using the micro-indentation fiber push-out method. Helium implantation was carried out with an accelerator at about 400 K. Total amount of implanted helium was approximately 10000 appm. Increase of the fiber push-in load was observed in as-implanted specimen. After post-implantation-annealing at 1673 K for 1 h, the change of the fiber push-in load by helium implantation was not observed. Effects of helium implantation on the interface are discussed. (orig.)

  9. Elastic scattering of 16O on 28Si between 45.0 and 73.5 MeV

    International Nuclear Information System (INIS)

    Shkolnik, V.; Dehnhard, D.; Kubono, S.; Franey, M.A.; Tripp, S.; Artz, J.L.; Weber, D.J.

    1977-01-01

    Angular distributions of 16 O elastically scattering by Si isotopes were analyzed by the optical model. Differential cross sections are shown for all isotopes at 60 MeV, and for 28 Si at energies from 45 to 63 MeV. The potentials found are discussed in some detail; the best fits were produced by surface-transparent potentials. 3 figures, 2 tables

  10. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  11. Carbon nanotube growth from catalytic nano-clusters formed by hot-ion-implantation into the SiO{sub 2}/Si interface

    Energy Technology Data Exchange (ETDEWEB)

    Hoshino, Yasushi, E-mail: yhoshino@kanagawa-u.ac.jp [Department of Information Sciences, Kanagawa University, 2946 Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan); Arima, Hiroki; Yokoyama, Ai; Saito, Yasunao; Nakata, Jyoji [Department of Information Sciences, Kanagawa University, 2946 Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan)

    2012-07-01

    We have studied growth of chirality-controlled carbon nanotubes (CNTs) from hot-implantation-formed catalytic nano-clusters in a thermally grown SiO{sub 2}/Si substrate. This procedure has the advantage of high controllability of the diameter and the number of clusters by optimizing the conditions of the ion implantation. In the present study, Co{sup +} ions with ion dose of 8 Multiplication-Sign 10{sup 16} cm{sup -2} are implanted in the vicinity of the SiO{sub 2}/Si interface at 300 Degree-Sign C temperature. The implanted Co atoms located in the SiO{sub 2} layer has an amorphous-like structure with a cluster diameter of several nm. In contrast, implanted Co atoms in the Si substrate are found to take a cobalt silicide structure, confirmed by the high-resolution image of transmission electron microscope. CNTs are grown by microwave-plasma-enhanced chemical vapor deposition. We have confirmed a large amount of vertically-aligned multi-walled CNTs from the Co nano-clusters formed by the hot-ion-implantation near the SiO{sub 2}/Si interface.

  12. 120 MeV Ag ion induced effects in Au/HfO2/Si MOSCAPs

    Science.gov (United States)

    Manikanthababu, N.; Prajna, K.; Pathak, A. P.; Rao, S. V. S. Nageswara

    2018-05-01

    HfO2/Si thinfilms were deposited by RF sputtering technique. 120 MeV Ag ion irradiation has been used to study the electrical properties of Au/HfO2/Si MOSCAPs. SHI (120 MeV Ag) induced annealing, defects creation and intermixing effects on the electrical properties of these systems have been studied. Here, we have observed that the high electronic excitation can cause a significant reduction of leakage currents in these MOSCAP devices. Various quantum mechanical tunneling phenomenon has been observed from the I-V characteristics.

  13. Microstructure of buried CoSi2 layers formed by high-dose Co implantation into (100) and (111) Si substrates

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Van Ommen, A.H.; Vandenhoudt, D.E.W.; Ottenheim, J.J.M.; de Jong, A.F.

    1991-01-01

    Heteroepitaxial Si/CoSi 2 /Si structures have been synthesized by implanting 170-keV Co + with doses in the range 1--3x10 17 Co + ions/cm 2 into (100) and (111) Si substrates and subsequent annealing. The microstructure of both the as-implanted and annealed structures is investigated in great detail by transmission electron microscopy, high-resolution electron microscopy, and x-ray diffraction. In the as-implanted samples, the Co is present as CoSi 2 precipitates, occurring both in aligned (A-type) and twinned (B-type) orientation. For the highest dose, a continuous layer of stoichiometric CoSi 2 is already formed during implantation. It is found that the formation of a connected layer, already during implantation, is crucial for the formation of a buried CoSi 2 layer upon subsequent annealing. Particular attention is given to the coordination of the interfacial Co atoms at the Si/CoSi 2 (111) interfaces of both types of precipitates. We find that the interfacial Co atoms at the A-type interfaces are fully sevenfold coordinated, whereas at the B-type interfaces they appear to be eightfold coordinated

  14. Exceptional cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si heterostructures

    Science.gov (United States)

    Chen, Da; Wang, Dadi; Chang, Yongwei; Li, Ya; Ding, Rui; Li, Jiurong; Chen, Xiao; Wang, Gang; Guo, Qinglei

    2018-01-01

    The cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si structures after thermal annealing was investigated. The crack formation position is found to closely correlate with the thickness of the buried Si0.70Ge0.30 layer. For H-implanted Si containing a buried 3-nm-thick B-doped Si0.70Ge0.30 layer, localized continuous cracking occurs at the interfaces on both sides of the Si0.70Ge0.30 interlayer. Once the thickness of the buried Si0.70Ge0.30 layer increases to 15 and 70 nm, however, a continuous sharp crack is individually observed along the interface between the Si substrate and the B-doped Si0.70Ge0.30 interlayer. We attribute this exceptional cracking behavior to the existence of shear stress on both sides of the buried Si0.70Ge0.30 layer and the subsequent trapping of hydrogen, which leads to a crack in a well-controlled manner. This work may pave the way for high-quality Si or SiGe membrane transfer in a feasible manner, thus expediting its potential applications to ultrathin silicon-on-insulator (SOI) or silicon-germanium-on-insulator (SGOI) production.

  15. Formation of SiC using low energy CO2 ion implantation in silicon

    International Nuclear Information System (INIS)

    Sari, A.H.; Ghorbani, S.; Dorranian, D.; Azadfar, P.; Hojabri, A.R.; Ghoranneviss, M.

    2008-01-01

    Carbon dioxide ions with 29 keV energy were implanted into (4 0 0) high-purity p-type silicon wafers at nearly room temperature and doses in the range between 1 x 10 16 and 3 x 10 18 ions/cm 2 . X-ray diffraction analysis (XRD) was used to characterize the formation of SiC in implanted Si substrate. The formation of SiC and its crystalline structure obtained from above mentioned technique. Topographical changes induced on silicon surface, grains and evaluation of them at different doses observed by atomic force microscopy (AFM). Infrared reflectance (IR) and Raman scattering measurements were used to reconfirm the formation of SiC in implanted Si substrate. The electrical properties of implanted samples measured by four point probe technique. The results show that implantation of carbon dioxide ions directly leads to formation of 15R-SiC. By increasing the implantation dose a significant changes were also observed on roughness and sheet resistivity properties.

  16. Effect of pre-implanted oxygen in Si on the retention of implanted He

    Energy Technology Data Exchange (ETDEWEB)

    Manuaba, A. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Paszti, F. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)]. E-mail: paszti@rmki.kfki.hu; Ramos, A.R. [ITN - Instituto Tecnologico e Nuclear, Estrada Nacional 10, P-2686-953, Sacavem (Portugal); Khanh, N.Q. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Pecz, B. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Zolnai, Z. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Tunyogi, A. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)

    2006-08-15

    Buried SiO {sub x} layers, with different x values, were formed by implanting 80 keV O{sup +} ions with different fluences into single crystal Si samples at room temperature. Into each of these O pre-implanted layers, 20 keV He{sup +} was implanted up to the fluence of 1 x 10{sup 17} ion/cm{sup 2}. The He distribution profiles were determined by 2045 keV proton backscattering spectrometry. It was found that as the O content increases, the retained He gradually decreases at the beginning, then rapidly falls at x = 0.6 till it disappears at x = 1.3. The process that leads to this phenomenon is discussed.

  17. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    International Nuclear Information System (INIS)

    Fu Mingyue; Tsai, J.-H.; Yang, C.-F.; Liao, C.-H.

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3x10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  18. Implantation temperature and thermal annealing behavior in H{sub 2}{sup +}-implanted 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Li, B.S., E-mail: b.s.li@impcas.ac.cn; Wang, Z.G.; Jin, J.F.

    2013-12-01

    The effects of hydrogen implantation temperature and annealing temperature in 6H-SiC are studied by the combination of Rutherford backscattering in channeling geometry (RBS/C), high-resolution X-ray diffraction (HRXRD) and scanning electron microscopy (SEM). 6H-SiC wafers were implanted with 100 keV H{sub 2}{sup +} ions to a fluence of 2.5 × 10{sup 16} H{sub 2}{sup +} cm{sup −2} at room temperature (RT), 573 K and 773 K. Post-implantation, the samples were annealing under argon gas flow at different temperatures from 973 K to 1373 K for isochronal annealing (15 min). The relative Si disorder at the damage peak for the sample implanted at RT decreases gradually with increasing annealing temperature. However, the reverse annealing effect is found for the samples implanted at 573 K and 773 K. As-implantation, the intensity of in-plane compressive stress is the maximum as the sample was implanted at RT, and is the minimum as the sample was implanted at 573 K. The intensity of in-plane compressive stress for the sample implanted at RT decreases gradually with increasing annealing temperature, while the intensities of in-plane compressive stress for the sample implanted at 573 K and 773 K show oscillatory changes with increasing annealing temperature. After annealing at 1373 K, blisters and craters occur on the sample surface and their average sizes increase with increasing implantation temperature.

  19. Post-annealing recrystallization and damage recovery process in Fe ion implanted Si

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Hirata, Akihiko; Ishimaru, Manabu; Hirotsu, Yoshihiko

    2007-01-01

    We have investigated ion-beam-induced and thermal annealing-induced microstructures in high fluence Fe implanted Si using transmission electron microscopy. Si(1 1 1) substrates were irradiated with 120 keV Fe ions at 120 K to fluences of 0.4 x 10 17 and 4.0 x 10 17 cm -2 . A continuous amorphous layer was formed on Si substrates in both as-implanted samples. After thermal annealing at 1073 K for 2 h, β-FeSi 2 fine particles buried in a polycrystalline Si layer were observed in the low fluence sample, while a continuous β-FeSi 2 layer was formed in the high fluence sample. We discuss the relationship between ion fluence and defects recovery process in Fe ion implanted Si

  20. The eight modes observation in LiNbO3 induced by 3.0 MeV He+ implantation

    International Nuclear Information System (INIS)

    Wang Keming; Shi Borong; Zhou Zhuang; Wang Wei; Ding Peijun; Wang Zhonglie.

    1994-01-01

    The y-cut LiNbO 3 was implanted by 3.0 MeV He + to a dose of 2 x10 16 ions/cm 2 at liquid nitrogen temperature. The eight black and bright modes from He implanted LiNbO 3 waveguide were observed before and after rapid annealing. The refractive index profile is obtained by means of a non-stationary mode index calculation. The comparison of refractive index profile with damage profile is given. The result shows that the peak position of the refractive index profile is found to be in good agreement with the peak position of damage profile induced by 3.0 MeV He + implanted in LiNbO 3 based on transport of ions in matter (TRIM'92).(author)

  1. RBS-study of GexSi1-x Compounds Formed by Variable Dose Ge Implantation into Si Wafers

    Directory of Open Access Journals (Sweden)

    Ramírez A.

    2002-01-01

    Full Text Available Amorphous and relaxed epitaxial GeSi films are prepared by Ge-implantation into Si(111 wafers of both 60 keV and 200 keV energetic Ge+-ions with appropriate dose, followed by post-implantation thermal annealing, comprising a single final annealing at a temperature of 900 °C. The implantation dose was varied between 10(14 and 10(17 atoms cm-2. Rutherford backscattering (RBS and channeling analysis was applied in order to explore the formation of a single crystalline Si-Ge compound layer, both prior and after the thermal treatment. The depth and the thickness of the implanted layer, as well as their molar composition and crystalline quality was determined, and it was found that a single crystalline Si-Ge alloy layer was created, with both depth and mole fraction depending on the ion energy and the ion dose.

  2. He implantation induced nanovoids in crystalline Si

    International Nuclear Information System (INIS)

    Kilpelaeinen, S.; Kuitunen, K.; Slotte, J.; Tuomisto, F.; Bruno, E.; Mirabella, S.; Priolo, F.

    2009-01-01

    Positron annihilation spectroscopy (PAS) in Doppler broadening mode was used to study the vacancy profile of crystalline Si after He and B implantation and subsequent annealing. In the He-implanted samples two different void layers were observed, one consisting of large voids at the projected range of He and another containing 'nanovoids' slightly larger than divacancies at roughly halfway between R p of He and the surface. The nanovoid layer was shown to be absent from samples co-implanted with B, implying that interstitials created during B implantation get trapped in the nanovoids and fill them, thus hindering interstitial-mediated B diffusion.

  3. Dopant profile engineering of advanced Si MOSFET's using ion implantation

    International Nuclear Information System (INIS)

    Stolk, P.A.; Ponomarev, Y.V.; Schmitz, J.; Brandenburg, A.C.M.C. van; Roes, R.; Montree, A.H.; Woerlee, P.H.

    1999-01-01

    Ion implantation has been used to realize non-uniform, steep retrograde (SR) dopant profiles in the active channel region of advanced Si MOSFET's. After defining the transistor configuration, SR profiles were formed by dopant implantation through the polycrystalline Si gate and the gate oxide (through-the-gate, TG, implantation). The steep nature of the as-implanted profile was retained by applying rapid thermal annealing for dopant activation and implantation damage removal. For NMOS transistors, TG implantation of B yields improved transistor performance through increased carrier mobility, reduced junction capacitances, and reduced susceptibility to short-channel effects. Electrical measurements show that the gate oxide quality is not deteriorated by the ion-induced damage, demonstrating that transistor reliability is preserved. For PMOS transistors, TG implantation of P or As leads to unacceptable source/drain junction broadening as a result of transient enhanced dopant diffusion during thermal activation

  4. Synergistic effects of iodine and silver ions co-implanted in 6H-SiC

    Science.gov (United States)

    Kuhudzai, R. J.; Malherbe, J. B.; Hlatshwayo, T. T.; van der Berg, N. G.; Devaraj, A.; Zhu, Z.; Nandasiri, M.

    2015-12-01

    Motivated by the aim of understanding the release of fission products through the SiC coating of fuel kernels in modern high temperature nuclear reactors, a fundamental investigation is conducted to understand the synergistic effects of implanted silver (Ag) and iodine (I) in 6H-SiC. The implantation of the individual species, as well as the co-implantation of 360 keV ions of I and Ag at room temperature in 6H-SiC and their subsequent annealing behaviour has been investigated by Secondary Ion Mass Spectrometry (SIMS), Atom Probe Tomography (APT) and X-ray Photoelectron Spectroscopy (XPS). SIMS and APT measurements indicated the presence of Ag in the co-implanted samples after annealing at 1500 °C for 30 h in sharp contrast to the samples implanted with Ag only. In samples implanted with Ag only, complete loss of the implanted Ag was observed. However, for I only implanted samples, some iodine was retained. APT of annealed co-implanted 6H-SiC showed clear spatial association of Ag and I clusters in SiC, which can be attributed to the observed I assisted retention of Ag after annealing. Such detailed studies will be necessary to identify the fundamental mechanism of fission products migration through SiC coatings.

  5. Damage accumulation in nitrogen implanted 6H-SiC: Dependence on the direction of ion incidence and on the ion fluence

    International Nuclear Information System (INIS)

    Zolnai, Z.; Ster, A.; Khanh, N. Q.; Battistig, G.; Lohner, T.; Gyulai, J.; Kotai, E.; Posselt, M.

    2007-01-01

    The influence of crystallographic orientation and ion fluence on the shape of damage distributions induced by 500 keV N + implantation at room temperature into 6H-SiC is investigated. The irradiation was performed at different tilt angles between 0 degree sign and 4 degree sign with respect to the crystallographic axis in order to consider the whole range of beam alignment from channeling to random conditions. The applied implantation fluence range was 2.5x10 14 -3x10 15 cm -2 . A special analytical method, 3.55 MeV 4 He + ion backscattering analysis in combination with channeling technique (BS/C), was employed to measure the disorder accumulation simultaneously in the Si and C sublattices of SiC with good depth resolution. For correct energy to depth conversion in the BS/C spectra, the average electronic energy loss per analyzing He ion for the axial channeling direction was determined. It was found that the tilt angle of nitrogen implantation has strong influence on the shape of the induced disorder profiles. Significantly lower disorder was found for channeling than for random irradiation. Computer simulation of the measured BS/C spectra showed the presence of a simple defect structure in weakly damaged samples and suggested the formation of a complex disorder state for higher disorder levels. Full-cascade atomistic computer simulation of the ion implantation process was performed to explain the differences in disorder accumulation on the Si and C sublattices. The damage buildup mechanism was interpreted with the direct-impact, defect-stimulated amorphization model in order to understand damage formation and to describe the composition of structural disorder versus the ion fluence and the implantation tilt angle

  6. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  7. Ion implantation enhanced metal-Si-metal photodetectors

    Science.gov (United States)

    Sharma, A. K.; Scott, K. A. M.; Brueck, S. R. J.; Zolper, J. C.; Myers, D. R.

    1994-05-01

    The quantum efficiency and frequency response of simple Ni-Si-Ni metal-semiconductor-metal (MSM) photodetectors at long wavelengths are significantly enhanced with a simple, ion-implantation step to create a highly absorbing region approx. 1 micron below the Si surface. The internal quantum efficiency is improved by a factor of approx. 3 at 860 nm (to 64%) and a full factor of ten at 1.06 microns (to 23%) as compared with otherwise identical unimplanted devices. Dark currents are only slightly affected by the implantation process and are as low as 630 pA for a 4.5-micron gap device at 10-V bias. Dramatic improvement in the impulse response is observed, 100 ps vs. 600 ps, also at 10-V bias and 4.5-micron gap, due to the elimination of carrier diffusion tails in the implanted devices. Due to its planar structure, this device is fully VLSI compatible. Potential applications include optical interconnections for local area networks and multi-chip modules.

  8. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Pacha-Olivenza, Miguel A.; Calzado-Martín, Alicia; Multigner, Marta; Vera, Carolina; Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M.; González-Carrasco, José Luis; Vilaboa, Nuria

    2014-01-01

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10 16 ions/cm 2 ; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed

  9. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Pacha-Olivenza, Miguel A. [CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); Calzado-Martín, Alicia [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Multigner, Marta [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vera, Carolina [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M. [Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); González-Carrasco, José Luis [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vilaboa, Nuria [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); and others

    2014-08-15

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10{sup 16} ions/cm{sup 2}; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed.

  10. Recrystallization of implanted amorphous silicon layers. I. Electrical properties of silicon implanted with BF+2 or Si++B+

    International Nuclear Information System (INIS)

    Tsai, M.Y.; Streetman, B.G.

    1979-01-01

    Electrical properties of recrystallized amorphous silicon layers, formed by BF + 2 implants or Si + +B + implants, have been studied by differential resistivity and Hall-effect measurements. Electrical carrier distribution profiles show that boron atoms inside the amorphized Si layers can be fully activated during recrystallization at 550 0 C. The mobility is also recovered. However, the tail of the B distribution, located inside a damaged region near the original amorphous-crystalline interface, remains inactive. This inactive tail has been observed for all samples implanted with BF + 2 . Only in a thicker amorphous layer, formed for example by Si + predamage implants, can the entire B profile be activated. The etch rate of amorphous silicon in HF and the effect of fluorine on the recrystallization rate are also reported

  11. 75 MeV boron ion irradiation studies on Si PIN photodiodes

    Energy Technology Data Exchange (ETDEWEB)

    Prabhakara Rao, Y.P.; Praveen, K.C. [Department of Studies in Physics, University of Mysore, Manasagangotri, Mysore 570006 (India); Rejeena Rani, Y. [Integrated Circuits Division, Bharat Electronics Limited, Bangalore 560013, Karnataka (India); Tripathi, Ambuj [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110067 (India); Gnana Prakash, A.P., E-mail: gnanap@hotmail.com [Department of Studies in Physics, University of Mysore, Manasagangotri, Mysore 570006 (India)

    2013-12-01

    The highly sensitive silicon PIN photodiodes were fabricated to use in radiation environments. The Si PIN photodiodes are coated with 150 nm silicon dioxide (SiO{sub 2}) as anti-reflective (AR) coating. The presence of AR coating on the performance of irradiated PIN photodiodes is studied up to a total dose of 10 Mrad. The effects of 75 MeV boron (B{sup 5+}) ions and {sup 60}Co gamma radiation on the I–V, C–V and spectral responses of PIN photodiodes were studied systematically to understand the radiation tolerance of the devices. The 75 MeV B{sup 5+} irradiation results are compared with {sup 60}Co gamma irradiated results in the same dose range for 1 mm × 1 mm and 10 mm × 10 mm active area PIN photodiodes. The irradiation results show that the ion irradiated PIN photodiodes show more degradation when compared {sup 60}Co gamma irradiated devices. The irradiation results are presented in this paper and the possible mechanism behind the degradation of photodiodes is also discussed in the paper.

  12. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  13. Effects of MeV Si ions bombardment on the thermoelectric generator from SiO{sub 2}/SiO{sub 2} + Cu and SiO{sub 2}/SiO{sub 2} + Au nanolayered multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Chacha, J., E-mail: chacha_john79@hotmail.com [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Smith, C., E-mail: cydale@cim.aamu.edu [Center for Irradiation of Materials, Alabama A and M University, Normal, AL (United States); Department of Physics, Alabama A and M University, Normal, AL (United States); Pugh, M., E-mail: marcuspughp@yahoo.com [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Colon, T. [Department of Mechanical Engineering, Alabama A and M University, Normal, AL (United States); Heidary, K., E-mail: kaveh.heidary@aamu.edu [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Johnson, R.B., E-mail: barry@w4wb.com [Department of Physics, Alabama A and M University, Normal, AL (United States); Ila, D., E-mail: ila@cim.aamu.edu [Center for Irradiation of Materials, Alabama A and M University, Normal, AL (United States); Department of Physics, Alabama A and M University, Normal, AL (United States)

    2011-12-15

    The defects and disorder in the thin films caused by MeV ions bombardment and the grain boundaries of these nanoscale clusters increase phonon scattering and increase the chance of an inelastic interaction and phonon annihilation. We prepared the thermoelectric generator devices from 100 alternating layers of SiO{sub 2}/SiO{sub 2} + Cu multi-nano layered superlattice films at the total thickness of 382 nm and 50 alternating layers of SiO{sub 2}/SiO{sub 2} + Au multi-nano layered superlattice films at the total thickness of 147 nm using the physical vapor deposition (PVD). Rutherford Backscattering Spectrometry (RBS) and RUMP simulation have been used to determine the stoichiometry of the elements of SiO{sub 2}, Cu and Au in the multilayer films and the thickness of the grown multi-layer films. The 5 MeV Si ions bombardments have been performed using the AAMU-Center for Irradiation of Materials (CIM) Pelletron ion beam accelerator to make quantum (nano) dots and/or quantum (quantum) clusters in the multilayered superlattice thin films to decrease the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and cross plane electrical conductivity. To characterize the thermoelectric generator devices before and after Si ion bombardments we have measured Seebeck coefficient, cross-plane electrical conductivity, and thermal conductivity in the cross-plane geometry for different fluences.

  14. Shift in room-temperature photoluminescence of low-fluence Si{sup +}-implanted SiO{sub 2} films subjected to rapid thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Mingyue, Fu [Department of Avionics Engineering, Air Force Academy, Kangshan, Kaohsiung 820, Taiwan (China); Tsai, J -H [Department of Mathematics and Physics, Air Force Academy, Kangshan, Kaohsiung 820, Taiwan (China); Yang, C -F [Department of Chemical and Materials Engineering, National Kaohsiung University, Nan-Tzu District, Kaohsiung 811, Taiwan (China); Liao, C.-H. [Department of Physics, Chinese Military Academy, Fengshan, Kaohsiung 830, Taiwan (China)], E-mail: fumy@cc.cafa.edu.tw

    2008-12-15

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO{sub 2} films implanted by different doses of Si{sup +} ions. Room-temperature PL from 400-nm-thick SiO{sub 2} films implanted to a dose of 3x10{sup 16} cm{sup -2} shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO{sub 2} films followed by RTA may be effective for tuning Si-based photonic devices.

  15. Synergistic effects of iodine and silver ions co-implanted in 6H–SiC

    International Nuclear Information System (INIS)

    Kuhudzai, R.J.; Malherbe, J.B.; Hlatshwayo, T.T.; Berg, N.G. van der; Devaraj, A.; Zhu, Z.; Nandasiri, M.

    2015-01-01

    Motivated by the aim of understanding the release of fission products through the SiC coating of fuel kernels in modern high temperature nuclear reactors, a fundamental investigation is conducted to understand the synergistic effects of implanted silver (Ag) and iodine (I) in 6H–SiC. The implantation of the individual species, as well as the co-implantation of 360 keV ions of I and Ag at room temperature in 6H–SiC and their subsequent annealing behaviour has been investigated by Secondary Ion Mass Spectrometry (SIMS), Atom Probe Tomography (APT) and X-ray Photoelectron Spectroscopy (XPS). SIMS and APT measurements indicated the presence of Ag in the co-implanted samples after annealing at 1500 °C for 30 h in sharp contrast to the samples implanted with Ag only. In samples implanted with Ag only, complete loss of the implanted Ag was observed. However, for I only implanted samples, some iodine was retained. APT of annealed co-implanted 6H–SiC showed clear spatial association of Ag and I clusters in SiC, which can be attributed to the observed I assisted retention of Ag after annealing. Such detailed studies will be necessary to identify the fundamental mechanism of fission products migration through SiC coatings. - Highlights: • Co-implantation of Ag and I ions in 6H–SiC was performed. • Clear spatial association of Ag and I clusters observed after annealing. • Complete loss of Ag after high temperature annealing of silver only sample. • Iodine was retained in iodine only sample after high temperature annealing. • Iodine was found to play a role in the retention of Ag in the co-implanted samples.

  16. Monte Carlo simulation of channeled and random profiles of heavy ions implanted in silicon at high energy (1.2 MeV)

    International Nuclear Information System (INIS)

    Mazzone, A.M.

    1987-01-01

    In order to study channeling effects and implants of heavy ions with energy of few MeV in silicon, ion distributions are calculated with a Monte Carlo method for axial [(001) axis], planar, and nominally random directions for As + and P + ions implanted into silicon with energies in the range 100 keV to 2 MeV. The calculation indicates an appreciable channeling at the higher energy only for the (001) axis and the (110) planes. For heavy ions with energy in the MeV range the subsidence of channeling into major channels and the disappearance of minor channels are shown

  17. Focussed MeV ion beam implanted waveguides

    Energy Technology Data Exchange (ETDEWEB)

    Von Bibra, M.L.; Roberts, A.; Nugent, K.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    Single mode buried optical waveguides have been fabricated in fused silica by MeV proton implantation using a focussed hydrogen ion beam. The technique has the potential to direct write waveguide devices and produce multi-layered structures, without the need for intermediate steps such as mask fabrication or layered depositions. A micron resolution Confocal Raman Spectrometer has been used to map the distribution of atomic vacancies that forms the waveguiding region. The results are compared with theoretical calculations. Losses of 3 dB cm{sup -1} have been measured in unannealed samples, which decreases to less than 0.5 dB cm{sup -1} after annealing at 500 degrees Celsius. We describe methods for determining the refractive index distribution of single mode buried waveguides from their output intensity distributions via an inversion of the scalar wave equation. (authors). 5 figs.

  18. Focussed MeV ion beam implanted waveguides

    Energy Technology Data Exchange (ETDEWEB)

    Von Bibra, M L; Roberts, A; Nugent, K; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    Single mode buried optical waveguides have been fabricated in fused silica by MeV proton implantation using a focussed hydrogen ion beam. The technique has the potential to direct write waveguide devices and produce multi-layered structures, without the need for intermediate steps such as mask fabrication or layered depositions. A micron resolution Confocal Raman Spectrometer has been used to map the distribution of atomic vacancies that forms the waveguiding region. The results are compared with theoretical calculations. Losses of 3 dB cm{sup -1} have been measured in unannealed samples, which decreases to less than 0.5 dB cm{sup -1} after annealing at 500 degrees Celsius. We describe methods for determining the refractive index distribution of single mode buried waveguides from their output intensity distributions via an inversion of the scalar wave equation. (authors). 5 figs.

  19. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  20. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    Science.gov (United States)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  1. Characterization of Si(1 1 1) crystals implanted with Sb{sup +} ions and annealed by rapid thermal processing

    Energy Technology Data Exchange (ETDEWEB)

    Labbani, R.; Halimi, R.; Laoui, T.; Vantomme, A.; Pipeleers, B.; Roebben, G

    2003-09-15

    Monocrystalline Si(1 1 1) targets are implanted (at room temperature) with antimony ions at 120 keV energy to 5x10{sup 14} or 5x10{sup 15} Sb{sup +} cm{sup -2} dose. The samples are heat treated by means of rapid thermal processing (RTP) at 1000 deg. C during 60 s, under nitrogen atmosphere. In this work, we report the measured evolution of the silicon surface damage and the radiation damage recovery in relation to antimony dose and RTP processing. We also study the behavior of antimony dopant into Si(1 1 1) specimens. The investigation is carried out by He{sup +} Rutherford backscattering spectrometry (RBS; operating at 1.57 MeV energy in both random and channeling modes), X-ray diffraction (XRD) and atomic force microscopy (AFM) techniques. It is shown that a good surface damage recovery is obtained for all the annealed samples. However, after RTP, a significant loss of antimony has occurred for the specimens which are implanted with 5x10{sup 15} Sb{sup +} cm{sup -2} dose. This suggests an antimony out-diffusion. Finally, a good morphological characterization of the specimens is provided by AFM.

  2. Mechanical properties of MeV ion-irradiated SiC/SiC composites characterized by indentation technique

    International Nuclear Information System (INIS)

    Park, J.Y.; Park, K.H.; Kim, W.; Kishimoto, H.; Kohyama, A.

    2007-01-01

    Full text of publication follows: SiC/SiC composites have been considered as a structural material for advanced fusion concepts. In the core of fusion reactor, those SiC/SiC composites are experienced the complex attacks such as strong neutron, high temperature and transmuted gases. One of the vital data for designing the SiC/SiC composites to the fusion reactor is mechanical properties under the severe neutron irradiation. In this work, various SiC/SiC composites were prepared by the different fabrication processes like CVI (chemical vapor infiltration), WA-CVI (SiC whisker assisted CVI) and hot-pressed method. The expected neutron irradiation was simulated by a silicon self-ion irradiation at a DuET facility; Dual-beam for Energy Technologies, Kyoto University. The irradiation temperature were 600 deg. C and 1200 deg. C, and the irradiation does were 5 dpa and 20 dpa, respectively. The 5.1 MeV Si ions were irradiated to the intrinsic CVI-SiC, SiC whisker reinforced SiC and SiC composites produced by hot-press method. The mechanical properties like hardness, elastic modulus and fracture toughness were characterized by an indentation technique. The ion irradiation caused the increase of the hardness and fracture toughness, which was dependent on the irradiation temperature. SiC whisker reinforcement in the SiC matrix accelerated the increase of the fracture toughness by the ion irradiation. For SiC/SiC composites after the ion irradiation, this work will provide the additional data for the mechanical properties as well as the effect of SiC whisker reinforcement. (authors)

  3. Depth profile of In and As in Si measured by RBS with He and C ions

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Q.; Fang, Z. [Newcastle Univ., NSW (Australia). Dept. of Physics; Ophel, T.R. [Australian National Univ., Canberra, ACT (Australia). Dept. of Nuclear Physics

    1993-12-31

    The depth profile of As and In implanted into Si have been measured by RBS (Rutherford Backscattering Spectrometry) with 2 MeV He ions and 6 MeV C ions. Advantages of enhanced depth and mass resolution with C ions have been demonstrated over the conventional He RBS. More reliable information for the depth profile of In and As in Si has been obtained. 12 refs., 3 figs.

  4. Depth profile of In and As in Si measured by RBS with He and C ions

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Q; Fang, Z [Newcastle Univ., NSW (Australia). Dept. of Physics; Ophel, T R [Australian National Univ., Canberra, ACT (Australia). Dept. of Nuclear Physics

    1994-12-31

    The depth profile of As and In implanted into Si have been measured by RBS (Rutherford Backscattering Spectrometry) with 2 MeV He ions and 6 MeV C ions. Advantages of enhanced depth and mass resolution with C ions have been demonstrated over the conventional He RBS. More reliable information for the depth profile of In and As in Si has been obtained. 12 refs., 3 figs.

  5. Studies of phase formation in CoSi2 buried layers fabricated using ion implantation

    International Nuclear Information System (INIS)

    Galaev, A.A.; Parkhomenko, Yu.N.; Podgornyi, D.A.; Shcherbachev, K.D.

    1998-01-01

    The processes of the formation of cobalt disilicide buried layers in silicon are studied under different conditions of implantation with Co. In particular, the effects of the implantation dose and the postimplantation annealing temperature on the state of the Co-implanted layer are considered. Two types of heteroepitaxial Si/CoSi 2 /Si structures are obtained with the conducting layers of thicknesses 70 and 90 nm buried at the depths 80 and 10 nm, respectively

  6. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  7. Solid-state microwave annealing of ion-implanted 4H-SiC

    International Nuclear Information System (INIS)

    Sundaresan, Siddarth G.; Tian, Yong-lai; Ridgway, Mark C.; Mahadik, Nadeemullah A.; Qadri, Syed B.; Rao, Mulpuri V.

    2007-01-01

    Solid-state microwave annealing was performed at temperatures up to 2120 deg, C for 30 s on ion-implanted 4H-SiC in N 2 ambient. The surface roughness in the samples annealed without a surface cap at 1950 deg, C is 2.65 nm for 10 μm x 10 μm atomic force microscopy scans. The sheet resistances measured on Al + - and P + -implanted 4H-SiC, annealed by microwaves, are lower than the best conventional furnace annealing results reported in literature. X-ray diffraction spectra indicate alleviation of the lattice damage induced by the ion-implantation and also incorporation of most of the implanted species into substitutional lattice sites

  8. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  9. Correlation between the structure modification and conductivity of 3 MeV Si ion-irradiated polyimide

    International Nuclear Information System (INIS)

    Sun Youmei; Zhu Zhiyong; Li Changlin

    2002-01-01

    The surface modification of the polyimide (PI/Kapton) films was carried out by 3 MeV Si + implantation to fluences ranging from 1x10 12 to 1.25x10 15 ions/cm 2 . Fourier transform infrared (FTIR), Raman and ultraviolet/visible (UV/Vis) spectroscopes were employed to investigate the chemical degradation of function groups in the irradiated layer. FTIR results show that the absorbance of typical function group decreases exponentially as a function of fluence. The damage cross-section of typical bonds of PI was evaluated from the FTIR spectra. Raman analysis shows the absorbed dose for destruction of all function groups is above 218 MGy. The red shifting of the absorption edge from UV to visible reveals the band gap closing which results from increase of the cluster size. The production efficiency of the chromophores was discussed according to UV/Vis analysis. Irradiation dramatically enhances the electrical conductivity and the sheet resistivity in our experiment descends nearly 10 orders of magnitude compared with its intrinsic value

  10. The annealing behavior of hydrogen implanted into Al-Si alloy

    Energy Technology Data Exchange (ETDEWEB)

    Ogura, Masahiko; Yamaji, Norisuke; Imai, Makoto; Itoh, Akio; Imanishi, Nobutsugu [Kyoto Univ. (Japan). Faculty of Engineering

    1997-03-01

    We have studied effects of not only defects but also an added elements on trap-sites of hydrogen in metals. For the purpose, we observed depth profiles and thermal behaviors of hydrogen implanted into Al-1.5at.%Si alloy samples in an implantation-temperature range of liquid nitrogen temperature (LNT) to 373K at different doses. The results were compared with those for pure aluminum samples. It was found that hydrogen is trapped as molecules in grain boundaries of Al/Si. (author)

  11. Study of thermal treated a-Si implanted with Er and O ions

    CERN Document Server

    Plugaru, R; Piqueras, J; Tate, T J

    2002-01-01

    Visible luminescence of amorphous silicon layers either implanted with Er or co-implanted with Er and O and subsequently annealed in nitrogen has been investigated by cathodoluminescence (CL) in a scanning electron microscope. Co-implanted samples show a more intense luminescence, which is revealed by annealing at lower temperatures than the samples implanted only with erbium. Thermal treatments cause the formation of erbium oxide as well as Er-Si complexes or precipitates. Violet-blue luminescence has been found from CL images and spectra to be related to Er-Si precipitates. Emission in the green-red range is attributed to oxide species.

  12. 29Si(d,3He)28Al reaction at 29 MeV

    International Nuclear Information System (INIS)

    Vernotte, J.; Berrier-Ronsin, G.; Fortier, S.; Hourani, E.; Kalifa, J.; Khendriche, A.; Maison, J.M.; Rosier, L.H.; Rotbard, G.

    1994-01-01

    The 29 Si(d, 3 He) 28 Al reaction has been investigated at 29 MeV incident energy. Observations using a split-pole magnetic spectrograph have been made of 55 levels of 28 Al in the range of excitation energy between 0 and 6.7 MeV. Most of them have been identified with 28 Al levels which have been previously observed by other techniques. The spectroscopic factors have been obtained for 23 of these levels through distorted-wave Born approximation analyses of measured angular distributions. The levels at E x =3.105 and 3.762 MeV have been definitely assigned J π =1 + and 0 + , respectively. Four levels which are populated through the pickup of a l p =1 proton have been observed at E x =4.998, 5.406, 6.021, and 6.652 MeV. The excitation energies and spectroscopic factors for positive-parity states were compared with the results of a recent, complete sd-shell space, shell-model calculation. This comparison led to the identification of 21 shell-model levels with experimental levels. This comparison seems accurate enough to make very likely the J π =3 + assignment for the levels at E x =2.988 and 4.597 MeV which were previously assigned J π =(1,3) +

  13. Lattice sites and stability of implanted Er in FZ and CZ Si

    CERN Document Server

    Wahl, U; Langouche, G; Vantomme, A

    1998-01-01

    We report on the lattice location of $^{167}$Er in Si measured by conversion electron emission channeling. In both FZ and CZ Si, a high fraction of Er (>65%) occupies near-tetrahedral interstitial (T) sites directly following 60 keV room temperature implantation at doses of 6 $\\times 10^{12}$ cm$^{-2}$. For higher doses, the as-implanted near-T fractions of Er visible by emission channeling are smaller, due to the beginning of amorphization. Following the recovery of implantation damage at 600°C, more than 70% of Er is found on near-T sites in both FZ and CZ Si. In FZ Si, Er exhibits a remarkable thermal stability and only prolonged annealing for several hours reduces the near-T fraction. On the other hand, annealing of CZ Si at 900°C for more than 10 minutes results in the majority of Er probes in sites of very low symmetry or disordered surroundings.

  14. Room-temperature annealing of Si implantation damage in InP

    International Nuclear Information System (INIS)

    Akano, U.G.; Mitchell, I.V.

    1991-01-01

    Spontaneous recovery at 295 K of Si implant damage in InP is reported. InP(Zn) and InP(S) wafers of (100) orientation have been implanted at room temperature with 600 keV Si + ions to doses ranging from 3.6x10 11 to 2x10 14 cm -2 . Room-temperature annealing of the resultant damage has been monitored by the Rutherford backscattering/channeling technique. For Si doses ≤4x10 13 cm -2 , up to 70% of the initial damage (displaced atoms) annealed out over a period of ∼85 days. The degree of recovery was found to depend on the initial level of damage. Recovery is characterized by at least two time constants t 1 2 ∼100 days. Anneal rates observed between 295 and 375 K are consistent with an activation energy of 1.2 eV, suggesting that the migration of implant-induced vacancies is associated with the reordering of the InP lattice

  15. On formation of silicon nanocrystals under annealing SiO2 layers implanted with Si ions

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Yanovskaya, S.G.; Volodin, V.A.; Kesler, V.G.; Lejer, A.F.; Ruault, M.-O.

    2002-01-01

    Raman scattering, X-ray photoelectron spectroscopy, and photoluminescence have been used to study the formation of silicon nanocrystals in SiO 2 implanted with Si ions. Si clusters have been formed at once in the postimplanted layers, providing the excessive Si concentration more ∼ 3 at. %. Si segregation with Si-Si 4 bonds formation is enhanced as following annealing temperature increase, however, the Raman scattering by Si clusters diminishes. The effect is explained by a transformation of the chain-like Si clusters into compact phase nondimensional structures. Segregation of Si nanoprecipitates had ended about 1000 deg C, but the strong photoluminescence typical for Si nanocrystals manifested itself only after 1100 deg C [ru

  16. POSITRON-ELECTRON DECAY OF SI-28, AT AN EXCITATION-ENERGY OF 50-MEV

    NARCIS (Netherlands)

    BUDA, A; BACELAR, JC; BALANDA, A; VANDERPLOEG, H; SUJKOWSKI, Z; VANDERWOUDE, A

    1993-01-01

    The electron-positron pair decay of Si-28 at 50 MeV excitation produced by the isospin T=0 (alpha + Mg-24) and the mixed isospin T=0,1 (He-3 + Mg-25) reactions has been studied using a special designed Positron-Electron pair spectrometer PEPSI.

  17. Lattice damage in ion-implanted silicon-germanium alloys

    International Nuclear Information System (INIS)

    Haynes, T.E.; Holland, O.W.

    1992-08-01

    The damage produced in Si 1-x Ge x alloys (0≤x≤1) by implantation of 70--100 keV 30 Si + has been measured as a function of temperature and fluence by ion channeling. For all compositions, the damage efficiency decreased sharply as the implant temperature was increased between room temperature and 150 degrees C. Furthermore, the damage efficiency in alloys of intermediate compositions (0.34≤x≤0.5) exceeds that in Ge, especially at elevated temperatures, despite the larger cascade energy density in Ge. It is shown that this behavior can be described based on a model in which the point-defect mobility is the dominant factor controlling damage retention, rather than the cascade energy density. This approach provides a framework for understanding other temperature-dependent phenomena related to damage growth in Si-Ge alloys including dose-rate effects and damage saturation in MeV implantation

  18. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  19. Effects of recoil-implanted oxygen on depth profiles of defects and annealing processes in P{sup +}-implanted Si studied using monoenergetic positron beams

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Moriya, Tsuyoshi; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Kitano, Tomohisa; Watanabe, Masahito; Kawano, Takao; Suzuki, Ryoichi; Ohdaira, Toshiyuki; Mikado, Tomohisa

    1996-04-01

    Effects of oxygen atoms recoiled from SiO{sub 2} films on depth profiles of defects and annealing processes in P{sup +}-implanted Si were studied using monoenergetic positron beams. For an epitaxial Si specimen, the depth profile of defects was found to be shifted toward the surface by recoil implantation of oxygen atoms. This was attributed to the formation of vacancy-oxygen complexes and a resultant decrease in the diffusion length of vacancy-type defects. The recoiled oxygen atoms stabilized amorphous regions introduced by P{sup +}-implantation, and the annealing of these regions was observed after rapid thermal annealing (RTA) at 700degC. For a Czochralski-grown Si specimen fabricated by through-oxide implantation, the recoiled oxygen atoms introduced interstitial-type defects upon RTA below the SiO{sub 2}/Si interface, and such defects were dissociated by annealing at 1000degC. (author)

  20. Poly-Si gate engineering for advanced CMOS transistors by germanium implantation

    International Nuclear Information System (INIS)

    Bourdon, H.; Juhel, M.; Oudet, B.; Breil, N.; Lenoble, D.

    2005-01-01

    Standard gate materials are compared to Ge implanted poly-Si and deposited poly-SiGe. It is demonstrated in this paper that the electrical resistance of the gate is significantly reduced via the use of poly-SiGe (from 30% to 40% decrease in resistance). Similarly, we show via specific optimization that localized Ge implantation is also suitable to reduce gate resistance. Physical characterizations are performed to determine the 'root' causes at the origin of these improvements. In line with future publications showing strong benefits on CMOS device performance, grain size effects seem to be the main mechanisms explaining the measured improvement

  1. TEM studies of P+ implanted and subsequently laser annealed Si

    International Nuclear Information System (INIS)

    Sadana, D.K.; Wilson, M.C.; Booker, G.R.; Washburn, J.

    1979-05-01

    The present investigation is concerned with laser annealing of P + implanted Si. The aim of the work was to study the crystallization behavior of damage structure occurring due to high dose rate implantation using transmission electron microscopy (TEM) as the method of examination

  2. Synthesis of SiC microstructures in Si technology by high dose carbon implantation: Etch-stop properties

    International Nuclear Information System (INIS)

    Serre, C.; Perez-Rodriguez, A.; Romano-Rodriguez, A.; Calvo-Barrio, L.; Morante, J.R.; Esteve, J.; Acero, M.C.; Skorupa, W.; Koegler, R.

    1997-01-01

    The use of high dose carbon ion implantation in Si for the production of membranes and microstructures is investigated. Si wafers were implanted with carbon doses of 10 17 and 5 x 10 17 cm -2 , at an energy of 300 keV and a temperature of 500 C. The structural analysis of these samples revealed the formation of a highly stable buried layer of crystalline β-SiC precipitates aligned with the Si matrix. The etch-stop properties of this layer have been investigated using tetramethyl-ammonium hydroxide as etchant solution. Secondary ion mass spectrometry measurements performed on the etched samples have allowed an estimate of the minimum dose needed for obtaining an etch-stop layer to a value in the range 2 to 3 x 10 17 ions/cm 2 . This behavior has been explained assuming the existence of a percolation process in a SiC/Si binary system. Finally, very thin crystalline membranes and self-standing structures with average surface roughness in the range 6 to 7 nm have been obtained

  3. Submicron confinement effect on electrical activation of B implanted in Si

    International Nuclear Information System (INIS)

    Bruno, E.; Mirabella, S.; Impellizzeri, G.; Priolo, F.; Giannazzo, F.; Raineri, V.; Napolitani, E.

    2005-01-01

    In this work we studied the effect of B implantation in Si through submicron laterally confined area on B clustering and its electrical activation. For this study, we implanted B 3 keV into a Si wafer grown by Molecular Beam Epitaxy (MBE) through a patterned oxide mask with opening widths down to 0.38 μm. Then, we annealed the sample at 800 deg. C for several times up to 120 min and monitored the 2D carrier profile by quantitative high resolution Scanning Capacitance Microscopy (SCM). We show that by reducing the opening widths, not only the B clustering is strongly reduced, but also the B cluster dissolution is accelerated. This demonstrates the beneficial role of implanted B confinement on the B electrical activation. The above results have a significant impact in the modern Si based electronic device engineering

  4. Charge accumulation in the buried oxide of SOI structures with the bonded Si/SiO2 interface under γ-irradiation: effect of preliminary ion implantation

    International Nuclear Information System (INIS)

    Naumova, O V; Fomin, B I; Ilnitsky, M A; Popov, V P

    2012-01-01

    In this study, we examined the effect of preliminary boron or phosphorous implantation on charge accumulation in the buried oxide of SOI-MOSFETs irradiated with γ-rays in the total dose range (D) of 10 5 –5 × 10 7 rad. The buried oxide was obtained by high-temperature thermal oxidation of Si, and it was not subjected to any implantation during the fabrication process of SOI structures. It was found that implantation with boron or phosphorous ions, used in fabrication technologies of SOI-MOSFETs, increases the concentration of precursor traps in the buried oxide of SOI structures. Unlike in the case of boron implantation, phosphorous implantation leads to an increased density of states at the Si/buried SiO 2 interface during subsequent γ-irradiation. In the γ-irradiated SOI-MOSFETs, the accumulated charge density and the density of surface states in the Si/buried oxide layer systems both vary in proportion to k i ln D. The coefficients k i for as-fabricated and ion-implanted Si/buried SiO 2 systems were evaluated. From the data obtained, it was concluded that a low density of precursor hole traps was a factor limiting the positive charge accumulation in the buried oxide of as-fabricated (non-implanted) SOI structures with the bonded Si/buried SiO 2 interface. (paper)

  5. Design and application of ion-implanted polySi passivating contacts for interdigitated back contact c-Si solar cells

    International Nuclear Information System (INIS)

    Yang, Guangtao; Ingenito, Andrea; Hameren, Nienke van; Isabella, Olindo; Zeman, Miro

    2016-01-01

    Ion-implanted passivating contacts based on poly-crystalline silicon (polySi) are enabled by tunneling oxide, optimized, and used to fabricate interdigitated back contact (IBC) solar cells. Both n-type (phosphorous doped) and p-type (boron doped) passivating contacts are fabricated by ion-implantation of intrinsic polySi layers deposited via low-pressure chemical vapor deposition and subsequently annealed. The impact of doping profile on the passivation quality of the polySi doped contacts is studied for both polarities. It was found that an excellent surface passivation could be obtained by confining as much as possible the implanted-and-activated dopants within the polySi layers. The doping profile in the polySi was controlled by modifying the polySi thickness, the energy and dose of ion-implantation, and the temperature and time of annealing. An implied open-circuit voltage of 721 mV for n-type and 692 mV for p-type passivating contacts was achieved. Besides the high passivating quality, the developed passivating contacts exhibit reasonable high conductivity (R sh n-type  = 95 Ω/□ and R sh p-type  = 120 Ω/□). An efficiency of 19.2% (V oc  = 673 mV, J sc  = 38.0 mA/cm 2 , FF = 75.2%, and pseudo-FF = 83.2%) was achieved on a front-textured IBC solar cell with polySi passivating contacts as both back surface field and emitter. By improving the front-side passivation, a V OC of 696 mV was also measured

  6. Controlled fabrication of Si nanocrystal delta-layers in thin SiO{sub 2} layers by plasma immersion ion implantation for nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse Cedex 04 (France); Spiegel, Y.; Torregrosa, F. [IBS, Rue G Imbert Prolongée, ZI Peynier-Rousset, 13790 Peynier (France); Normand, P.; Dimitrakis, P.; Kapetanakis, E. [NCSRD, Terma Patriarchou Gregoriou, 15310 Aghia Paraskevi (Greece); Sahu, B. S.; Slaoui, A. [ICube, 23 Rue du Loess, 67037 Strasbourg Cedex 2 (France)

    2013-12-16

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO{sub 2} films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories.

  7. A study of vacancy-type defects in B+-implanted SiO2/Si by a slow positron beam

    International Nuclear Information System (INIS)

    Uedono, Akira; Tanigawa, Shoichiro; Sugiura, Jun; Ogasawara, Makoto.

    1989-01-01

    Variable-energy (0∼30 keV) positron beam studies have been carried out on 80 keV B + -implanted SiO 2 (43 nm)/Si specimens. Doppler broadening profiles of the positron annihilation as a function of the incident positron energy were shown to be quite sensitive for the detection of vacancy-type defects introduced by B + -implantation. The average depth of the defected regions was found to shift towards the surface of the specimen with increasing the dose of B + ions. This effect is attributed to the accumulation of vacancy-type defects at the SiO 2 /Si interface. Dominant defect species were identified as vacancy clusters by their annealing stage. (author)

  8. Origin and behavior of main electron traps in Si-implanted GaAs

    International Nuclear Information System (INIS)

    Fang, Z.Q.; Yamamoto, H.; Look, D.C.

    1990-01-01

    The electron traps in Si-implanted active layers (n ∼ 10 17 cm -3 ) have been studied by capacitance and conductance DLTS techniques in conjunction with different anneal conditions, which include rapid thermal anneals at different temperatures and furnace anneals with Si 3 N 4 cap or capless in an AsH 3 atmosphere. As compared to the electron traps in as-grown bulk n-GaAs (n ∼ 4 x 10 16 cm -3 ), nearly the same electron traps, i.e. EL2, EL3, EL4, EL5, EL6, and EL9 can be observed in the Si-implanted layers. Through a comparison with the annealing behavior of the main electron traps in bulk n-GaAs, the processing associated origins of some of the traps (EL2, EL3, EL4, EL5 and EL9) observed in Si-implanted GaAs layers have been determined. For some Si-implanted capped with Si 3 N 4 and furnace annealed, traps EL3 and EL4 dominate the trap EL2. In such layers it is found that emission due to EL3 is reduced while emission from EL12 is augmented by increasing the filling pulse width from 10 μs to 5 x 10 3 μs. In this paper phenomenon is explained in terms of a defect reaction enhanced by electron capture, showing a metastability or bistability

  9. Location of trapped charge in aluminum-implanted SiO2

    International Nuclear Information System (INIS)

    DiMaria, D.J.; Young, D.R.; Hunter, W.R.; Serrano, C.M.

    1978-01-01

    The position of the centroid of electrons trapped on sites resulting from aluminum implantation into SiO 2 is measured by using the photo I-V technique for energies from 15 to 40 keV, oxide thicknesses from 49 to 140 nm, and post-implant annealing temperature from 600 to 1050 0 C in N 2 for 30 min. The centroid of the trapped electrons is found to be identical to that of the implanted aluminum from SIMS measurements, regardless of annealing temperature from 600 to 1050 0 C, and located closer (by less than 9 nm) to the Al--SiO 2 interface than predicted from the Lindhard-Scharff-Schott (LSS) calculations of Gibbons, Johnson, and Mylroie. Comparison of centroids determined from photo I-V and SIMS measurements as a function of SiO 2 thickness also implies that the distributions of the ions and negative trapped charge are the same. The trapping behavior of these sites is discussed in the accompanying paper by Young et al

  10. Implantation damage in heavy gas implanted 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, C. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Nicolaï, J., E-mail: julien.nicolai@univ-poitiers.fr [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Declémy, A. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Gilabert, E. [Centre d’Etude Nucléaire de Bordeaux-Gradignan, 33175 Gradignan Cedex (France); Beaufort, M.-F.; Barbot, J.-F. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France)

    2016-05-01

    Single crystals of SiC were implanted with heavy inert gases (Xe, Ar) at elevated temperatures (300–800 °C) and for a large range of fluence (1 × 10{sup 12}–1 × 10{sup 15} ions cm{sup −2}). Thermodesorption measurements suggest that gas is trapped by implantation-induced vacancy-type defects impeding any gas diffusion. The damage accumulation versus dose was studied through the tensile elastic strain determined by using X-ray diffraction. Results show that at low dose the strain is predictable via a thermally activated direct impact model. The low thermal activation energy at saturation suggests a dynamic recovery process dominated by the migration of interstitial-type defects as its relaxation during post thermal annealing. As compared with light-gas implantation the heavy-gas to defect ratio is low enhancing the formation of strongly perturbed zones rather than the formation of bubble precursors.

  11. Silicon exfoliation by hydrogen implantation: Actual nature of precursor defects

    Energy Technology Data Exchange (ETDEWEB)

    Kuisseu, Pauline Sylvia Pokam, E-mail: pauline-sylvia.pokam-kuisseu@cnrs-orleans.fr [CEMHTI-CNRS, 3A, rue de la férollerie, 45071 Orléans (France); Pingault, Timothée; Ntsoenzok, Esidor [CEMHTI-CNRS, 3A, rue de la férollerie, 45071 Orléans (France); Regula, Gabrielle [IM2NP-CNRS-Université d’Aix-Marseille, Avenue Escadrille Normandie Niemen, 13397 Marseille (France); Mazen, Frédéric [CEA-Leti, MINATEC campus, 17, rue des Martyrs, 38054 Grenoble Cedex 9 (France); Sauldubois, Audrey [Université d’Orléans, rue de Chartres – Collegium ST, 45067 Orléans (France); Andreazza, Caroline [ICMN-CNRS-Université d’Orléans, 1b rue de la férollerie, 45071 Orléans (France)

    2017-06-15

    MeV energy hydrogen implantation in silicon followed by a thermal annealing is a very smart way to produce high crystalline quality silicon substrates, much thinner than what can be obtained by diamond disk or wire sawing. Using this kerf-less approach, ultra-thin substrates with thicknesses between 15 µm and 100 µm, compatible with microelectronic and photovoltaic applications are reported. But, despite the benefits of this approach, there is still a lack of fundamental studies at this implantation energy range. However, if very few papers have addressed the MeV energy range, a lot of works have been carried out in the keV implantation energy range, which is the one used in the smart-cut® technology. In order to check if the nature and the growth mechanism of extended defects reported in the widely studied keV implantation energy range could be extrapolated in the MeV range, the thermal evolution of extended defects formed after MeV hydrogen implantation in (100) Si was investigated in this study. Samples were implanted at 1 MeV with different fluences ranging from 6 × 10{sup 16} H/cm{sup 2} to 2 × 10{sup 17} H/cm{sup 2} and annealed at temperatures up to 873 K. By cross-section transmission electron microscopy, we found that the nature of extended defects in the MeV range is quite different of what is observed in the keV range. In fact, in our implantation conditions, the generated extended defects are some kinds of planar clusters of gas-filled lenses, instead of platelets as commonly reported in the keV energy range. This result underlines that hydrogen behaves differently when it is introduced in silicon at high or low implantation energy. The activation energy of the growth of these extended defects is independent of the chosen fluence and is between (0.5–0.6) eV, which is very close to the activation energy reported for atomic hydrogen diffusion in a perfect silicon crystal.

  12. P- and N-type implantation doping of GaN with Ca and O

    International Nuclear Information System (INIS)

    Zolper, J.C.; Wilson, R.G.; Pearton, S.J.

    1996-01-01

    III-N photonic devices have made great advances in recent years following the demonstration of doping of GaN p-type with Mg and n-type with Si. However, the deep ionization energy level of Mg in GaN (∼ 160 meV) limits the ionized of acceptors at room temperature to less than 1.0% of the substitutional Mg. With this in mind, the authors used ion implantation to characterize the ionization level of Ca in GaN since Ca had been suggested by Strite to be a shallow acceptor in GaN. Ca-implanted GaN converted from n-to-p type after a 1,100 C activation anneal. Variable temperature Hall measurements give an ionization level at 169 meV. Although this level is equivalent to that of Mg, Ca-implantation may have advantages (shallower projected range and less straggle for a given energy) than Mg for electronic devices. In particular, the authors report the first GaN device using ion implantation doping. This is a GaN junction field effect transistor (JFET) which employed Ca-implantation. A 1.7 microm JFET had a transconductance of 7 mS/mm, a saturation current at 0 V gate bias of 33 mA/mm, a f t of 2.7 GHz, and a f max of 9.4 GHz. O-implantation was also studied and shown to create a shallow donor level (∼ 25 meV) that is similar to Si. SIMS profiles of as-implanted and annealed samples showed no measurable redistribution of either Ca or O in GaN at 1,125 C

  13. Si-O compound formation by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Hensel, E.; Wollschlaeger, K.; Kreissig, U.; Skorupa, W.; Schulze, D.; Finster, J.

    1985-01-01

    High dose oxygen ion implantation into silicon at 30 keV was performed to produce understoichiometric and stoichiometric surface oxide layers of approx. 160 nm thickness. The oxygen depth profile and oxide stoichiometry was determined by RBS and XPS. Si-O compound formation was found by IR spectroscopy and XPS in the unannealed samples as well as after target heating, furnace or flash lamp annealing. As implanted understoichiometric layers consist of random bonding like SiOsub(x) (O 2 after annealing. Unannealed stoichiometric layers are bond strained SiO 2 . The activation energies of demixing and of the annealing of bond strains are determined to 0.19 and 0.13 eV, respectively. The removing of bond strains occurs at temperatures >= 800 C in a time shorter than 1 s. The SiO 2 /Si transition region of unannealed stoichiometric layers consists of SiOsub(x) with an extent of about 10 nm. After annealing this extent diminishes to 0.8 to 1 nm in consequence of oxidation by excess oxygen from the overstoichiometric oxide region. This thickness is comparable with that of thermal oxide. (author)

  14. Positron-electron decay of 28Si at an excitation energy of 50 MeV

    International Nuclear Information System (INIS)

    Buda, A.; Bacelar, J.C.; Balanda, A.; Ploeg, H. van der; Sujkowski, Z.; Woude, A. van der

    1993-01-01

    The electron-positron pair decay of 28 Si at 50 MeV excitation produced by the isospin T=0 (α+ 24 Mg) and the mixed isospin T=0, 1 ( 3 He+ 25 Mg) reactions has been studied using a special designed Positron-Electron pair spectrometer PEPSI. (orig.)

  15. Surface morphologies of excimer-laser annealed BF2+ implanted Si diodes

    International Nuclear Information System (INIS)

    Burtsev, A.; Schut, H.; Nanver, L.K.; Veen, A. van; Slabbekoorn, J.; Scholtes, T.L.M.

    2004-01-01

    Laser-induced surface roughness and damage formation in ultra-shallow n + -p and p + -n junctions, formed by low energy (5 keV) As + and BF 2 + implantations in Si, respectively, with a dose of 1 x 10 15 cm -2 have been investigated by atomic force microscopy (AFM) and Positron Annihilation Doppler Broadening (PADB) technique. The Si surface roughness is found to increase with laser energy density, and reaches a value of 3.5 nm after excimer-laser annealing (ELA) at 1100 mJ/cm 2 . However, anomalous behavior is witnessed for BF 2 + -implanted Si sample at 800 mJ/cm 2 , at which energy very high surface protrusions up to 9 nm high are observed. By PADB this behavior is correlated to extensive deep microcavity formation in the Si whereby the volatile F 2 fraction can accumulate and evaporate/out-diffuse, leading to Si surface roughening. The consequences for the diode characteristics and contact resistivity are examined

  16. Synthesis of metastable A-15 ''Nb3Si'' by ion implantation and on its superconducting transition temperature

    International Nuclear Information System (INIS)

    Clapp, M.T.; Rose, R.M.

    1980-01-01

    The authors have found a new technique for the synthesis of metastable compounds of well-defined composition: namely, ion implantation of a selected element into the desired crystal structure. [M.T. Clapp and R.M. Rose, Appl. Phys. Lett. 33, 205 (1978)]. Starting with a substrate material of A-15 Nb 3 Al/sub 0.9/Si/sub 0.1/, two basic approaches were tried towards the formation of A-15 Nb 3 Si by Si implantation: (1) direct replacement of the Al by Si and (2) implantation into a surface layer depleted of Al. This latter approach proved to be the most successful. It consisted of removing the Al by a diffusion anneal and replacing the Al deficiency by sequential Si implantations. Upon subsequent heat treatment a surface layer of A-15 Nb 3 Al/sub 0.2/Si/sub 0.8/ was produced. Details of the experimental procedure and a discussion of the superconducting transition temperature measurements of the implanted surfaces are presented

  17. Amorphous-crystalline interface evolution during Solid Phase Epitaxy Regrowth of SiGe films amorphized by ion implantation

    International Nuclear Information System (INIS)

    D'Angelo, D.; Piro, A.M.; Mirabella, S.; Bongiorno, C.; Romano, L.; Terrasi, A.; Grimaldi, M.G.

    2007-01-01

    Transmission Electron Microscopy was combined with Time Resolved Reflectivity to study the amorphous-crystalline (a-c) interface evolution during Solid Phase Epitaxy Regrowth (SPER) of Si 0.83 Ge 0.17 films deposited on Si by Molecular Beam Epitaxy and amorphized with Ge + ion implantation. Starting from the Si/SiGe interface, a 20 nm thick layer regrows free of defects with the same SPER rate of pure Si. The remaining SiGe regrows with planar defects and dislocations, accompanied by a decrease of the SPER velocity. The sample was also studied after implantation with B or P. In these cases, the SPER rate raises following the doping concentration profile, but no difference in the defect-free layer thickness was observed compared to the un-implanted sample. On the other hand, B or P introduction reduces the a-c interface roughness, while B-P co-implantation produces roughness comparable to the un-implanted sample

  18. Formation of slab waveguides in eulytine type BGO and CaF{sub 2} crystals by implantation of MeV nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Banyasz, I., E-mail: bakonyjako@yahoo.es [Department of Crystal Physics, Research Institute for Solid State Physics and Optics of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Berneschi, S. [Centro Studi e Ricerche ' Enrico Fermi' , Piazza del Viminale 2, 00184 Roma (Italy); MDF-Lab, ' ' Nello Carrara' ' Institute of Applied Physics, IFAC-CNR, Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy); Khanh, N.Q.; Lohner, T. [Research Institute for Technical Physics and Materials Science of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Lengyel, K. [Department of Crystal Physics, Research Institute for Solid State Physics and Optics of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Fried, M. [Research Institute for Technical Physics and Materials Science of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Peter, A. [Department of Crystal Physics, Research Institute for Solid State Physics and Optics of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Petrik, P.; Zolnai, Z. [Research Institute for Technical Physics and Materials Science of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Watterich, A. [Department of Crystal Physics, Research Institute for Solid State Physics and Optics of the Hungarian Academy of Sciences, P.O. Box 49, H-1525 Budapest (Hungary); Nunzi-Conti, G.; Pelli, S.; Righini, G.C. [MDF-Lab, ' ' Nello Carrara' ' Institute of Applied Physics, IFAC-CNR, Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy)

    2012-09-01

    Ion implantation, compared with other waveguide fabrication methods, has some unique advantages. It has proved to be a universal technique for producing waveguides in most optical materials. The authors of the present article reported fabrication of channel and slab waveguides in an Erbium-doped tungsten tellurite glass by implantation of MeV energy N{sup +} ions. The present article reports successful adaptation of the same technique to the fabrication of slab waveguides in eulytine type bismuth germanate (BGO) and CaF{sub 2} crystals. This is the first report on successful waveguide fabrication in these materials using 3.5 MeV N{sup +} ions at implanted fluences between 5 Multiplication-Sign 10{sup 15} and 4 Multiplication-Sign 10{sup 16} ions/cm{sup 2}. Spectroscopic ellipsometric measurements revealed the existence of guiding structures in both materials. M-line spectroscopic measurements indicated guiding effect in the as-implanted BGO up to 1550 nm and up to 980 nm in the as-implanted CaF{sub 2}. Ion implantation induced the appearance of three peaks in the UV/Vis absorption spectrum of CaF{sub 2}, that can be attributed to colour centres.

  19. Graphene synthesis on SiC: Reduced graphitization temperature by C-cluster and Ar-ion implantation

    International Nuclear Information System (INIS)

    Zhang, R.; Li, H.; Zhang, Z.D.; Wang, Z.S.; Zhou, S.Y.; Wang, Z.; Li, T.C.; Liu, J.R.; Fu, D.J.

    2015-01-01

    Thermal decomposition of SiC is a promising method for high quality production of wafer-scale graphene layers, when the high decomposition temperature of SiC is substantially reduced. The high decomposition temperature of SiC around 1400 °C is a technical obstacle. In this work, we report on graphene synthesis on 6H–SiC with reduced graphitization temperature via ion implantation. When energetic Ar, C 1 and C 6 -cluster ions implanted into 6H–SiC substrates, some of the Si–C bonds have been broken due to the electronic and nuclear collisions. Owing to the radiation damage induced bond breaking and the implanted C atoms as an additional C source the graphitization temperature was reduced by up to 200 °C

  20. A HRXRD and nano-indentation study on Ne-implanted 6H–SiC

    International Nuclear Information System (INIS)

    Xu, C.L.; Zhang, C.H.; Li, J.J.; Zhang, L.Q.; Yang, Y.T.; Song, Y.; Jia, X.J.; Li, J.Y.; Chen, K.Q.

    2012-01-01

    Specimens of 6H–SiC single crystal were irradiated at room temperature with 2.3 MeV neon ions to three successively increasing fluences of 2 × 10 14 , 1.1 × 10 15 and 3.8 × 10 15 ions/cm 2 and then annealed at room temperature, 500, 700 and 1000 °C, respectively. The strain in the specimens was investigated with a high resolution XRD spectrometer with an ω-2θ scanning. And the mechanical properties were investigated with the nano-indentation in the continuous stiffness measurement (CSM) mode with a diamond Berkovich indenter. The XRD curves of specimens after irradiation show the diffraction peaks arising at lower angles aside of the main Bragg peak Θ Bragg , indicating that a positive strain is produced in the implanted layer. In the as-implanted specimens, the strain increases with the increase of the ion fluence or energy deposition. Recovery of the strain occurs on subsequent thermal annealing treatment and two stages of defects evolution process are displayed. An interpretation of defects migration, annihilation and evolution is given to explain the strain variations of the specimens after annealing. The nano-indentation measurements show that the hardness in as-implanted specimens first increases with the increase of the ion fluence, and a degradation of hardness occurs when the ion fluence exceeds a threshold. On the subsequent annealing, the hardness variations are regarded to be a combined effect of the covalent bonding and the pinning effect of defect clusters.

  1. Vacancy-type defects and their annealing processes in ion-implanted Si studied by a variable-energy positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Sugiura, J.; Ogasawara, M.

    1992-01-01

    Vacancy-type defects in B + -, P + - and Si + -ion implanted SiO 2 (43 nm)/Si(100) and Si(100) were studied by a variable-energy positron beam. Depth distributions of vacancy-type defects were obtained from measurements of Doppler broadening profiles of the positron annihilation as a function of incident positron energy. For 200-keV P + -implanted specimen with a dose of 5 x 10 13 P/cm 2 , the damaged layers induced by ion-implantation were found to extend far beyond the stopping range of P-atoms. For 80-keV B + -implanted SiO 2 (43 nm)/Si(100) specimens with different ion-currents, an increase of the ion-current introduced a homogeneous amorphous layer in the subsurface region. Dominant defect species in B + - and P + -implanted specimen were identified as vacancy clusters from their annealing behavior. (author)

  2. Analysis of As implantation profiles in silica by nuclear microanalysis and secondary ion emission

    International Nuclear Information System (INIS)

    Dieumegard, D.; Croset, M.; Cohen, C.; Lhoir, A.; Rigo, S.; Chaumont, J.

    1974-01-01

    Results obtained from analysis using, either the method of elastic backscattering of light or semi-heavy ions ( 4 He + , 14 N + ) about one MeV energy, or the secondary ion emission method are compared. The choice of As implanted Si is explained by the following reasons: As is an element relatively heavy in comparison with Si, that allows an analysis to be effected on a few thousands Angstroems depth in silica using elastic backscattering; the silica chosen as substrate being an amorphous material allows channeling phenomena to the avoided during implantation and analysis [fr

  3. Influence of irradiation spectrum and implanted ions on the amorphization of ceramics

    International Nuclear Information System (INIS)

    Zinkle, S.J.; Snead, L.L.

    1995-01-01

    Polycrystalline Al2O3, magnesium aluminate spinel (MgAl2O4), MgO, Si3N4, and SiC were irradiated with various ions at 200-450 K, and microstructures were examined following irradiation using cross-section TEM. Amorphization was not observed in any of the irradiated oxide ceramics, despsite damage energy densities up to ∼7 keV/atom (70 displacements per atom). On the other hand, SiC readily amorphized after damage levels of ∼0.4 dpa at room temperature (RT). Si3N4 exhibited intermediate behavior; irradiation with Fe 2+ ions at RT produced amorphization in the implanted ion region after damage levels of ∼1 dpa. However, irradiated regions outside the implanted ion region did not amorphize even after damage levels > 5 dpa. The amorphous layer in the Fe-implanted region of Si3N4 did not appear if the specimen was simultaneoulsy irradiated with 1-MeV He + ions at RT. By comparison with published results, it is concluded that the implantation of certain chemical species has a pronounced effect on the amorphization threshold dose of all five materials. Intense ionizing radiation inhibits amorphization in Si3N4, but does not appear to significantly influence the amorphization of SiC

  4. Boron diffusion in Ge+ premorphized and BF2 implanted Si(001)

    International Nuclear Information System (INIS)

    Zou, L.F.; Acosta-Ortiz, S.E.; Zou, L.X.; Regalado, L.E.; Sun, D.Z.; Wang, Z.G.

    1998-01-01

    The annealing behavior of Si implanted with Ge and then BF 2 has been characterized by double crystal X-ray diffraction (DCXRD) and secondary ion mass spectroscopy (SIMS). The results show that annealing at 600 Centigrade for 60 minutes can only remove a little damage induced by implantation and nearly no redistribution of Ge and B atoms has occurred during the annealing. The initial crystallinity of Si is fully recovered after annealing at 950 Centigrade for 60 minutes and accompanied by Ge diffusion. Very shallow boron junction depth has been formed. When annealing temperature rises to 1050 Centigrade, B diffusion enhances, which leads to a deep diffusion and good distribution of B atoms into the Si substrate. The X-ray diffraction (004) rocking curves from the samples annealed at 1050 Centigrade for 60 minutes display two Si Ge peaks, which may be related to the B concentration profiles. (Author)

  5. Defect engineering via ion implantation to control B diffusion in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Xu, M.; Ntzoenzok, E.; Pichaud, B.

    2009-01-01

    The processes which are currently studied in the fabrication of B-doped ultra shallow junctions (USJ) usually involve a preamorphization step to reduce B channelling effect during implantation and to improve B electrical activation. At this stage a high amount of Si interstitial atoms (Is), which dramatically increases the B diffusivity, is introduced. The introduction of voids in Si is a promising tool to control B transient enhanced diffusion (TED), because of their ability to capture Is. In this work the efficiency of a cavity band to reduce B TED is checked in silicon interstitial supersaturation conditions, obtained by high dose Si implantation. He is implanted either at 10 keV or at 50 keV with a fluence of 5 x 10 16 cm -2 . Conventional techniques to introduce and activate the B (conventional ion implantation and rapid thermal annealing (RTA)) are applied in order to have a better control of the technological process to focus on the benefit of the cavity layer. The samples were characterized by cross section transmission electron microscopy (XTEM), secondary ion mass spectroscopy (SIMS) and Hall Effect (HE). The latter shows that good activation of the B is achieved only after 1000 deg. C RTA, though a 900 deg. C RTA is sufficient for implantation-damage recovery, as it is confirmed by XTEM observations. B SIMS profiles show that the band of cavities plays its best effect in reducing B TED when it is located near the surface.

  6. Ion implantation effects in single crystal Si investigated by Raman spectroscopy

    International Nuclear Information System (INIS)

    Harriman, T.A.; Lucca, D.A.; Lee, J.-K.; Klopfstein, M.J.; Herrmann, K.; Nastasi, M.

    2009-01-01

    A study of the effects of Ar ion implantation on the structural transformation of single crystal Si investigated by confocal Raman spectroscopy is presented. Implantation was performed at 77 K using 150 keV Ar ++ with fluences ranging from 2 x 10 13 to 1 x 10 15 ions/cm 2 . The Raman spectra showed a progression from crystalline to highly disordered structure with increasing fluence. The 520 cm -1 c-Si peak was seen to decrease in intensity, broaden and exhibit spectral shifts indicating an increase in lattice disorder and changes in the residual stress state. In addition, an amorphous Si band first appeared as a shoulder on the 520 cm -1 peak and then shifted to lower wavenumbers as a single broadband peak with a spectral center of 465 cm -1 . Additionally, the emergence of the a-Si TA phonon band and the decrease of the c-Si 2TA and 2TO phonon bands also indicated the same structural transition from crystalline to highly disordered. The Raman results were compared to those obtained by channeling RBS.

  7. MOS memory structures by very-low-energy-implanted Si in thin SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Dimitrakis, P.; Kapetanakis, E.; Normand, P.; Skarlatos, D.; Tsoukalas, D.; Beltsios, K.; Claverie, A.; Benassayag, G.; Bonafos, C.; Chassaing, D.; Carrada, M.; Soncini, V

    2003-08-15

    The electrical characteristics of thin silicon dioxide layers with embedded Si nanocrystals obtained by low-energy ion beam implantation and subsequent annealing have been investigated through capacitance and current-voltage measurements of MOS capacitors. The effects of the implantation energy (range: 0.65-2 keV), annealing temperature (950-1050 deg. C) and injection oxide characteristics on charge injection and storage are reported. It is shown that the implantation energy allows for a fine control of the memory window characteristics, and various device options are possible including memory operation with charge injection at low gate voltages.

  8. Stress in ion-implanted CVD Si3N4 films

    International Nuclear Information System (INIS)

    EerNisse, E.P.

    1977-01-01

    The compressive stress buildup caused in chemical-vapor-deposited (CVD) Si 3 N 4 films by ion implantation is shown to be caused entirely by atomic collision effects, ionization effects being unimportant. The stress introduction rate is shown to be independent of CVD processing variables and O content of the film. The maximum attainable compressive stress change is 3.5 x 10 10 dyn/cm 2 , resulting in a maximum net compressive stress of 2 x 10 10 dyn/cm 2 for films on Si where the as-deposited films inherently have 1.5 x 10 10 dyn/cm 2 tensile stress before ion implantation. Results are presented which show that O in the films inhibits thermal annealing of the ion-implantation-induced compressive stress. Results for introduction rate and annealing effects are presented in normalized form so that workers can use the effects for intentional stress level adjustment in the films to reduce probability of cracking and detachment

  9. Evaluation of neutron and proton nuclear data of 28Si for energies up to 200 MeV

    International Nuclear Information System (INIS)

    Sun, Weili; Watanabe, Y.; Sukhovitskii, E. Sh.; Iwamoto, O.; Chiba, S.

    2001-01-01

    The neutron and proton nuclear data of 28 Si up to 200 MeV are evaluated for various nuclear engineering applications. The soft rotator model and the coupled-channel method are used to perform a consistent analysis of the collective band structure of 28 Si and nucleon scattering from 28 Si. The GNASH nuclear model code is used for compound and preequilibrium particle emission calculations, where the emission of 3 He is also included. Comparisons show overall good agreement with various experimental data. (author)

  10. Surface damage versus defect microstructures in He and H ion co-implanted Si{sub 3}N{sub 4}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, F. [School of Science, Tianjin University, Tianjin 300072 (China); Liu, C.L., E-mail: liuchanglong@tju.edu.cn [School of Science, Tianjin University, Tianjin 300072 (China); Tianjin Key Laboratory of Low Dimensional Materials Physics and Preparing Technology, Institute of Advanced Materials Physics Faculty of Science, Tianjin 300072 (China); Gao, Y.J.; Wang, Z.; Wang, J. [School of Science, Tianjin University, Tianjin 300072 (China)

    2012-09-01

    Cz n-type Si (1 0 0) wafers with a top Si{sub 3}N{sub 4} layer of about 170 nm in thickness were sequentially implanted with 40 keV He ions at a fluence of 5 Multiplication-Sign 10{sup 16}/cm{sup 2} and 35 keV H ions at fluences of 1 Multiplication-Sign 10{sup 15}, 5 Multiplication-Sign 10{sup 15} and 1 Multiplication-Sign 10{sup 16}/cm{sup 2}, respectively. Creation and evolution of surface damage as well as micro-defects have been studied. Our results clearly show that production of surface damage depends strongly on both the H implant fluence and annealing temperature. Only blistering or localized exfoliation of the top Si{sub 3}N{sub 4} layer has been observed for post H implantation at fluences of 1 Multiplication-Sign 10{sup 15} and 5 Multiplication-Sign 10{sup 15}/cm{sup 2} upon 800 Degree-Sign C annealing. However, serious surface exfoliation has been found for the 1 Multiplication-Sign 10{sup 16}/cm{sup 2} H co-implanted samples after annealing at 450 Degree-Sign C and above. The exfoliation occurs at a depth of about 360 nm from the surface, which is obviously larger than the He or H ion range. Moreover, the exfoliated craters show clear two-step structures. Cross-sectional transmission electron microscopy (XTEM) observations reveal formation of micro-cracks in Si bulk and along the original interface, which is mainly responsible for the observed surface phenomena. The formation mechanism of micro-cracks has been discussed in combination of He and H implant-induced defects, impurities as well as their interactions upon annealing.

  11. IBC c-Si solar cells based on ion-implanted poly-silicon passivating contacts

    NARCIS (Netherlands)

    Yang, G.; Ingenito, A.; Isabella, O.; Zeman, M.

    2016-01-01

    Ion-implanted poly-crystalline silicon (poly-Si), in combination with a tunnel oxide layer, is investigated as a carrier-selective passivating contact in c-Si solar cells based on an interdigitated back contact (IBC) architecture. The optimized poly-Si passivating contacts enable low interface

  12. Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers

    International Nuclear Information System (INIS)

    Hoechbauer, T.; Misra, A.; Nastasi, M.; Henttinen, K.; Suni, T.; Suni, I.; Lau, S.S.; Ensinger, W.

    2004-01-01

    Hydrogen ion-implantation into Si and subsequent heat treatment has been shown to be an effective means of cleaving thin layer of Si from its parent wafer. This process has been called Smart Cut TM or ion-cut. We investigated the cleavage process in H-implanted silicon samples, in which the ion-cut was provoked thermally and mechanically, respectively. A oriented p-type silicon wafer was irradiated at room temperature with 100 keV H 2 + -ions to a dose of 5 x 10 16 H 2 /cm 2 and subsequently joined to a handle wafer. Ion-cutting was achieved by two different methods: (1) thermally by annealing to 350 deg. C and (2) mechanically by insertion of a razor blade sidewise into the bonded wafers near the bond interface. The H-concentration and the crystal damage depth profiles before and after the ion-cut were investigated through the combined use of elastic recoil detection analysis and Rutherford backscattering spectroscopy (RBS). The location at which the ion-cut occurred was determined by RBS in channeling mode and cross-section transmission electron spectroscopy. The ion-cut depth was found to be independent on the cutting method. The gained knowledge was correlated to the depth distribution of the H-platelet density in the as-implanted sample, which contains two separate peaks in the implantation zone. The obtained results suggest that the ion-cut location coincides with the depth of the H-platelet density peak located at a larger depth

  13. Fusion evaporation-residue cross sections for 28Si+40Ca at E(28Si)=309, 397, and 452 MeV

    International Nuclear Information System (INIS)

    Vineyard, M.F.; Bauer, J.S.; Crum, J.F.; Gosdin, C.H.; Trotter, R.S.; Kovar, D.G.; Beck, C.; Henderson, D.J.; Janssens, R.V.F.; Wilkins, B.D.; Maguire, C.F.; Mateja, J.F.; Prosser, F.W.; Stephans, G.S.F.

    1992-01-01

    Velocity distributions of mass-identified evaporation residues produced in the 28 Si+ 40 Ca reaction have been measured at bombarding energies of 309, 397, and 452 MeV using time-of-flight techniques. These distributions were used to identify evaporation residues and to separate the complete-fusion and incomplete-fusion components. Angular distributions and upper limits for the total evaporation-residue and complete-fusion evaporation-residue cross sections were extracted at all three bombarding energies. The complete-fusion evaporation-residue cross sections and the deduced critical angular momenta are compared with earlier measurements and the predictions of existing models. The ratios of the complete-fusion evaporation-residue cross section to the total evaporation-residue cross section, along with those measured for the 28 Si+ 12 C and 28 Si+ 28 Si systems at the same energies, support the entrance-channel mass-asymmetry dependence of the incomplete-fusion evaporation-residue process reported earlier

  14. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  15. Exfoliation of GaAs caused by MeV 1H and 4He ion implantation at left angle 100 right angle , left angle 110 right angle axial and random orientations

    International Nuclear Information System (INIS)

    Rauhala, E.; Raeisaenen, J.

    1994-01-01

    The exfoliation procedure of the ion range determination of gaseous implants in single crystal GaAs is investigated. The correlation of the observed crater depth with the ion range is studied for random, left angle 100 right angle and left angle 110 right angle axial orientation high dose implantations of 1.5-2.5 MeV 1 H and 4 He ions. Depending on the experimental conditions, the crater depths corresponded to range values between the modal range and the range maximum. The observed crater depths could be related to the actual He concentration depth distributions by determining the profiles of the 4 He implants by 2.7 MeV proton backscattering. The implantation parameters affecting the exfoliation process, and especially the increase rate of the sample temperature, are investigated. The range distribution parameters for the 1.5 MeV 4 He implants are presented. ((orig.))

  16. Enhancing Hydrogen Diffusion in Silica Matrix by Using Metal Ion Implantation to Improve the Emission Properties of Silicon Nanocrystals

    Directory of Open Access Journals (Sweden)

    J. Bornacelli

    2014-01-01

    Full Text Available Efficient silicon-based light emitters continue to be a challenge. A great effort has been made in photonics to modify silicon in order to enhance its light emission properties. In this aspect silicon nanocrystals (Si-NCs have become the main building block of silicon photonic (modulators, waveguide, source, and detectors. In this work, we present an approach based on implantation of Ag (or Au ions and a proper thermal annealing in order to improve the photoluminescence (PL emission of Si-NCs embedded in SiO2. The Si-NCs are obtained by ion implantation at MeV energy and nucleated at high depth into the silica matrix (1-2 μm under surface. Once Si-NCs are formed inside the SiO2 we implant metal ions at energies that do not damage the Si-NCs. We have observed by, PL and time-resolved PL, that ion metal implantation and a subsequent thermal annealing in a hydrogen-containing atmosphere could significantly increase the emission properties of Si-NCs. Elastic Recoil Detection measurements show that the samples with an enhanced luminescence emission present a higher hydrogen concentration. This suggests that ion metal implantation enhances the hydrogen diffusion into silica matrix allowing a better passivation of surface defects on Si NCs.

  17. Characterization of the implantation damage in SiO2 with x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ajioka, T.; Ushio, S.

    1986-01-01

    X-ray photoelectron spectroscopy (XPS) has been applied to characterize the damage introduced into SiO 2 by ion implantation. By measuring the peak width of Si/sub 2p/ from SiO 2 which corresponds to perturbation of the SiO 2 network, good depth profiles of the damage have been obtained for implanted samples and subsequently annealed samples. The results show that the damage distributed more widely than that calculated from energy deposition and that the perturbation of the network is caused not only by radiation damage but also by the existence of impurities in the network. It has been found that the XPS method is effective to understand the atomic structure, and thus, electrical properties of SiO 2

  18. Spatially Controlled Delivery of siRNAs to Stem Cells in Implants Generated by Multi-Component Additive Manufacturing

    DEFF Research Database (Denmark)

    Andersen, Morten Østergaard; Le, Dang Quang Svend; Chen, Muwan

    2013-01-01

    Additive manufacturing is a promising technique in tissue engineering, as it enables truly individualized implants to be made to fit a particular defect. As previously shown, a feasible strategy to produce complex multicellular tissues is to deposit different small interfering RNA (siRNA) in porous...... implants that are subsequently sutured together. In this study, an additive manufacturing strategy to deposit carbohydrate hydrogels containing different siRNAs is applied into an implant, in a spatially controlled manner. When the obtained structures are seeded with mesenchymal stem (stromal) cells......, the selected siRNAs are delivered to the cells and induces specific and localized gene silencing. Here, it is demonstrated how to replicate part of a patient's spinal cord from a computed tomography scan, using an additive manufacturing technique to produce an implant with compartmentalized si...

  19. Dual-Functionalized Graphene Oxide Based siRNA Delivery System for Implant Surface Biomodification with Enhanced Osteogenesis.

    Science.gov (United States)

    Zhang, Li; Zhou, Qing; Song, Wen; Wu, Kaimin; Zhang, Yumei; Zhao, Yimin

    2017-10-11

    Surface functionalization by small interfering RNA (siRNA) is a novel strategy for improved implant osseointegration. A gene delivery system with safety and high transfection activity is a crucial factor for an siRNA-functionalized implant to exert its biological function. To this end, polyethylene glycol (PEG) and polyethylenimine (PEI) dual-functionalized graphene oxide (GO; nGO-PEG-PEI) may present a promising siRNA vector. In this study, nanosized nGO-PEG-PEI was prepared and optimized for siRNA delivery. Titania nanotubes (NTs) fabricated by anodic oxidation were biomodified with nGO-PEG-PEI/siRNA by cathodic electrodeposition, designated as NT-GPP/siRNA. NT-GPP/siRNA possessed benign cytocompatibility, as evaluated by cell adhesion and proliferation. Cellular uptake and knockdown efficiency of the NT-GPP/siRNA were assessed by MC3T3-E1 cells, which exhibited high siRNA delivery efficiency and sustained target gene silencing. Casein kinase-2 interacting protein-1 (Ckip-1) is a negative regulator of bone formation. siRNA-targeting Ckip-1 (siCkip-1) was introduced to the implant, and a series of in vitro and in vivo experiments were carried out to evaluate the osteogenic capacity of NT-GPP/siCkip-1. NT-GPP/siCkip-1 dramatically improved the in vitro osteogenic differentiation of MC3T3-E1 cells in terms of improved osteogenesis-related gene expression, and increased alkaline phosphatase (ALP) production, collagen secretion, and extracellular matrix (ECM) mineralization. Moreover, NT-GPP/siCkip-1 led to apparently enhanced in vivo osseointegration, as indicated by histological staining and EDX line scanning. Collectively, these findings suggest that NT-GPP/siRNA represents a practicable and promising approach for implant functionalization, showing clinical potential for dental and orthopedic applications.

  20. Low temperature intermediate band metallic behavior in Ti implanted Si

    Energy Technology Data Exchange (ETDEWEB)

    Olea, Javier, E-mail: oleaariza@fis.ucm.es; Pastor, David; Garcia-Hemme, Eric; Garcia-Hernansanz, Rodrigo; Prado, Alvaro del; Martil, Ignacio; Gonzalez-Diaz, German

    2012-08-31

    Si samples implanted with very high Ti doses and subjected to Pulsed-Laser Melting (PLM) have been electrically analyzed in the scope of a two-layer model previously reported based on the Intermediate Band (IB) theory. Conductivity and Hall effect measurements using the van der Pauw technique suggest that the insulator-metal transition takes place for implantation doses in the 10{sup 14}-10{sup 16} cm{sup -2} range. Results of the sample implanted with the 10{sup 16} cm{sup -2} dose show a metallic behavior at low temperature that is explained by the formation of a p-type IB out of the Ti deep levels. This suggests that the IB would be semi-filled, which is essential for IB photovoltaic devices. - Highlights: Black-Right-Pointing-Pointer We fabricated high dose Ti implanted Si samples for intermediate band research. Black-Right-Pointing-Pointer We measured the electronic transport properties in the 7-300 K range. Black-Right-Pointing-Pointer We show an insulator to metallic transition when the intermediate band is formed. Black-Right-Pointing-Pointer The intermediate band is semi-filled and populated by holes. Black-Right-Pointing-Pointer We satisfactorily explain the electrical behavior by an intermediate band model.

  1. Nonlinear optical waveguides produced by MeV ion implantation in LiNbO3

    International Nuclear Information System (INIS)

    Sarkisov, S.S.; Curley, M.J.; Williams, E.K.; Ila, D.; Svetchnikov, V.L.; Zandbergen, H.W.; Zykov, G.A.; Banks, C.; Wang, J.-C.; Poker, D.B.; Hensley, D.K.

    2000-01-01

    We analyze microstructure, linear and nonlinear optical properties of planar waveguides produced by implantation of MeV Ag ions into LiNbO 3 . Linear optical properties are described by the parameters of waveguide propagation modes and optical absorption spectra. Nonlinear properties are described by the nonlinear refractive index. Operation of the implanted crystal as an optical waveguide is due to modification of the linear refractive index of the implanted region. The samples as implanted do not show any light-guiding. The implanted region has amorphous and porous microstructure with the refractive index lower than the substrate. Heat treatment of the implanted samples produces planar light-guiding layer near the implanted surface. High-resolution electron microscopy reveals re-crystallization of the host between the surface and the nuclear stopping region in the form of randomly oriented crystalline grains. They make up a light-guiding layer isolated from the bulk crystal by the nuclear stopping layer with low refractive index. Optical absorption of the sample as implanted has a peak at 430 nm. This peak is due to the surface plasmon resonance in nano-clusters of metallic silver. Heat treatment of the samples shifts the absorption peak to 545 nm. This is more likely due to the increase of the refractive index back to the value for the crystalline LiNbO 3 . The nonlinear refractive index of the samples at 532 nm (of the order of 10 -10 cm 2 W -1 ) was measured with the Z-scan technique using a picosecond laser source. Possible applications of the waveguides include ultra-fast photonic switches and modulators

  2. Lattice location of implanted transition metals in 3C–SiC

    CERN Document Server

    AUTHOR|(CDS)2085259; Wahl, Ulrich; Martins Correia, Joao; David Bosne, Eric; Amorim, Lígia; Silva, Daniel; Castro Ribeiro Da Silva, Manuel; Bharuth-Ram, Krishanlal; Da Costa Pereira, Lino Miguel

    2017-01-01

    We have investigated the lattice location of implanted transition metal (TM) 56Mn, 59Fe and 65Ni ions in undoped single-crystalline cubic 3C–SiC by means of the emission channeling technique using radioactive isotopes produced at the CERN-ISOLDE facility. We find that in the room temperature as-implanted state, most Mn, Fe and Ni atoms occupy carbon-coordinated tetrahedral interstitial sites (TC). Smaller TM fractions were also found on Si substitutional (SSi) sites. The TM atoms partially disappear from ideal-TC positions during annealing at temperatures between 500 °C and 700 °C, which is accompanied by an increase in the TM fraction occupying both SSi sites and random sites. An explanation is given according to what is known about the annealing mechanisms of silicon vacancies in silicon carbide. The origin of the observed lattice sites and their changes with thermal annealing are discussed and compared to the case of Si, highlighting the feature that the interstitial migration of TMs in SiC is much slo...

  3. Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation

    International Nuclear Information System (INIS)

    Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.

    2000-01-01

    Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide

  4. Microscopic analysis of alpha scattering from 28Si at 40 and 45 MeV

    International Nuclear Information System (INIS)

    Roy, S.; Dey, T.; Goswami, A.; Chintalapudi, S.N.; Banerjee, S.R.

    1992-01-01

    Angular distributions for the elastic and inelastic alpha scattering from 28 Si at E α =40 and 45 MeV are analyzed in the framework of microscopic folding model. Transition densities are calculated from improved s-d shell-model wave functions and are also compared with those extracted from inelastic electron scattering data. The density-dependent Jeukenne-Lejeune-Mahaux interaction is used, besides the M3Y interaction, in an attempt to fit the large-angle data. Fairly good agreement with the data is obtained. The extracted M n /M p value for the 2 + excited state of 28 Si also compares well with the shell-model prediction

  5. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  6. Resistivity and morphology of TiSi2 formed on Xe+-implanted polycrystalline silicon

    International Nuclear Information System (INIS)

    Kuwano, H.; Phillips, J.R.; Mayer, J.W.

    1990-01-01

    Xe ion irradiation of polycrystalline silicon before Ti deposition is found to affect subsequent silicide formation. Silicide films were prepared by implanting 60, 100, or 240 keV Xe + ions into 500-nm-thick undoped polycrystalline silicon before depositing Ti and annealing in vacuum. Preimplantation altered the subsequent silicide resistivity, x-ray diffraction patterns, and morphology as compared to films prepared on unimplanted polycrystalline Si substrates. We found that minimal TiSi 2 resistivities were achieved at lower temperatures with preimplantation, indicating that the Xe-implanted substrate promotes a lower temperature transition from the metastable C49 phase to the low-resistivity equilibrium C54 phase of TiSi 2 . X-ray diffraction results confirmed the lower temperature formation of the C54 phase with preimplantation. Low-temperature annealing (650 degree C, 30 min) of 6x10 16 cm -2 , 240 keV Xe + -implanted samples yielded low-resistivity (∼22 μΩ cm) silicide films, while simultaneously annealed samples without preimplantation had resistivity five times higher. Lower doses were effective at lower implant energies, with low resistivity achieved after 725 degree C, 30 min annealing for 2x10 15 cm -2 , 60 keV Xe + preimplantation

  7. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  8. Radiation-induced effects in MgO single crystal by 200 keV and 1 MeV Ni ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Takahashi, Ryohei; Nakai, Yoshihiro; Hamaguchi, Dai [Kyoto Inst. of Tech. (Japan); and others

    1997-03-01

    MgO(100) single crystals were implanted with 1.0 MeV and 200 keV Ni ions between 10{sup 15} and 10{sup 17} ions/cm{sup 2} at room temperature. Before and after thermal annealing the radiation damage and the lattice location of implanted Ni ions were analyzed by using Rutherford backscattering spectrometry with channeling and optical absorption measurements. For 1.0 MeV Ni ions, the disorder of Mg atoms increased slowly with ion dose near surface region, while it increased sharply and saturated with ion dose from 2x10{sup 16} ions/cm{sup 2} near ion range. The radiation damage was recovered and implanted Ni ions diffused to the whole of crystal and occupied substitutional positions after 1400degC annealing. For 200 keV Ni ions, the disorder of Mg atoms increased with dose near ion range and had a maximum at about 5x10{sup 16} ions/cm{sup 2}. This tendency agrees with the behavior of color centers obtained from optical measurements. For thermal annealing the radiation damage did not change during 500degC annealing, but the aggregate centers appeared after 300degC annealing. (author)

  9. Alpha-heavy-ion angular correlations from /sup 28/Si + /sup 12/C. [84 to 91. 5 MeV

    Energy Technology Data Exchange (ETDEWEB)

    Ost, R; Cole, A J [Institut des Sciences Nucleaires, 38 - Grenoble (France); Clover, M R; Fulton, B R; Sikora, B [Rochester Univ., NY (USA). Nuclear Structure Research Lab.

    1980-06-01

    Alpha particles have been measured in coincidence with heavy recoil nuclei from the /sup 28/Si + /sup 12/C reaction. At Esub(lab) = 87 MeV angular correlations for alphas between 15/sup 0/ and 55/sup 0/ and heavy ions at angles -9/sup 0/, -12/sup 0/ and -15/sup 0/ have been taken. An excitation function of coincidence events with THETAsub(..cap alpha..) = 30/sup 0/ and THETAsub(HI) = -12/sup 0/ has been measured for 84 MeV < Esub(lab) < 91.5 MeV. The results are well described by a statistical-model calculation for compound nucleus decay. No evidence is found for additional processes.

  10. Segregation gettering by implantation-formed cavities and B-Si precipitates in silicon

    International Nuclear Information System (INIS)

    Myers, S.M.; Petersen, G.A.; Follstaedt, D.M.

    1998-01-01

    The authors show that Fe, Co, Cu, and Au in Si undergo strong segregation gettering to cavities and B-Si precipitates formed by He or B ion implantation and annealing. The respective mechanisms are argued to be chemisorption on the cavity walls and occupation of solution sites within the disordered, B-rich, B-Si phase. The strengths of the reactions are evaluated, enabling prediction of gettering performance

  11. Effects of high-energy (MeV) ion implantation of polyester films

    International Nuclear Information System (INIS)

    Ueno, Keiji; Matsumoto, Yasuyo; Nishimiya, Nobuyuki; Noshiro, Mitsuru; Satou, Mamoru

    1991-01-01

    The effects of high-energy ion beam irradiation on polyester (PET) films using a 3 MeV tandem-type ion beam accelerator were studied. O, Ni, Pt, and Au as ion species were irradiated at 10 14 -10 15 ions/cm 2 on 50 μm thick PET films. Physical properties and molecular structure changes were studied by the surface resistivity measurements and RBS. The surface resistivity decreases with an increase in irradiation dose. At 10 15 ions/cm 2 irradiation, the surface resistivity is 10 8 Ω/□. According to RBS and XPS analyses, some carbon and oxygen atoms in the PET are replaced by implanted ions and the -C=O bonds are destroyed easily by the ion beam. (orig.)

  12. Positron annihilation studies of silicon-rich SiO2 produced by high dose ion implantation

    International Nuclear Information System (INIS)

    Ghislotti, G.; Nielsen, B.; Asoka-Kumar, P.; Lynn, K.G.; Di Mauro, L.F.; Corni, F.; Tonini, R.

    1997-01-01

    Positron annihilation spectroscopy (PAS) is used to study Si-rich SiO 2 samples prepared by implantation of Si (160 keV) ions at doses in the range 3x10 16 endash 3x10 17 cm -2 and subsequent thermal annealing at high temperature (up to 1100 degree C). Samples implanted at doses higher than 5x10 16 cm -2 and annealed above 1000 degree C showed a PAS spectrum with an annihilation peak broader than the unimplanted sample. We discuss how these results are related to the process of silicon precipitation inside SiO 2 . copyright 1997 American Institute of Physics

  13. Ion-implanted Si-nanostructures buried in a SiO{sub 2} substrate studied with soft-x-ray spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Williams, R.; Rubensson, J.E.; Eisebitt, S. [Forschungszentrum Juelich (Germany)] [and others

    1997-04-01

    In recent years silicon nanostructures have gained great interest because of their optical luminescence, which immediately suggests several applications, e.g., in optoelectronic devices. Nanostructures are also investigated because of the fundamental physics involved in the underlying luminescence mechanism, especially attention has been drawn to the influence of the reduced dimensions on the electronic structure. The forming of stable and well-defined nanostructured materials is one goal of cluster physics. For silicon nanostructures this goal has so far not been reached, but various indirect methods have been established, all having the problem of producing less well defined and/or unstable nanostructures. Ion implantation and subsequent annealing is a promising new technique to overcome some of these difficulties. In this experiment the authors investigate the electronic structure of ion-implanted silicon nanoparticles buried in a stabilizing SiO{sub 2} substrate. Soft X-ray emission (SXE) spectroscopy features the appropriate information depth to investigate such buried structures. SXE spectra to a good approximation map the local partial density of occupied states (LPDOS) in broad band materials like Si. The use of monochromatized synchrotron radiation (MSR) allows for selective excitation of silicon atoms in different chemical environments. Thus, the emission from Si atom sites in the buried structure can be separated from contributions from the SiO{sub 2} substrate. In this preliminary study strong size dependent effects are found, and the electronic structure of the ion-implanted nanoparticles is shown to be qualitatively different from porous silicon. The results can be interpreted in terms of quantum confinement and chemical shifts due to neighboring oxygen atoms at the interface to SiO{sub 2}.

  14. Evaluation of neutron and proton nuclear data of {sup 28}Si for energies up to 200 MeV

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Weili [Kyushu Univ., Department of Applied Quantum Physics and Nuclear Engineering, Fukuoka (Japan); Watanabe, Y. [Kyushu Univ., Department of Advanced Energy Engineering Science, Fukuoka (Japan); Sukhovitskii, E. Sh. [Radiation Physics and Chemistry Problems Institute, Minsk-Sosny (Belarus); Iwamoto, O.; Chiba, S. [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    2001-03-01

    The neutron and proton nuclear data of {sup 28}Si up to 200 MeV are evaluated for various nuclear engineering applications. The soft rotator model and the coupled-channel method are used to perform a consistent analysis of the collective band structure of {sup 28}Si and nucleon scattering from {sup 28}Si. The GNASH nuclear model code is used for compound and preequilibrium particle emission calculations, where the emission of {sup 3}He is also included. Comparisons show overall good agreement with various experimental data. (author)

  15. Fabrication of SGOI material by oxidation of an epitaxial SiGe layer on an SOI wafer with H ions implantation

    International Nuclear Information System (INIS)

    Cheng Xinli; Chen Zhijun; Wang Yongjin; Jin Bo; Zhang Feng; Zou Shichang

    2005-01-01

    SGOI materials were fabricated by thermal dry oxidation of epitaxial H-ion implanted SiGe layers on SOI wafers. The hydrogen implantation was found to delay the oxidation rate of SiGe layer and to decrease the loss of Ge atoms during oxidation. Further, the H implantation did not degrade the crystallinity of SiGe layer during fabrication of the SGOI

  16. Amorphous Ge quantum dots embedded in SiO2 formed by low energy ion implantation

    International Nuclear Information System (INIS)

    Zhao, J. P.; Huang, D. X.; Jacobson, A. J.; Chen, Z. Y.; Makarenkov, B.; Chu, W. K.; Bahrim, B.; Rabalais, J. W.

    2008-01-01

    Under ultrahigh vacuum conditions, extremely small Ge nanodots embedded in SiO 2 , i.e., Ge-SiO 2 quantum dot composites, have been formed by ion implantation of 74 Ge + isotope into (0001) Z-cut quartz at a low kinetic energy of 9 keV using varying implantation temperatures. Transmission electron microscopy (TEM) images and micro-Raman scattering show that amorphous Ge nanodots are formed at all temperatures. The formation of amorphous Ge nanodots is different from reported crystalline Ge nanodot formation by high energy ion implantation followed by a necessary high temperature annealing process. At room temperature, a confined spatial distribution of the amorphous Ge nanodots can be obtained. Ge inward diffusion was found to be significantly enhanced by a synergetic effect of high implantation temperature and preferential sputtering of surface oxygen, which induced a much wider and deeper Ge nanodot distribution at elevated implantation temperature. The bimodal size distribution that is often observed in high energy implantation was not observed in the present study. Cross-sectional TEM observation and the depth profile of Ge atoms in SiO 2 obtained from x-ray photoelectron spectra revealed a critical Ge concentration for observable amorphous nanodot formation. The mechanism of formation of amorphous Ge nanodots and the change in spatial distribution with implantation temperature are discussed

  17. Micro-Raman depth profile investigations of beveled Al+-ion implanted 6H-SiC samples

    International Nuclear Information System (INIS)

    Zuk, J.; Romanek, J.; Skorupa, W.

    2009-01-01

    6H-SiC single crystals were implanted with 450 keV Al + -ions to a fluence of 3.4 x 10 15 cm -2 , and in a separate experiment subjected to multiple Al + implantations with the four energies: 450, 240, 115 and 50 keV and different fluences to obtain rectangular-like depth distributions of Al in SiC. The implantations were performed along [0 0 0 1] channeling and non-channeling ('random') directions. Subsequently, the samples were annealed for 10 min at 1650 deg. C in an argon atmosphere. The depth profiles of the implanted Al atoms were obtained by secondary ion mass spectrometry (SIMS). Following implantation and annealing, the samples were beveled by mechanical polishing. Confocal micro-Raman spectroscopic investigations were performed with a 532 nm wavelength laser beam of a 1 μm focus diameter. The technique was used to determine precisely the depth profiles of TO and LO phonon lines intensity in the beveled samples to a depth of about 2000 nm. Micro-Raman spectroscopy was also found to be useful in monitoring very low levels of disorder remaining in the Al + implanted and annealed 6H-SiC samples. The micro-Raman technique combined with sample beveling also made it possible the determination of optical absorption coefficient profiles in implanted subsurface layers.

  18. High-current and low acceleration voltage arsenic ion implanted polysilicon-gate and source-drain electrode Si mos transistor

    International Nuclear Information System (INIS)

    Saito, Yasuyuki; Sugimura, Yoshiro; Sugihara, Michiyuki

    1993-01-01

    The fabrication process of high current arsenic (As) ion implanted polysilicon (Si) gate and source drain (SD) electrode Si n-channel metal oxide-semiconductor field effect transistor (MOSFET) was examined. Poly Si film n-type doping was performed by using high current (typical current: 2mA) and relatively low acceleration voltage (40keV) As ion implantation technique (Lintott series 3). It was observed that high dose As implanted poly Si films as is show refractoriness against radical fluorine excited by microwave. Using GCA MANN4800 (m/c ID No.2, resist: OFPR) mask pattern printing technique, the high current As ion implantation technique and radical fluorine gas phase etching (Chemical dry etching: CDE) technique, the n-channel Poly Si gate (ρs = ≅100Ω/□) enhancement MQSFETs(ρs source drain = ≅50Ω/□, SiO 2 gate=380 angstrom) with off-leak-less were obtained on 3 inch Czochralski grown 2Ωcm boron doped p type wafers (Osaka titanium). By the same process, a 8 bit single chip μ-processor with 26MHz full operation was performed

  19. Microbeam line of MeV heavy ions for materials modification and in-situ analysis

    International Nuclear Information System (INIS)

    Horino, Yuji; Chayahara, Akiyoshi; Kiuchi, Masato; Fujii, Kanenaga; Satoh, Mamoru; Takai, Mikio.

    1990-01-01

    A microbeam line for MeV heavy ions of almost any element has been developed for microion-beam processing such as maskless MeV ion implantation and its in-situ analysis. Beam spot sizes of 4.0 μm x 4.0 μm for 3 MeV C 2+ and 9.6 μm x 4.8 μm for 1.8 MeV Au 2+ beams were obtained. Maskless MeV gold ion implantation to a silicon substrate and in-situ microanalysis before and after ion implantation were demonstrated. (author)

  20. Ion-implantation and analysis for doped silicon slot waveguides

    Directory of Open Access Journals (Sweden)

    McCallum J. C.

    2012-10-01

    Full Text Available We have utilised ion implantation to fabricate silicon nanocrystal sensitised erbium-doped slot waveguide structures in a Si/SiO2/Si layered configuration and photoluminescence (PL and Rutherford backscattering spectrometry (RBS to analyse these structures. Slot waveguide structures in which light is confined to a nanometre-scale low-index region between two high-index regions potentially offer significant advantages for realisation of electrically-pumped Si devices with optical gain and possibly quantum optical devices. We are currently investigating an alternative pathway in which high quality thermal oxides are grown on silicon and ion implantation is used to introduce the Er and Si-ncs into the SiO2 layer. This approach provides considerable control over the Er and Si-nc concentrations and depth profiles which is important for exploring the available parameter space and developing optimised structures. RBS is well-suited to compositional analysis of these layered structures. To improve the depth sensitivity we have used a 1 MeV α beam and results indicate that a layered silicon-Er:SiO2/silicon structure has been fabricated as desired. In this paper structural results will be compared to Er photoluminescence profiles for samples processed under a range of conditions.

  1. Nickel silicide formation in silicon implanted nickel

    Science.gov (United States)

    Rao, Z.; Williams, J. S.; Pogany, A. P.; Sood, D. K.; Collins, G. A.

    1995-04-01

    Nickel silicide formation during the annealing of very high dose (≥4.5×1017 ions/cm2) Si implanted Ni has been investigated, using ion beam analytical techniques, electron microscopy, and x-ray diffraction analysis. An initial amorphous Si-Ni alloy, formed as a result of high dose ion implantation, first crystallized to Ni2Si upon annealing in the temperature region of 200-300 °C. This was followed by the formation of Ni5Si2 in the temperature region of 300-400 °C and then by Ni3Si at 400-600 °C. The Ni3Si layer was found to have an epitaxial relationship with the substrate Ni, which was determined as Ni3Si∥Ni and Ni3Si∥Ni for Ni(100) samples. The minimum channeling yield in the 2 MeV He Rutherford backscattering and channeling spectra of this epitaxial layer improved with higher annealing temperatures up to 600 °C, and reached a best value measured at about 8%. However, the epitaxial Ni3Si dissolved after long time annealing at 600 °C or annealing at higher temperatures to liberate soluble Si into the Ni substrate. The epitaxy is attributed to the excellent lattice match between the Ni3Si and the Ni. The annealing behavior follows the predictions of the Ni-Si phase diagram for this nickel-rich binary system.

  2. XPS studies of SiO/sub 2/ surface layers formed by oxygen ion implantation into silicon

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, D.; Finster, J. (Karl-Marx-Universitaet, Leipzig (German Democratic Republic). Sektion Chemie); Hensel, E.; Skorupa, W.; Kreissig, U. (Zentralinstitut fuer Kernforschung, Rossendorf bei Dresden (German Democratic Republic))

    1983-03-16

    SiO/sub 2/ surface layers of 160 nm thickness formed by /sup 16/O/sup +/ ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO/sub 2/. There is no evidence for Si or SiO/sub x/ (0SiO/sub x/ transition region between SiO/sub 2/ and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide.

  3. Diffusion of Ag, Au and Cs implants in MAX phase Ti3SiC2

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Weilin; Henager, Charles H.; Varga, Tamas; Jung, Hee Joon; Overman, Nicole R.; Zhang, Chonghong; Gou, Jie

    2015-05-16

    MAX phases (M: early transition metal; A: elements in group 13 or 14; X: C or N), such as titanium silicon carbide (Ti3SiC2), have a unique combination of both metallic and ceramic properties, which make them attractive for potential nuclear applications. Ti3SiC2 has been considered as a possible fuel cladding material. This study reports on the diffusivities of fission product surrogates (Ag and Cs) and a noble metal Au (with diffusion behavior similar to Ag) in this ternary compound at elevated temperatures, as well as in dual-phase nanocomposite of Ti3SiC2/3C-SiC and polycrystalline CVD 3C-SiC for behavior comparisons. Samples were implanted with Ag, Au or Cs ions and characterized with various methods, including x-ray diffraction, electron backscatter diffraction, energy dispersive x-ray spectroscopy, Rutherford backscattering spectrometry, helium ion microscopy, and transmission electron microscopy. The results show that in contrast to immobile Ag in 3C-SiC, there is a significant outward diffusion of Ag in Ti3SiC2 within the dual-phase nanocomposite during Ag ion implantation at 873 K. Similar behavior of Au in polycrystalline Ti3SiC2 was also observed. Cs out-diffusion and release from Ti3SiC2 occurred during post-implantation thermal annealing at 973 K. This study suggests caution and further studies in consideration of Ti3SiC2 as a fuel cladding material for advanced nuclear reactors operating at very high temperatures.

  4. Si diffusion in compositional disordering of Si-implanted GaAs/AlGaAs superlattices induced by rapid thermal annealing

    International Nuclear Information System (INIS)

    Uematsu, Masashi; Yanagawa, Fumihiko

    1988-01-01

    The Si diffusion in Si-implanted GaAs/Al 0.5 Ga 0.5 As superlattices intermixed in the disrodering process induced by rapid thermal annealing (RTA), is investigated by means of secondary ion mass spectroscopy (SIMS). The SIMS profiles indicate that no fast Si diffusion occurs during the disordering, and the disordering occurs when the Si concentration exceeds 1 x 10 19 cm -3 , which is about three times larger than the threshold value for the disordering by furnace annealing (FA). The number of Si atoms which are allowed to pass through the heterointerface is considered to be essential for disordering. (author)

  5. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  6. Chemical effect of Si+ ions on the implantation-induced defects in ZnO studied by a slow positron beam

    Science.gov (United States)

    Jiang, M.; Wang, D. D.; Chen, Z. Q.; Kimura, S.; Yamashita, Y.; Mori, A.; Uedono, A.

    2013-01-01

    Undoped ZnO single crystals were implanted with 300 keV Si+ ions to a dose of 6 × 1016 cm-2. A combination of X-ray diffraction (XRD), positron annihilation, Raman scattering, high resolution transmission electron microscopy (HRTEM), and photoluminescence (PL) was used to study the microstructure evolution after implantation and subsequent annealing. A very large increase of Doppler broadening S parameters in Si+-implanted region was detected by using a slow positron beam, indicating that vacancy clusters or microvoids are induced by implantation. The S parameters increase further after annealing up to 700 °C, suggesting agglomeration of these vacancies or microvoids to larger size. Most of these defects are removed after annealing up to 1100 °C. The other measurements such as XRD, Raman scattering, and PL all indicate severe damage and even disordered structure induced by Si+ implantation. The damage and disordered lattice shows recovery after annealing above 700 °C. Amorphous regions are observed by HRTEM measurement, directly testifies that amorphous phase is induced by Si+ implantation in ZnO. Analysis of the S - W correlation and the coincidence Doppler broadening spectra gives direct evidence of SiO2 precipitates in the sample annealed at 700 °C, which strongly supports the chemical effect of Si ions on the amorphization of ZnO lattice.

  7. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  8. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  9. Surface damage studies of ETFE polymer bombarded with low energy Si ions (≤100 keV)

    International Nuclear Information System (INIS)

    Minamisawa, Renato Amaral; Almeida, Adelaide De; Budak, Satilmis; Abidzina, Volha; Ila, Daryush

    2007-01-01

    Surface studies of ethylenetetrafluoroethylene (ETFE), bombarded with Si in a high-energy tandem Pelletron accelerator, have recently been reported. Si ion bombardment with a few MeV to a few hundred keV energies was shown to be sufficient to produce damage on ETFE film. We report here the use of a low energy implanter with Si ion energies lower than 100 keV, to induce changes on ETFE films. In order to determine the radiation damage, ETFE bombarded films were simulated with SRIM software and analyzed with optical absorption photometry (OAP), Raman and Fourier transform infrared-attenuated total reflectance (FTIR-ATR) spectroscopy to show quantitatively the physical and chemical property changes. Carbonization occurs following higher dose implantation, and hydroperoxides were formed following dehydroflorination of the polymer

  10. Si+ and N+ ion implantation for improving blood compatibility of medical poly(methyl methacrylate)

    International Nuclear Information System (INIS)

    Li, D.J.; Cui, F.Z; Cui, F.Z.

    1998-01-01

    Si + and N + ion implantation into medical poly(methyl methacrylate) (PMMA) were performed at an energy of 80 keV with fluences ranging from 5x10 12 to 5x10 15 ions/cm 2 at room temperature to improve blood compatibility. The results of the blood contacting measurements in vitro showed that the anticoagulability and anticalcific behaviour on the surface morphology were enhanced after ion implantation. No appreciable change in the surface morphology was detected by scanning electron microscopy (SEM). X-ray photoelectron spectroscopy (XPS) analysis indicated that ion implantation broke some original chemical bonds on the surface to form some new Si- and N-containing groups. These results were considered responsible for the enhancement in the blood compatibility of PMMA. (author)

  11. A pulse synthesis of beta-FeSi sub 2 layers on silicon implanted with Fe sup + ions

    CERN Document Server

    Batalov, R I; Terukov, E I; Kudoyarova, V K; Weiser, G; Kuehne, H

    2001-01-01

    The synthesis of thin beta-FeSi sub 2 films was performed by means of the Fe sup + ion implantation into Si (100) and the following nanosecond pulsed ion treatment of implanted layer. Using the beta-FeSi sub 2 beta-FeSi sub 2 e X-ray diffraction it is shown that the pulsed ion treatment results in the generation of the mixture of two phases: FeSi and beta-FeSi sub 2 with stressed crystal lattices. The following short-time annealing leads to the total transformation of the FeSi phase into the beta-FeSi sub 2 one. The Raman scattering data prove the generation of the beta-FeSi sub 2 at the high degree of the silicon crystallinity. The experimental results of the optical absorption testify to the formation of beta-FeSi sub 2 layers and precipitates with the straight-band structure. The photoluminescence signal at lambda approx = 1.56 mu m observes up to 210 K

  12. Vacancy effects on the formation of He and Kr cavities in 3C-SiC irradiated and annealed at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Zang, Hang; Jiang, Weilin; Liu, Wenbo; Devaraj, Arun; Edwards, Danny J.; Henager, Charles H.; Kurtz, Richard J.; Li, Tao; He, Chaohui; Yun, Di; Wang, Zhiguang

    2016-12-01

    Polycrystalline 3C-SiC was sequentially irradiated at 400 and 750°C with 120 keV He2+ and 4 MeV Kr15+ ions to E21 and 4E20 ions/m2 with profiles of the implanted species peaked at 450 and 1500 nm, respectively. The masked overlapping irradiation created three study areas of He2+, Kr15+ and He2+ + Kr15+ implanted SiC. The doses at the depth of the peak He concentration in He2+ and He2+ + Kr15+ implanted SiC correspond to 4 and 25 dpa. The sample was subsequently annealed at 1600°C for 3 h in vacuum and characterized using cross-sectional transmission electron microscopy and energy-dispersive x-ray spectroscopy. Compared to the He2+ implanted SiC, He cavities show a smaller size and higher density in the co-implanted SiC. At 25 dpa, He presence in the co-implanted 3C-SiC significantly promotes He cavity growth, as contrasted to the smaller voids formed without He in the Kr15+ irradiated SiC at the same dose. In addition, local Kr migration and trapping at cavities occur, but long-range Kr diffusion in SiC is not observed up to 1600°C.

  13. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  14. Study of crystal damage by ion implantation using micro RBS/channeling

    International Nuclear Information System (INIS)

    Grambole, D.; Herrmann, F.; Heera, V.; Meijer, J.

    2007-01-01

    The combination of microbeam implantation and in-situ micro RBS/channeling analysis in the Rossendorf nuclear microprobe facility enables crystal damage studies with high current densities not achievable in standard ion implantation experiments. Si(1 0 0) samples were implanted with 600 keV Si + ions and a fluence of 1 x 10 16 cm -2 . Using a beam spot of 200 μm x 200 μm current densities from 4 to 120 μA/cm 2 were obtained. The substrate temperature was varied between RT and 265 deg. C. The implanted regions were subsequently analysed by micro RBS/channeling with a 3 MeV He + beam having a spot size of 50 μm x 50 μm. Crystal damage up to amorphisation was observed in dependence on the substrate temperature. Above a critical temperature T C no amorphisation occurs. T C was determined for each series of samples implanted with the same ion current density j. It was found that the empirical Arrhenius relation j ∼ exp(-E a /kT C ), known from standard implantation experiments, is also valid at high current densities. The observed Arrhenius law can be derived from a model of epitaxial crystallisation stimulated by defect diffusion

  15. Vacancy effects on the formation of helium and krypton cavities in 3-C-SiC irradiated and annealed at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Zang, Hang; Liu, Wenbo; Li, Tao; He, Chaohui; Yun, Di; Jiang, Weilin; Devaraj, Arun; Edwards, Danny J.; Henager, Charles H.; Kurtz, Richard J.; Wang, Zhiguang

    2017-02-27

    Polycrystalline 3C-SiC was sequentially irradiated at 400 and 750°C with 120 keV He2+ and 4 MeV Kr15+ ions to 1017 and 41016 cm-2, respectively. The Kr15+ ions penetrated the entire depth of the He2+ ion implantation region. Three areas of He2+, Kr15+ and He2+ + Kr15+ ion implanted 3C-SiC were created through masked overlapping irradiations. The sample was subsequently annealed at 1600°C in vacuum and characterized using cross-sectional transmission electron microscopy and energy-dispersive x-ray spectroscopy. Compared to the He2+ ion only implanted 3C-SiC, helium cavities in the He2+ and Kr15+ co-implanted 3C-SiC had a smaller size but higher density. At 25 dpa, presence of He in the co-implanted 3C-SiC significantly promoted cavity growth; much smaller voids were formed in the Kr15+ ion only irradiated 3C-SiC at the same dose. In addition, local Kr migration and trapping at cavities occurred, but long-range Kr diffusion in 3C-SiC was not observed up to 1600°C.

  16. Influence of Si ion implantation on structure and morphology of g-C{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Varalakshmi, B.; Sreenivasulu, K.V. [School of Engineering Sciences and Technology (SEST), University of Hyderabad, Hyderabad 500046 (India); Asokan, K. [Inter University Accelerator Centre (IUAC), Aruna Asaf Ali Marg, Near Vasant Kunj, New Delhi 110067 (India); Srikanth, V.V.S.S., E-mail: vvsssse@uohyd.ernet.in [School of Engineering Sciences and Technology (SEST), University of Hyderabad, Hyderabad 500046 (India)

    2016-07-15

    Effect of Si ion implantation on structural and morphological features of graphite-like carbon nitride (g-C{sub 3}N{sub 4}) was investigated. g-C{sub 3}N{sub 4} was prepared by using a simple atmospheric thermal decomposition process. The g-C{sub 3}N{sub 4} pellets were irradiated with a Si ion beam of energy 200 keV with different fluencies. Structural, morphological and elemental, and phase analysis of the implanted samples in comparison with the pristine samples was carried out by using X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) with energy dispersive spectroscopy (EDS) and Fourier transform infrared spectroscopy (FTIR) techniques, respectively. The observations revealed that Si ion implantation results in a negligible change in the crystallite size and alteration of the network-like to the sheet-like morphology of g-C{sub 3}N{sub 4} and Si ions in the g-C{sub 3}N{sub 4} network.

  17. Damage growth in Si during self-ion irradiation: A study of ion effects over an extended energy range

    International Nuclear Information System (INIS)

    Holland, O.W.; El-Ghor, M.K.; White, C.W.

    1989-01-01

    Damage nucleation/growth in single-crystal Si during ion irradiation is discussed. For MeV ions, the rate of growth as well as the damage morphology are shown to vary widely along the track of the ion. This is attributed to a change in the dominant, defect-related reactions as the ion penetrates the crystal. The nature of these reactions were elucidated by studying the interaction of MeV ions with different types of defects. The defects were introduced into the Si crystal prior to high-energy irradiation by self-ion implantation at a medium energy (100 keV). Varied damage morphologies were produced by implanting different ion fluences. Electron microscopy and ion-channeling measurements, in conjunction with annealing studies, were used to characterize the damage. Subtle changes in the predamage morphology are shown to result in markedly different responses to the high-energy irradiation, ranging from complete annealing of the damage to rapid growth. These divergent responses occur over a narrow range of dose (2--3 times 10 14 cm -2 ) of the medium-energy ions; this range also marks a transition in the growth behavior of the damage during the predamage implantation. A model is proposed which accounts for these observations and provides insight into ion-induced growth of amorphous layers in Si and the role of the amorphous/crystalline interface in this process. 15 refs, 9 figs

  18. Microscopic analysis of alpha scattering from sup 28 Si at 40 and 45 MeV

    Energy Technology Data Exchange (ETDEWEB)

    Roy, S.; Dey, T.; Goswami, A. (Saha Institute of Nuclear Physics, 1/AF, Bidhannagar, Calcutta 700064 (India)); Chintalapudi, S.N.; Banerjee, S.R. (Variable Energy Cyclotron Centre, 1/AF, Bidhannagar, Calcutta 700064 (India))

    1992-06-01

    Angular distributions for the elastic and inelastic alpha scattering from {sup 28}Si at {ital E}{sub {alpha}}=40 and 45 MeV are analyzed in the framework of microscopic folding model. Transition densities are calculated from improved {ital s}-{ital d} shell-model wave functions and are also compared with those extracted from inelastic electron scattering data. The density-dependent Jeukenne-Lejeune-Mahaux interaction is used, besides the M3Y interaction, in an attempt to fit the large-angle data. Fairly good agreement with the data is obtained. The extracted {ital M}{sub {ital n}}/{ital M}{sub {ital p}} value for the 2{sup +} excited state of {sup 28}Si also compares well with the shell-model prediction.

  19. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    Science.gov (United States)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  20. The formation of magnetic silicide Fe3Si clusters during ion implantation

    Science.gov (United States)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe3Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  1. The formation of magnetic silicide Fe3Si clusters during ion implantation

    International Nuclear Information System (INIS)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-01-01

    A simple two-dimensional model of the formation of magnetic silicide Fe 3 Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field

  2. Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing

    Directory of Open Access Journals (Sweden)

    Feng Sun

    2018-03-01

    Full Text Available Dopant-segregated source/drain contacts in a p-channel Schottky-barrier metal-oxide semiconductor field-effect transistor (SB-MOSFET require further hole Schottky barrier height (SBH regulation toward sub-0.1 eV levels to improve their competitiveness with conventional field-effect transistors. Because of the solubility limits of dopants in silicon, the requirements for effective hole SBH reduction with dopant segregation cannot be satisfied using mono-implantation. In this study, we demonstrate a potential solution for further SBH tuning by implementing the dual implantation of boron (B and aluminum (Al in combination with microwave annealing (MWA. By using such a method, not only has the lowest hole SBH ever with 0.07 eV in NiSi/n-Si contacts been realized, but also the annealing duration of MWA was sharply reduced to 60 s. Moreover, we investigated the SBH tuning mechanisms of the dual-implanted diodes with microwave annealing, including the dopant segregation, activation effect, and dual-barrier tuning effect of Al. With the selection of appropriate implantation conditions, the dual implantation of B and Al combined with the MWA technique shows promise for the fabrication of future p-channel SB-MOSFETs with a lower thermal budget.

  3. Optical waveguide formed in Yb:GdCOB and Yb:YCOB crystals by 3.0MeV O{sup +} implantation

    Energy Technology Data Exchange (ETDEWEB)

    Jiao, Yang, E-mail: sdujy@163.com [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China)

    2013-07-15

    Planar optical waveguides were formed in Yb:GdCOB and Yb:YCOB crystals by 3.0 MeV O{sup +} ion implantation at fluence of 2 × 10{sup 15} ions/cm{sup 2} at room temperature, respectively. The prism coupling method was performed to characterize the dark-mode property of the waveguides. The refractive index profiles in the waveguides were reconstructed by reflectivity calculation method (RCM). The results show that after the implantation, a 1.5 μm-wide region with enhanced refractive-index was formed beneath the sample surfaces to act as waveguide structures for both Yb:GdCOB and Yb:YCOB.

  4. Extended deep level defects in Ge-condensed SiGe-on-Insulator structures fabricated using proton and helium implantations

    International Nuclear Information System (INIS)

    Kwak, D.W.; Lee, D.W.; Oh, J.S.; Lee, Y.H.; Cho, H.Y.

    2012-01-01

    SiGe-on-Insulator (SGOI) structures were created using the Ge condensation method, where an oxidation process is performed on the SiGe/Si structure. This method involves rapid thermal chemical vapor deposition and H + /He + ion-implantations. Deep level defects in these structures were investigated using deep level transient spectroscopy (DLTS) by varying the pulse injection time. According to the DLTS measurements, a deep level defect induced during the Ge condensation process was found at 0.28 eV above the valence band with a capture cross section of 2.67 × 10 −17 cm 2 , two extended deep levels were also found at 0.54 eV and 0.42 eV above the valence band with capture cross sections of 3.17 × 10 −14 cm 2 and 0.96 × 10 −15 cm 2 , respectively. In the SGOI samples with ion-implantation, the densities of the newly generated defects as well as the existing defects were decreased effectively. Furthermore, the Coulomb barrier heights of the extended deep level defects were drastically reduced. Thus, we suggest that the Ge condensation method with H + ion implantation could reduce deep level defects generated from the condensation and control the electrical properties of the condensed SiGe layers. - Highlights: ► We have fabricated low-defective SiGe-on-Insulator (SGOI) with implantation method. ► H + and He + -ions are used for ion-implantation method. ► We have investigated the deep level defects of SGOI layers. ► Ge condensation method using H + ion implantation could reduce extended defects. ► They could enhance electrical properties.

  5. Nanocrystalline diamond in carbon implanted SiO{sub 2}.

    Energy Technology Data Exchange (ETDEWEB)

    Tsoi, K.A.; Prawer, S.; Nugent, K.W.; Walker, R. J.; Weiser, P.S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    Recently, it was reported that nanocrystalline diamond can be produced via laser annealing of a high dose C implanted fused quartz (SiO{sub 2}) substrate. The aim of this investigation is to reproduce this result on higher C{sup +} dose samples and the non-implanted silicon sample, as well as optimise the power range and annealing time for the production of these nanocrystals of diamond. In order to provide a wide range of laser powers the samples were annealed using an Ar ion Raman laser. The resulting annealed spots were analysed using scanning electron microscopy (SEM) and Raman analysis. These techniques are employed to determine the type of bonding produced after laser annealing has occurred. 4 refs., 5 figs.

  6. Nanocrystalline diamond in carbon implanted SiO{sub 2}.

    Energy Technology Data Exchange (ETDEWEB)

    Tsoi, K A; Prawer, S; Nugent, K W; Walker, R J; Weiser, P S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    Recently, it was reported that nanocrystalline diamond can be produced via laser annealing of a high dose C implanted fused quartz (SiO{sub 2}) substrate. The aim of this investigation is to reproduce this result on higher C{sup +} dose samples and the non-implanted silicon sample, as well as optimise the power range and annealing time for the production of these nanocrystals of diamond. In order to provide a wide range of laser powers the samples were annealed using an Ar ion Raman laser. The resulting annealed spots were analysed using scanning electron microscopy (SEM) and Raman analysis. These techniques are employed to determine the type of bonding produced after laser annealing has occurred. 4 refs., 5 figs.

  7. Cadaveric feasibility study of da Vinci Si-assisted cochlear implant with augmented visual navigation for otologic surgery.

    Science.gov (United States)

    Liu, Wen P; Azizian, Mahdi; Sorger, Jonathan; Taylor, Russell H; Reilly, Brian K; Cleary, Kevin; Preciado, Diego

    2014-03-01

    To our knowledge, this is the first reported cadaveric feasibility study of a master-slave-assisted cochlear implant procedure in the otolaryngology-head and neck surgery field using the da Vinci Si system (da Vinci Surgical System; Intuitive Surgical, Inc). We describe the surgical workflow adaptations using a minimally invasive system and image guidance integrating intraoperative cone beam computed tomography through augmented reality. To test the feasibility of da Vinci Si-assisted cochlear implant surgery with augmented reality, with visualization of critical structures and facilitation with precise cochleostomy for electrode insertion. Cadaveric case study of bilateral cochlear implant approaches conducted at Intuitive Surgical Inc, Sunnyvale, California. Bilateral cadaveric mastoidectomies, posterior tympanostomies, and cochleostomies were performed using the da Vinci Si system on a single adult human donor cadaveric specimen. Radiographic confirmation of successful cochleostomies, placement of a phantom cochlear implant wire, and visual confirmation of critical anatomic structures (facial nerve, cochlea, and round window) in augmented stereoendoscopy. With a surgical mean time of 160 minutes per side, complete bilateral cochlear implant procedures were successfully performed with no violation of critical structures, notably the facial nerve, chorda tympani, sigmoid sinus, dura, or ossicles. Augmented reality image overlay of the facial nerve, round window position, and basal turn of the cochlea was precise. Postoperative cone beam computed tomography scans confirmed successful placement of the phantom implant electrode array into the basal turn of the cochlea. To our knowledge, this is the first study in the otolaryngology-head and neck surgery literature examining the use of master-slave-assisted cochleostomy with augmented reality for cochlear implants using the da Vinci Si system. The described system for cochleostomy has the potential to improve the

  8. Mn fraction substitutional site and defects induced magnetism in Mn-implanted 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Bouziane, K., E-mail: Khalid.bouziane@uir.ac.ma [Pôle Energies Renouvelables et Etudes Pétrolières, Université Internationale de Rabat, 11000 – Salé el Jadida, Technopolis (Morocco); Al Azri, M.; Elzain, M. [Department of Physics, College of Science, Sultan Qaboos University, P.O. Box 36, Al-Khodh 123 (Oman); Chérif, S.M. [LSPM (CNRS-UPR 3407), Université Paris, 13-Nord, 99, Avenue Jean Baptiste Clément, 93430 Villetaneuse (France); Mamor, M. [Equipe MSISM, Faculté Poly-Disciplinaire, B.P. 4162 Safi, Université Cadi Ayyad, Marrakech (Morocco); Declémy, A. [Institut P’, CNRS – Université de Poitiers – ENSMA, UPR 3346, SP2MI – Téléport 2, 11 boulevard Marie et Pierre Curie, BP 30179, F-86962 Futuroscope Chasseneuil Cedex (France); Thomé, L. [CSNSM-Orsay, Bât. 108, Université d’Orsay, F-91405 Orsay (France)

    2015-05-25

    Highlights: • Shallow Mn-implanted 6H-SiC crystal. • Correlation between Mn-substitutional site concentration and magnetism. • Correlation between defects nature surrounding Mn site and magnetism. • Correlation of magnetism in Mn-doped SiC to Mn at Si sites and vacancy-related defect. - Abstract: n-type 6H-SiC (0 0 0 1) single crystal substrates were implanted with three fluences of manganese (Mn{sup +}) ions: 5 × 10{sup 15}, 1 × 10{sup 16} and 5 × 10{sup 16} cm{sup −2} with implantation energy of 80 keV at 365 °C to stimulate dynamic annealing. The samples were characterized using Rutherford backscattering channeling spectroscopy (RBS/C), high-resolution X-ray diffraction technique (HRXRD), and Superconducting Quantum Interference Device (SQUID) techniques. Two main defect regions have been identified using RBS/C spectra fitted with the McChasy code combined to SRIM simulations. Intermediate defects depth region is associated with vacancies (D{sub V}) and deeper defect (D{sub N}) essentially related to the Si and C interstitial defects. The defect concentration and the maximum perpendicular strain exhibit similar increasing trend with the Mn{sup +} fluence. Furthermore, the amount of Mn atoms at Si substitutional sites and the corresponding magnetic moment per Mn atom were found to increase with increasing Mn fluence from 0.7 μ{sub B} to 1.7 μ{sub B} and then collapsing to 0.2 μ{sub B}. Moreover, a strong correlation has been found between the magnetic moment and the combination of both large D{sub V}/D{sub N} ratio and high Mn at Si sites. These results are corroborated by our ab initio calculations considering the most stable configurations showing that besides the amount of Mn substituting Si sites, local vacancy-rich environment is playing a crucial role in enhancing the magnetism.

  9. In Vitro and In Vivo Evaluation of Zinc-Modified Ca–Si-Based Ceramic Coating for Bone Implants

    Science.gov (United States)

    Zheng, Xuebin; He, Dannong; Ye, Xiaojian; Wang, Meiyan

    2013-01-01

    The host response to calcium silicate ceramic coatings is not always favorable because of their high dissolution rates, leading to high pH within the surrounding physiological environment. Recently, a zinc-incorporated calcium silicate-based ceramic Ca2ZnSi2O7 coating, developed on a Ti-6Al-4V substrate using plasma-spray technology, was found to exhibit improved chemical stability and biocompatibility. This study aimed to investigate and compare the in vitro response of osteoblastic MC3T3-E1 cells cultured on Ca2ZnSi2O7 coating, CaSiO3 coating, and uncoated Ti-6Al-4V titanium control at cellular and molecular level. Our results showed Ca2ZnSi2O7 coating enhanced MC3T3-E1 cell attachment, proliferation, and differentiation compared to CaSiO3 coating and control. In addition, Ca2ZnSi2O7 coating increased mRNA levels of osteoblast-related genes (alkaline phosphatase, procollagen α1(I), osteocalcin), insulin-like growth factor-I (IGF-I), and transforming growth factor-β1 (TGF-β1). The in vivo osteoconductive properties of Ca2ZnSi2O7 coating, compared to CaSiO3 coating and control, was investigated using a rabbit femur defect model. Histological and histomorphometrical analysis demonstrated new bone formation in direct contact with the Ca2ZnSi2O7 coating surface in absence of fibrous tissue and higher bone-implant contact rate (BIC) in the Ca2ZnSi2O7 coating group, indicating better biocompatibility and faster osseointegration than CaSiO3 coated and control implants. These results indicate Ca2ZnSi2O7 coated implants have applications in bone tissue regeneration, since they are biocompatible and able to osseointegrate with host bone. PMID:23483914

  10. In vitro and in vivo evaluation of zinc-modified ca-si-based ceramic coating for bone implants.

    Science.gov (United States)

    Yu, Jiangming; Li, Kai; Zheng, Xuebin; He, Dannong; Ye, Xiaojian; Wang, Meiyan

    2013-01-01

    The host response to calcium silicate ceramic coatings is not always favorable because of their high dissolution rates, leading to high pH within the surrounding physiological environment. Recently, a zinc-incorporated calcium silicate-based ceramic Ca2ZnSi2O7 coating, developed on a Ti-6Al-4V substrate using plasma-spray technology, was found to exhibit improved chemical stability and biocompatibility. This study aimed to investigate and compare the in vitro response of osteoblastic MC3T3-E1 cells cultured on Ca2ZnSi2O7 coating, CaSiO3 coating, and uncoated Ti-6Al-4V titanium control at cellular and molecular level. Our results showed Ca2ZnSi2O7 coating enhanced MC3T3-E1 cell attachment, proliferation, and differentiation compared to CaSiO3 coating and control. In addition, Ca2ZnSi2O7 coating increased mRNA levels of osteoblast-related genes (alkaline phosphatase, procollagen α1(I), osteocalcin), insulin-like growth factor-I (IGF-I), and transforming growth factor-β1 (TGF-β1). The in vivo osteoconductive properties of Ca2ZnSi2O7 coating, compared to CaSiO3 coating and control, was investigated using a rabbit femur defect model. Histological and histomorphometrical analysis demonstrated new bone formation in direct contact with the Ca2ZnSi2O7 coating surface in absence of fibrous tissue and higher bone-implant contact rate (BIC) in the Ca2ZnSi2O7 coating group, indicating better biocompatibility and faster osseointegration than CaSiO3 coated and control implants. These results indicate Ca2ZnSi2O7 coated implants have applications in bone tissue regeneration, since they are biocompatible and able to osseointegrate with host bone.

  11. In vitro and in vivo evaluation of zinc-modified ca-si-based ceramic coating for bone implants.

    Directory of Open Access Journals (Sweden)

    Jiangming Yu

    Full Text Available The host response to calcium silicate ceramic coatings is not always favorable because of their high dissolution rates, leading to high pH within the surrounding physiological environment. Recently, a zinc-incorporated calcium silicate-based ceramic Ca2ZnSi2O7 coating, developed on a Ti-6Al-4V substrate using plasma-spray technology, was found to exhibit improved chemical stability and biocompatibility. This study aimed to investigate and compare the in vitro response of osteoblastic MC3T3-E1 cells cultured on Ca2ZnSi2O7 coating, CaSiO3 coating, and uncoated Ti-6Al-4V titanium control at cellular and molecular level. Our results showed Ca2ZnSi2O7 coating enhanced MC3T3-E1 cell attachment, proliferation, and differentiation compared to CaSiO3 coating and control. In addition, Ca2ZnSi2O7 coating increased mRNA levels of osteoblast-related genes (alkaline phosphatase, procollagen α1(I, osteocalcin, insulin-like growth factor-I (IGF-I, and transforming growth factor-β1 (TGF-β1. The in vivo osteoconductive properties of Ca2ZnSi2O7 coating, compared to CaSiO3 coating and control, was investigated using a rabbit femur defect model. Histological and histomorphometrical analysis demonstrated new bone formation in direct contact with the Ca2ZnSi2O7 coating surface in absence of fibrous tissue and higher bone-implant contact rate (BIC in the Ca2ZnSi2O7 coating group, indicating better biocompatibility and faster osseointegration than CaSiO3 coated and control implants. These results indicate Ca2ZnSi2O7 coated implants have applications in bone tissue regeneration, since they are biocompatible and able to osseointegrate with host bone.

  12. Diffusion of Ag, Au and Cs implants in MAX phase Ti{sub 3}SiC{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Weilin, E-mail: weilin.jiang@pnnl.gov [Pacific Northwest National Laboratory, Richland, WA (United States); Henager, Charles H.; Varga, Tamas; Jung, Hee Joon; Overman, Nicole R. [Pacific Northwest National Laboratory, Richland, WA (United States); Zhang, Chonghong; Gou, Jie [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou (China)

    2015-07-15

    MAX phases (M: early transition metal; A: elements in group 13 or 14; X: C or N), such as titanium silicon carbide (Ti{sub 3}SiC{sub 2}), have a unique combination of both metallic and ceramic properties, which make them attractive for potential nuclear applications. Ti{sub 3}SiC{sub 2} has been considered as a possible fuel cladding material. This study reports on the diffusivities of fission product surrogates (Ag and Cs) and a noble metal Au (with diffusion behavior similar to Ag) in this ternary compound at elevated temperatures, as well as in dual-phase nanocomposite of Ti{sub 3}SiC{sub 2}/3C-SiC and polycrystalline CVD 3C-SiC for behavior comparisons. Samples were implanted with Ag, Au or Cs ions and characterized with various methods, including X-ray diffraction, electron backscatter diffraction, energy dispersive X-ray spectroscopy, Rutherford backscattering spectrometry, helium ion microscopy, and transmission electron microscopy. The results show that in contrast to immobile Ag in 3C-SiC, there is a significant outward diffusion of Ag in Ti{sub 3}SiC{sub 2} within the dual-phase nanocomposite during Ag ion implantation at 873 K. Similar behavior of Au in polycrystalline Ti{sub 3}SiC{sub 2} was also observed. Cs out-diffusion and release from Ti{sub 3}SiC{sub 2} occurred during post-implantation thermal annealing at 973 K. This study suggests caution and further studies in consideration of Ti{sub 3}SiC{sub 2} as a fuel cladding material for advanced nuclear reactors operating at very high temperatures.

  13. Optical and electronic properties of sub-surface conducting layers in diamond created by MeV B-implantation at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Willems van Beveren, L. H., E-mail: laurensw@unimelb.edu.au; Bowers, H.; Ganesan, K.; Johnson, B. C.; McCallum, J. C.; Prawer, S. [School of Physics, University of Melbourne, Parkville, Victoria 3010 (Australia); Liu, R. [SIMS Facility, Office of the Deputy-Vice Chancellor (Research and Development) Western Sydney University, Locked Bag 1797, Penrith, New South Wales 2751 (Australia)

    2016-06-14

    Boron implantation with in-situ dynamic annealing is used to produce highly conductive sub-surface layers in type IIa (100) diamond plates for the search of a superconducting phase transition. Here, we demonstrate that high-fluence MeV ion-implantation, at elevated temperatures avoids graphitization and can be used to achieve doping densities of 6 at. %. In order to quantify the diamond crystal damage associated with implantation Raman spectroscopy was performed, demonstrating high temperature annealing recovers the lattice. Additionally, low-temperature electronic transport measurements show evidence of charge carrier densities close to the metal-insulator-transition. After electronic characterization, secondary ion mass spectrometry was performed to map out the ion profile of the implanted plates. The analysis shows close agreement with the simulated ion-profile assuming scaling factors that take into account an average change in diamond density due to device fabrication. Finally, the data show that boron diffusion is negligible during the high temperature annealing process.

  14. Variation of carrier concentration and interface trap density in 8MeV electron irradiated c-Si solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Bhat, Sathyanarayana, E-mail: asharao76@gmail.com; Rao, Asha, E-mail: asharao76@gmail.com [Department of Physics, Mangalore Institute of Technology and Engineering, Moodabidri, Mangalore-574225 (India); Krishnan, Sheeja [Department of Physics, Sri Devi Institute of Technology, Kenjar, Mangalore-574142 (India); Sanjeev, Ganesh [Microtron Centre, Department of Physics, Mangalore University, Mangalagangothri-574199 (India); Suresh, E. P. [Solar Panel Division, ISRO Satellite Centre, Bangalore-560017 (India)

    2014-04-24

    The capacitance and conductance measurements were carried out for c-Si solar cells, irradiated with 8 MeV electrons with doses ranging from 5kGy – 100kGy in order to investigate the anomalous degradation of the cells in the radiation harsh environments. Capacitance – Voltage measurements indicate that there is a slight reduction in the carrier concentration upon electron irradiation due to the creation of radiation induced defects. The conductance measurement results reveal that the interface state densities and the trap time constant increases with electron dose due to displacement damages in c-Si solar cells.

  15. Vacancy effects on the formation of He and Kr cavities in 3C-SiC irradiated and annealed at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Zang, Hang, E-mail: zanghang@xjtu.edu.cn [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Jiang, Weilin, E-mail: weilin.jiang@pnnl.gov [Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Liu, Wenbo [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Devaraj, Arun; Edwards, Danny J.; Henager, Charles H.; Kurtz, Richard J. [Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Li, Tao; He, Chaohui; Yun, Di [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Wang, Zhiguang [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2016-12-15

    Highlights: • He and Kr cavities are formed in ion-implanted and 1600 °C annealed 3C-SiC. • A higher vacancy concentration leads to formation of cavities with a smaller size and higher density. • Presence of He in irradiated 3C-SiC can significantly promote cavity growth. • Small voids are formed in Kr ion penetrated 3C-SiC during thermal annealing at 1600 °C. • Local Kr migration and trapping at cavities in SiC are observed, but long-range Kr diffusion does not occur at 1600 °C. - Abstract: Polycrystalline 3C-SiC was sequentially irradiated at 400 and 750 °C with 120 keV He{sup 2+} and 4 MeV Kr{sup 15+} ions to 10{sup 17} and 4 × 10{sup 16} cm{sup −2}, respectively. The Kr{sup 15+} ions penetrated the entire depth region of the He{sup 2+} ion implantation. Three areas of He{sup 2+}, Kr{sup 15+} and He{sup 2+} + Kr{sup 15+} ion implanted SiC were created through masked overlapping irradiation. The sample was subsequently annealed at 1600 °C in vacuum and characterized using cross-sectional transmission electron microscopy and energy-dispersive X-ray spectroscopy. Compared to the He{sup 2+} ion only implanted SiC, He cavities show a smaller size and higher density in the co-implanted SiC. At 25 dpa, presence of He in the co-implanted 3C-SiC significantly promotes cavity growth; much smaller voids are formed in the Kr{sup 15+} ion only irradiated SiC at the same dose. In addition, local Kr migration and trapping at cavities occurs, but long-range Kr diffusion in SiC is not observed up to 1600 °C.

  16. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    Science.gov (United States)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  17. The formation of magnetic silicide Fe{sub 3}Si clusters during ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Balakirev, N. [Kazan National Research Technological University, K.Marx st. 68, Kazan 420015 (Russian Federation); Zhikharev, V., E-mail: valzhik@mail.ru [Kazan National Research Technological University, K.Marx st. 68, Kazan 420015 (Russian Federation); Gumarov, G. [Zavoiskii Physico-Technical Institute of Russian Academy of Sciences, 10/7 Sibirskii trakt st., Kazan 420029 (Russian Federation)

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe{sub 3}Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  18. Semimicroscopic analysis of 6Li+28Si elastic scattering at 76 to 318 MeV

    Science.gov (United States)

    Hassanain, M. A.; Anwar, M.; Behairy, Kassem O.

    2018-04-01

    Using the α-cluster structure of colliding nuclei, the elastic scattering of 6Li+28Si at energies from 76 to 318 MeV has been investigated by the use of the real folding cluster approach. The results of the cluster analysis are compared with those obtained by the CDM3Y6 effective density- and energy-dependent nucleon-nucleon (NN) interaction based upon G -matrix elements of the M3Y-Paris potential. A Woods-Saxon (WS) form was used for the imaginary potential. For all energies and derived potentials, the diffraction region was well reproduced, except at Elab=135 and 154 MeV at large angle. These results suggest that the addition of the surface (DWS) imaginary potential term to the volume imaginary potential is essential for a correct description of the refractive structure of the 6Li elastic scattering distribution at these energies. The energy dependence of the total reaction cross sections and that of the real and imaginary volume integrals is also discussed.

  19. Inhibitive formation of nanocavities by introduction of Si atoms in Ge nanocrystals produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Cai, R. S.; Shang, L.; Liu, X. H.; Zhang, Y. J. [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Wang, Y. Q., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); College of Physics Science, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Ross, G. G.; Barba, D., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [INRS-Énergie, Matériaux et Télécommunications, 1650 boulevard Lionel-Boulet, Varennes Québec J3X 1S2 (Canada)

    2014-05-28

    Germanium nanocrystals (Ge-nc) were successfully synthesized by co-implantation of Si and Ge ions into a SiO{sub 2} film thermally grown on (100) Si substrate and fused silica (pure SiO{sub 2}), respectively, followed by subsequent annealing at 1150 °C for 1 h. Transmission electron microscopy (TEM) examinations show that nanocavities only exist in the fused silica sample but not in the SiO{sub 2} film on a Si substrate. From the analysis of the high-resolution TEM images and electron energy-loss spectroscopy spectra, it is revealed that the absence of nanocavities in the SiO{sub 2} film/Si substrate is attributed to the presence of Si atoms inside the formed Ge-nc. Because the energy of Si-Ge bonds (301 kJ·mol{sup −1}) are greater than that of Ge-Ge bonds (264 kJ·mol{sup −1}), the introduction of the Si-Ge bonds inside the Ge-nc can inhibit the diffusion of Ge from the Ge-nc during the annealing process. However, for the fused silica sample, no crystalline Si-Ge bonds are detected within the Ge-nc, where strong Ge outdiffusion effects produce a great number of nanocavities. Our results can shed light on the formation mechanism of nanocavities and provide a good way to avoid nanocavities during the process of ion implantation.

  20. Lateral spread of P+ ions implanted in silicon through the SiO2 mask window

    International Nuclear Information System (INIS)

    Sakurai, T.; Kawata, H.; Sato, T.; Hisatsugu, T.; Hashimoto, H.; Furuya, T.

    1979-01-01

    The lateral spread of implanted P + ions and the shape of the mask window have been observed simultaneously using the technique of staining the cleaved surface and scanning electron microscopy for the Si samples with the SiO 2 mask window with a tapered edge. The mask edge with a gradient of 45 0 or 78 0 to the Si surface and the implanted n-type region with a carrier concentration higher than 2 x 10 17 /cm 3 are observed in the same photograph. The observed maximum lateral spread when the gradient of the mask edge is 45 0 is about 1.6 times larger than that when the gradient is 78 0 . The calculated results of the lateral spread agree relatively well with the experimental data although the precise analysis based on the definite basis is necessary

  1. Damage and in-situ annealing during ion implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Washburn, J.; Byrne, P.F.; Cheung, N.W.

    1982-11-01

    Formation of amorphous (α) layers in Si during ion implantation in the energy range 100 keV-11 MeV and temperature range liquid nitrogen (LN)-100 0 C has been investigated. Cross-sectional transmission electron microscopy (XTEM) shows that buried amorphous layers can be created for both room temperature (RT) and LN temperature implants, with a wider 100 percent amorphous region for the LN cooled case. The relative narrowing of the α layer during RT implantation is attributed to in-situ annealing. Implantation to the same fluence at temperatures above 100 0 C does not produce α layers. To further investigate in situ annealing effects, specimens already containing buried α layers were further irradiated with ion beams in the temperature range RT-400 0 C. It was found that isolated small α zones (less than or equal to 50 diameter) embedded in the crystalline matrix near the two α/c interfaces dissolved into the crystal but the thickness of the 100 percent α layer was not appreciably affected by further implantation at 200 0 C. A model for in situ annealing during implantation is presented

  2. Fabrication of planar optical waveguides by 6.0 MeV silicon ion implantation in Nd-doped phosphate glasses

    Science.gov (United States)

    Shen, Xiao-Liang; Dai, Han-Qing; Zhang, Liao-Lin; Wang, Yue; Zhu, Qi-Feng; Guo, Hai-Tao; Li, Wei-Nan; Liu, Chun-Xiao

    2018-04-01

    We report the fabrication of a planar optical waveguide by silicon ion implantation into Nd-doped phosphate glass at an energy of 6.0 MeV and a dose of 5.0 × 1014 ions/cm2. The change in the surface morphology of the glass after the implantation can be clearly observed by scanning electron microscopy. The measurement of the dark mode spectrum of the waveguide is conducted using a prism coupler at 632.8 nm. The refractive index distribution of the waveguide is reconstructed by the reflectivity calculation method. The near-field optical intensity profile of the waveguide is measured using an end-face coupling system. The waveguide with good optical properties on the glass matrix may be valuable for the application of the Nd-doped phosphate glass in integrated optical devices.

  3. Visible light emission from silicon implanted and annealed SiO2layers

    International Nuclear Information System (INIS)

    Ghislotti, G.; Nielsen, B.; Asoka-Kumar, P.; Lynn, K.G.; Di Mauro, L.F.; Bottani, C.E.; Corni, F.; Tonini, R.; Ottaviani, G.P.

    1997-01-01

    Silicon implanted and annealed SiO 2 layers are studied using photoluminescence (PL) and positron annihilation spectroscopy (PAS). Two PL emission bands are observed. A band centered at 560 nm is present in as-implanted samples and it is still observed after 1,000 C annealing. The emission time is fast. A second band centered at 780 nm is detected after 1,000 C annealing. The intensity of the 780 nm band further increased when hydrogen annealing was performed. The emission time is long (1 micros to 0.2 ms). PAS results show that defects produced by implantation anneal at 600 C. Based on the annealing behavior and on the emission times, the origin of the two bands is discussed

  4. Effects of Mev Si Ions and Thermal Annealing on Thermoelectric and Optical Properties of SiO2/SiO2+Ge Multi-nanolayer thin Films

    Science.gov (United States)

    Budak, S.; Alim, M. A.; Bhattacharjee, S.; Muntele, C.

    Thermoelectric generator devices have been prepared from 200 alternating layers of SiO2/SiO2+Ge superlattice films using DC/RF magnetron sputtering. The 5 MeV Si ionsbombardmenthasbeen performed using the AAMU Pelletron ion beam accelerator to formquantum dots and / or quantum clusters in the multi-layer superlattice thin films to decrease the cross-plane thermal conductivity, increase the cross-plane Seebeck coefficient and increase the cross-plane electrical conductivity to increase the figure of merit, ZT. The fabricated devices have been annealed at the different temperatures to tailor the thermoelectric and optical properties of the superlattice thin film systems. While the temperature increased, the Seebeck coefficient continued to increase and reached the maximum value of -25 μV/K at the fluenceof 5x1013 ions/cm2. The decrease in resistivity has been seen between the fluence of 1x1013 ions/cm2 and 5x1013 ions/cm2. Transport properties like Hall coefficient, density and mobility did not change at all fluences. Impedance spectroscopy has been used to characterize the multi-junction thermoelectric devices. The loci obtained in the C*-plane for these data indicate non-Debye type relaxation displaying the presence of the depression parameter.

  5. Structure Characterization of Modified Polyimide Films Irradiated by 2 MeV Si Ions

    International Nuclear Information System (INIS)

    Tian-Xiang, Chen; Shu-De, Yao; Kun, Wang; Huan, Wang; Zhi-Bo, Ding; Di, Chen

    2009-01-01

    Structures of polyimide (6051) films modified by irradiation of 2.0 MeV Si ions with different fluences are studied in detail. Variations of the functional groups in polyimide are investigated by attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) and Raman spectroscopy. The results indicate that the functional groups can be destroyed gradually with the increasing ion fluence. The variations of structure and element contents are characterized by x-ray diffraction (XRD), Rutherford backscattering spectrometry (RBS) and x-ray photoelectron spectroscopy (XPS). The results indicate that the contents of N and O decrease significantly compared with the original samples, some graphite-like and carbon-rich phases are formed in the process of irradiation

  6. Study of surface exfoliation on 6H-SiC induced by H{sub 2}{sup +} implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, L. [Department of Physics, School of Science, Lanzhou University of Technology, Lanzhou 730050 (China); Li, B.S., E-mail: b.s.li@impcas.ac.cn [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2017-03-01

    The effect of lattice damage generated by the H{sub 2}{sup +}-implantation on exfoliation efficiency in 6H-SiC wafers is investigated. <0001> 6H-SiC wafers were implanted with 134 keV H{sub 2}{sup +} ions to ion fluences from 1.5×10{sup 16} to 5×10{sup 16} H{sub 2}{sup +} cm{sup −2} and subsequently annealed at temperatures from 973 K to 1373 K. The samples were studied by a combination of optical microscopy and transmission electron microscopy. Only after 1373 K annealing for 15 min, blisters and exfoliation occur on the H{sub 2}{sup +}-implanted sample surface. With increasing the implantation fluences from 1.5×10{sup 16} to 3.75×10{sup 16} H{sub 2}{sup +} cm{sup −2}, the exfoliation mean size decreases, while the exfoliation density increases. For the highest fluence of 5×10{sup 16} H{sub 2}{sup +} cm{sup −2}, seldom exfoliations occur on the sample surface. Microstructure analysis shows that exfoliation efficiency is largely controlled by the H{sub 2}{sup +}-implantation-induced lattice damage. The depth of the microcrack is related to the implantation fluence. The effect of implantation fluence on dislocation loops, platelet nucleation and growth is investigated.

  7. The role of nitrogen in luminescent Si nanoprecipitate formation during annealing of Si ion-implanted SiO sub 2 layers

    CERN Document Server

    Kachurin, G A; Zhuravlev, K S; Ruault, M O

    2001-01-01

    SiO sub 2 layers were implanted with 25 keV Si sup + and 13 keV N sup + ions with the doses of (1-4) x 10 sup 1 sup 6 cm sup - sup 2 and (0.2-2) x 10 sup 1 sup 6 cm sup - sup 2 , respectively. Then the samples were annealed at 900-1100 deg C to form luminescent silicon nanoprecipitates. The nitrogen effect on the process is controlled by photoluminescence spectra. It is found out that the photoluminescence intensity increases considerably at the appropriate ratio between silicon and nitrogen. It has been concluded that the interaction of nitrogen with excessive silicon results in increasing the number of precipitation centers. This raises the nanocrystals number and reduces their mean size

  8. High energy P implants in silicon

    International Nuclear Information System (INIS)

    Raineri, V.; Cacciato, A.; Benyaich, F.; Priolo, F.; Rimini, E.; Galvagno, G.; Capizzi, S.

    1992-01-01

    Phosphorus ions in the energy range 0.25-1 MeV and in the dose range 2x10 13 -1x10 15 P/cm 2 were implanted into (100) Si single crystal at different tilt angles. In particular channeling and random conditions were investigated. For comparison some implants were performed on samples with a 2 μm thick surface amorphous layer. Chemical concentration P profiles were obtained by secondary ion mass spectrometry. Carrier concentration and mobility profile measurements were carried out by sheet resistance and Hall measurements on implanted van der Pauw patterns. Carrier concentration profiles were also obtained by spreading resistance (SR) measurements. The damage in the as-implanted samples was determined by backscattering and channeling spectrometry (RBS) as a function of the dose and implantation energy. Comparison of random implants in crystal with implants in amorphous layers shows that in the first case it is impossible to completely avoid the channeling tail. In the implants performed under channeling conditions at low doses the P profiles are flat over more than 2 μm thick layers. Furthermore, by increasing the implanted dose, the shape of the profiles dramatically changes due to the dechanneling caused by the crystal disorder. The data are discussed and compared with Monte Carlo simulations using the MARLOWE code. A simple description of the electronic energy loss provides an excellent agreement between the calculated and experimental profiles. (orig.)

  9. Damage formation and recovery in Fe implanted 6H–SiC

    CERN Document Server

    Miranda, Pedro; Catarino, Norberto; Lorenz, Katharina; Correia, João Guilherme; Alves, Eduardo

    2012-01-01

    Silicon carbide doped with magnetic ions such as Fe, Mn, Ni or Co could make this wide band gap semiconductor part of the diluted magnetic semiconductor family. In this study, we report the implantation of 6H-SiC single crystals with magnetic $^{56}$Fe$^{+}$ ions with an energy of 150 keV. The samples were implanted with 5E14 Fe$^+$/cm$^{2}$ and 1E16 Fe$^+$/cm$^{2}$ at different temperatures to study the damage formation and lattice site location. The samples were subsequently annealed up to 1500°C in vacuum in order to remove the implantation damage. The effect of the annealing was followed by Rutherford Backscattering/Channeling (RBS/C) measurements. The results show that samples implanted above the critical amorphization temperature reveal a high fraction of Fe incorporated into regular sites along the [0001] axis. After the annealing at 1000°C, a maximum fraction of 75%, corresponding to a total of 3.8E14 Fe$^{+}$/cm$^{2}$, was measured in regular sites along the [0001] axis. A comparison is made betwee...

  10. Device-based local delivery of siRNA against mammalian target of rapamycin (mTOR) in a murine subcutaneous implant model to inhibit fibrous encapsulation.

    Science.gov (United States)

    Takahashi, Hironobu; Wang, Yuwei; Grainger, David W

    2010-11-01

    Fibrous encapsulation of surgically implanted devices is associated with elevated proliferation and activation of fibroblasts in tissues surrounding these implants, frequently causing foreign body complications. Here we test the hypothesis that inhibition of the expression of mammalian target of rapamycin (mTOR) in fibroblasts can mitigate the soft tissue implant foreign body response by suppressing fibrotic responses around implants. In this study, mTOR was knocked down using small interfering RNA (siRNA) conjugated with branched polyethylenimine (bPEI) in fibroblastic lineage cells in serum-based cell culture as shown by both gene and protein analysis. This mTOR knock-down led to an inhibition in fibroblast proliferation by 70% and simultaneous down-regulation in the expression of type I collagen in fibroblasts in vitro. These siRNA/bPEI complexes were released from poly(ethylene glycol) (PEG)-based hydrogel coatings surrounding model polymer implants in a subcutaneous rodent model in vivo. No significant reduction in fibrous capsule thickness and mTOR expression in the foreign body capsules were observed. The siRNA inefficacy in this in vivo implant model was attributed to siRNA dosing limitations in the gel delivery system, and lack of targeting ability of the siRNA complex specifically to fibroblasts. While in vitro data supported mTOR knock-down in fibroblast cultures, in vivo siRNA delivery must be further improved to produce clinically relevant effects on fibrotic encapsulation around implants. Copyright © 2010 Elsevier B.V. All rights reserved.

  11. The structure modification of Si-SiO2 irradiated by Fe+ ion

    International Nuclear Information System (INIS)

    Jin Tao; Ma Zhongquan; Guo Qi

    1992-01-01

    The effect of the iron ion implantation on the oxide surface and SiO 2 -Si interface of MOS structure was studied by X-ray photo-electron spectroscopy (XPS), and the chemical states of compounds formed were examined. The results obtained show that in the surface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface thickness is almost doubled that leads to failure of MOS capacitors. The physical and chemical mechanisms of MOS structure change by Fe + ion implantation are also discussed and analyzed

  12. Martensitic Transformations and Mechanical and Corrosion Properties of Fe-Mn-Si Alloys for Biodegradable Medical Implants

    Science.gov (United States)

    Drevet, Richard; Zhukova, Yulia; Malikova, Polina; Dubinskiy, Sergey; Korotitskiy, Andrey; Pustov, Yury; Prokoshkin, Sergey

    2018-03-01

    The Fe-Mn-Si alloys are promising materials for biodegradable metallic implants for temporary healing process in the human body. In this study, three different compositions are considered (Fe23Mn5Si, Fe26Mn5Si, and Fe30Mn5Si, all in wt pct). The phase composition analysis by XRD reveals ɛ-martensite, α-martensite, and γ-austenite in various proportions depending on the manganese amount. The DSC study shows that the starting temperature of the martensitic transformation ( M s) of the alloys decreases when the manganese content increases (416 K, 401 K, and 323 K (143 °C, 128 °C, and 50 °C) for the Fe23Mn5Si, Fe26Mn5Si, and Fe30Mn5Si alloys, respectively). Moreover, mechanical compression tests indicate that these alloys have a much lower Young's modulus ( E) than pure iron (220 GPa), i.e., 145, 133, and 118 GPa for the Fe23Mn5Si, Fe26Mn5Si, and Fe30Mn5Si alloys, respectively. The corrosion behavior of the alloys is studied in Hank's solution at 310 K (37 °C) using electrochemical experiments and weight loss measurements. The corrosion kinetics of the Fe-Mn-Si increases with the manganese content (0.48, 0.59, and 0.80 mm/year for the Fe23Mn5Si, Fe26Mn5Si, and Fe30Mn5Si alloys, respectively). The alloy with the highest manganese content shows the most promising properties for biomedical applications as a biodegradable and biomechanically compatible implant material.

  13. Growth of surface structures correlated with structural and mechanical modifications of brass by laser-induced Si plasma ions implantation

    Science.gov (United States)

    Ahmad, Shahbaz; Bashir, Shazia; Rafique, M. Shahid; Yousaf, Daniel

    2017-04-01

    Laser-produced Si plasma is employed as an ion source for implantation on the brass substrate for its surface, structural, and mechanical modifications. Thomson parabola technique is employed for the measurement of energy and flux of Si ions using CR-39. In response to stepwise increase in number of laser pulses from 3000 to 12000, four brass substrates were implanted by laser-induced Si plasma ions of energy 290 keV at different fluxes ranging from 45 × 1012 to 75 × 1015 ions/cm2. SEM analysis reveals the formation of nano/micro-sized irregular shaped cavities and pores for the various ion fluxes for varying numbers of laser pulses from 3000 to 9000. At the maximum ion flux for 12,000 pulses, distinct and organized grains with hexagonal and irregular shaped morphology are revealed. X-ray diffractometer (XRD) analysis exhibits that a new phase of CuSi (311) is identified which confirms the implantation of Si ions in brass substrate. A significant decrease in mechanical properties of implanted brass, such as Yield Stress (YS), Ultimate Tensile Strength (UTS), and hardness, with increasing laser pulses from 3000 to 6000 is observed. However, with increasing laser pulses from 9000 to a maximum value of 12,000, an increase in mechanical properties like hardness, YS, and UTS is observed. The generation as well as annihilation of defects, recrystallization, and intermixing of Si precipitates with brass matrix is considered to be responsible for variations in surface, structural, and mechanical modifications of brass.

  14. Ion implantation damage annealing in 4H-SiC monitored by scanning spreading resistance microscopy

    International Nuclear Information System (INIS)

    Suchodolskis, A.; Hallen, A.; Linnarsson, M.K.; Osterman, J.; Karlsson, U.O.

    2006-01-01

    To obtain a better understanding of the damage annealing process and dopant defect incorporation and activation we have implanted epitaxially grown 4H-SiC layers with high doses of Al + ions. Cross-sections of the samples are investigated by scanning spreading resistance microscopy (SSRM) using a commercial atomic force microscopy (AFM). The defects caused by the implanted ions compensate for the doping and decrease the charge carrier mobility. This causes the resistivity to increase in the as-implanted regions. The calculated profile of implanted ions is in good agreement with the measured ones and shows a skewed Gaussian shape. Implanted samples are annealed up to 400 deg. C. Despite these low annealing temperatures we observe a clear improvement of the sample conductivity in the as-implanted region

  15. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Yang, C.; Dzurak, A.S.; Yang, C.; Clark, R.G.; Yang, C.

    2005-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because it will be necessary to control quantum states at the level of individual atoms, electrons or photons. We have developed a pathway to the construction of quantum devices using ion implantation and demonstrate, using charge transport analysis, that the devices exhibit single electron effects. We construct devices that employ two P donors in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved leading to the fabrication of prototype devices that display quantum effects in the transport of single charge quanta between the islands of implanted donors. (author). 9 refs., 4 figs., 1 tab

  16. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  17. 0,01-5 MeV heavy ion accelerators

    International Nuclear Information System (INIS)

    Golubev, V.P.; Ivanov, A.S.; Nikiforov, S.A.; Svin'in, M.P.; Tarvid, G.V.; Troshikhin, A.G.; Fedotov, M.T.

    1983-01-01

    The results of development of an accelerating complex on the base of the UP-2-1 heavy ion charge exchange accelerator and IMPLANT-500 high-voltage heavy ion accelerator are given. The accelerating complex provides overlapping of the 0.01 MeV to 5 MeV energy range at accelerated beam currents of 10 -3 -10 -6 A order. The structural features of accelerators and their basic units and systems are considered. The UP-2-1 accelerator is designed for researches in the field of experimental physics and applied problem solutions. The IMPLANT-500 accelerator is designed for commercial ion-beam facilities with closed loop of silicon plate treatment

  18. Study of elastic pion scattering from 9Be, 28Si, 58Ni, and 208Pb at 162 MeV

    International Nuclear Information System (INIS)

    Devereux, M.J.

    1979-05-01

    Elastic pion scattering from 9 Be, 28 Si, 58 Ni, and 208 Pb at 162 MeV is analyzed and compared with an optical model theory which incorporates a pion--nucleon range. Excellent fits to the data are obtained in all but one case. The fitted values of the pion--nucleon range, as well as other fitted values are listed. 108 references

  19. Sacroiliac joint stability: Finite element analysis of implant number, orientation, and superior implant length.

    Science.gov (United States)

    Lindsey, Derek P; Kiapour, Ali; Yerby, Scott A; Goel, Vijay K

    2018-03-18

    To analyze how various implants placement variables affect sacroiliac (SI) joint range of motion. An experimentally validated finite element model of the lumbar spine and pelvis was used to simulate a fusion of the SI joint using various placement configurations of triangular implants (iFuse Implant System ® ). Placement configurations were varied by changing implant orientation, superior implant length, and number of implants. The range of motion of the SI joint was calculated using a constant moment of 10 N-m with a follower load of 400 N. The changes in motion were compared between the treatment groups to assess how the different variables affected the overall motion of the SI joint. Transarticular placement of 3 implants with superior implants that end in the middle of the sacrum resulted in the greatest reduction in range of motion (flexion/extension = 73%, lateral bending = 42%, axial rotation = 72%). The range of motions of the SI joints were reduced with use of transarticular orientation (9%-18%) when compared with an inline orientation. The use of a superior implant that ended mid-sacrum resulted in median reductions of (8%-14%) when compared with a superior implant that ended in the middle of the ala. Reducing the number of implants, resulted in increased SI joint range of motions for the 1 and 2 implant models of 29%-133% and 2%-39%, respectively, when compared with the 3 implant model. Using a validated finite element model we demonstrated that placement of 3 implants across the SI joint using a transarticular orientation with superior implant reaching the sacral midline resulted in the most stable construct. Additional clinical studies may be required to confirm these results.

  20. Fluence dependence of disorder depth profiles in Pb implanted Si

    International Nuclear Information System (INIS)

    Christodoulides, C.E.; Kadhim, N.J.; Carter, G.

    1980-01-01

    The total, depth integrated disorder, induced by Pb implantation into Si at room temperature, initially increases rapidly with implantation fluence and then reaches a quasi saturation level where the increase with fluence is slow. Measurements of the depth distributions of the disorder, using high resolution low angle exit Rutherford Backscattering/Channelling analysis, suggest that the quasi saturation results from overlapping of disordered zones generated deep in the tail of the disorder-depth profiles. The depth of the disordered solid-crystal boundary, xsub(D), increases with ion fluence PHI, according to the relation xsub(D) = x bar + f(PHI).σ, where x bar is the most probable projected depth and σ the projected standard deviation of disorder generation. It is shown that this relationship is consistent with an approximately Gaussian depth distribution of disorder production. (author)

  1. Swift heavy ion irradiation effects in SiC measured by positrons

    Energy Technology Data Exchange (ETDEWEB)

    Liszkay, L.; Kajcsos, Zs.; Szilagyi, E. [KFKI Research Inst. for Nuclear and Particle Physics, Budapest (Hungary); Havancsak, K. [Dept. for Solid State Physics, Eoetvoes Univ., Budapest (Hungary); Barthe, M.F.; Desgardin, P.; Henry, L. [CNRS Centre d' Etudes et de Recherches par Irradiation, Orleans (France); Battistig, G. [Research Inst. for Technical Physics and Materials Science, Budapest (Hungary); Skuratov, V.A. [Joint Inst. of Nuclear Research, Moscow (Russian Federation). Bogoliubov Lab. of Theoretical Physics

    2001-07-01

    N-type 6H SiC single crystals irradiated with swift (246 MeV) Kr ions at room temperature (the implantation depth being 21 {mu}m) were investigated by conventional positron lifetime and Doppler-broadening measurements as well as with the application of a slow positron beam. The fluence dependence of the irradiation-induced defects was studied in the 1 x 10{sup 10} - 1 x 10{sup 14} ion cm{sup -2} range. In the fluence and depth range studied, no sign of amorphization (or creation of large voids) was seen in the Kr irradiated crystals. The positron annihilation results were compared with atomic displacement calculations by TRIM. A simple model was used to describe the trapping effect and determine the relationship between the atomic displacement densities and the positron trapping. The 225 ps lifetime of the open-volume defects created suggests that the V{sub Si}-V{sub C} divacancy is the dominant trapping site in the implanted zone. (orig.)

  2. Irradiation effects of 6 MeV electron on electrical properties of Al/Al2O3/n-Si MOS capacitors

    International Nuclear Information System (INIS)

    Laha, P.; Banerjee, I.; Bajaj, A.; Chakraborty, P.; Barhai, P.K.; Dahiwale, S.S.; Das, A.K.; Bhoraskar, V.N.; Kim, D.; Mahapatra, S.K.

    2012-01-01

    The influence of 6 MeV electron irradiation on the electrical properties of Al/Al 2 O 3 /n-Si metal–oxide–semiconductor (MOS) capacitors has been investigated. Using rf magnetron sputtering deposition technique, Al/Al 2 O 3 /n-Si MOS capacitors were fabricated and such twelve capacitors were divided into four groups. The first group of MOS capacitors was not irradiated with 6 MeV electrons and treated as virgin. The second group, third group and fourth group of MOS capacitors were irradiated with 6 MeV electrons at 10 kGy, 20 kGy, and 30 kGy doses, respectively, keeping the dose rate ∼1 kGy/min. The variations in crystallinity of the virgin and irradiated MOS capacitors have been compared from GIXRD (Grazing Incidence X-ray Diffraction) spectra. Thickness and in-depth elemental distributions of individual layers were performed using Secondary Ion Mass Spectrometry (SIMS). The device parameters like flat band voltage (V FB ) and interface trap density (D it ) of virgin and irradiated MOS capacitors have been calculated from C vs V and G/ω vs V curve, respectively. The electrical properties of the capacitors were investigated from the tan δ vs V graph. The device parameters were estimated using C–V and G/ω–V measurements. Poole–Frenkel coefficient (β PF ) of the MOS capacitors was determined from leakage current (I)–voltage (V) measurement. The leakage current mechanism was proposed from the β PF value. - Highlights: ► The electron irradiation effects make variation in the device parameters. ► The device parameters changes due to percentage of defects and charge trapping. ► Leakage current of Al/Al 2 O 3 /n-Si changes due to interface dangling bonds. ► The leakage current mechanism of MOS structures is due to Poole-Frenkel effect.

  3. Effect of recoiled O on damage regrowth and electrical properties of through-oxide implanted Si

    International Nuclear Information System (INIS)

    Sadana, D.K.; Wu, N.R.; Washburn, J.; Current, M.; Morgan, A.; Reed, D.; Maenpaa, M.

    1982-10-01

    High dose (4 to 7.5 x 10 15 cm -2 ) As implantations into p-type (100) Si have been carried out through a screen-oxide of thicknesses less than or equal to 775A and without screen oxide. The effect of recoiled O on damage annealing and electrical properties of the implanted layers has been investigated using a combination of the following techniques: TEM, RBS/MeV He + channeling, SIMS and Hall measurements in conjunction with chemical stripping and sheet resistivity measurements. The TEM results show that there is a dramatically different annealing behavior of the implantation damage for the through oxide implants (Case I) as compared to implants into bare silicon (Case II). Comparison of the structural defect profiles with O distributions obtained by SIMS demonstrated that retardation in the secondary damage growth in Case I can be directly related with the presence of O. Weak-beam TEM showed that a high density of fine defect clusters (less than or equal to 50A) were present both in Case I and Case II. The electrical profiles showed only 30% of the total As to be electrically active. The structural and electrical results have been explained by a model that entails As-O, Si-O and As-As complex formation and their interaction with the dislocations

  4. Restoration of an electrical breakdown Terahertz emitter by 2 MeV He+ ion implantation

    International Nuclear Information System (INIS)

    Yang kang; Ma Mingwang; Chen Xiliang; Zhu Zhiyong

    2009-01-01

    The irradiation of solids by energetic particles may cause extensive displacement cascades and point defects (vacancies and interstitials), and can be widely used for material modification. In order to repair an electrical breakdown photoconductive antenna (PCA), we irradiated the (100)-oriented, low-temperature (LT) grown GaAs substrate with 10 16 /cm 2 of 2 MeV helium ions. After being implanted, electric resistance of the PCA has increased from 800 Ω to 60 ΜΩ. The irradiated PCA exhibits improvements in the output power in comparison with the electrical breakdown PCA and its signal intensity has increased from 2 nA to 8 nA. Accordingly, its output power has become more than one order of magnitude higher than that before irradiation. The frequency range of PCA has obviously improvement. (authors)

  5. Oxygen recoil implant from SiO2 layers into single-crystalline silicon

    International Nuclear Information System (INIS)

    Wang, G.; Chen, Y.; Li, D.; Oak, S.; Srivastav, G.; Banerjee, S.; Tasch, A.; Merrill, P.; Bleiler, R.

    2001-01-01

    It is important to understand the distribution of recoil-implanted atoms and the impact on device performance when ion implantation is performed at a high dose through surface materials into single crystalline silicon. For example, in ultralarge scale integration impurity ions are often implanted through a thin layer of screen oxide and some of the oxygen atoms are inevitably recoil implanted into single-crystalline silicon. Theoretical and experimental studies have been performed to investigate this phenomenon. We have modified the Monte Carlo ion implant simulator, UT-Marlowe (B. Obradovic, G. Wang, Y. Chen, D. Li, C. Snell, and A. F. Tasch, UT-MARLOWE Manual, 1999), which is based on the binary collision approximation, to follow the full cascade and to dynamically modify the stoichiometry of the Si layer as oxygen atoms are knocked into it. CPU reduction techniques are used to relieve the demand on computational power when such a full cascade simulation is involved. Secondary ion mass spectrometry (SIMS) profiles of oxygen have been carefully obtained for high dose As and BF 2 implants at different energies through oxide layers of various thicknesses, and the simulated oxygen profiles are found to agree very well with the SIMS data. [copyright] 2001 American Institute of Physics

  6. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  7. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  8. Scaling of ion implanted Si:P single electron devices

    International Nuclear Information System (INIS)

    Escott, C C; Hudson, F E; Chan, V C; Petersson, K D; Clark, R G; Dzurak, A S

    2007-01-01

    We present a modelling study on the scaling prospects for phosphorus in silicon (Si:P) single electron devices using readily available commercial and free-to-use software. The devices comprise phosphorus ion implanted, metallically doped (n + ) dots (size range 50-500 nm) with source and drain reservoirs. Modelling results are compared to measurements on fabricated devices and discussed in the context of scaling down to few-electron structures. Given current fabrication constraints, we find that devices with 70-75 donors per dot should be realizable. We comment on methods for further reducing this number

  9. Scaling of ion implanted Si:P single electron devices

    Energy Technology Data Exchange (ETDEWEB)

    Escott, C C [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Hudson, F E [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Chan, V C [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Petersson, K D [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Clark, R G [Centre for Quantum Computer Technology, School of Physics, UNSW, Sydney, 2052 (Australia); Dzurak, A S [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia)

    2007-06-13

    We present a modelling study on the scaling prospects for phosphorus in silicon (Si:P) single electron devices using readily available commercial and free-to-use software. The devices comprise phosphorus ion implanted, metallically doped (n{sup +}) dots (size range 50-500 nm) with source and drain reservoirs. Modelling results are compared to measurements on fabricated devices and discussed in the context of scaling down to few-electron structures. Given current fabrication constraints, we find that devices with 70-75 donors per dot should be realizable. We comment on methods for further reducing this number.

  10. The Effect of Annealing at 15000C on Migration and Release of Ion Implanted Silver in CVD Silicon Carbide

    International Nuclear Information System (INIS)

    HJ MacLean; RG Ballinger; LE Kolaya; SA Simonson; N Lewis; M Hanson

    2004-01-01

    The transport of silver in CVD β-SiC has been studied using ion implantation. Silver ions were implanted in β-SiC using the ATLAS accelerator facility at the Argonne National Laboratory. Ion beams with energies of 93 and 161 MeV were used to achieve deposition with peak concentrations at depths of approximately 9 and 13 (micro)m, respectively. As-implanted samples were then annealed at 1500 C for 210 or 480 hours. XPS, SEM, TEM, STEM, and optical methods were used to analyze the material before and after annealing. Silver concentration profiles were determined using XPS before and after annealing. STEM and SEM equipped with quantitative chemical analysis capability were used to more fully characterize the location and morphology of the silver before and after annealing. The results show that, within the uncertainty of measurement techniques, there is no silver migration, via either inter- or intragrannular paths, for the times and temperature studied. Additionally, the silver was observed to phase separate within the SiC after annealing. The irradiation damage from the implantation process resulted in a three-layer morphology in the as-implanted condition: (1) a layer of unaltered SiC, followed by (2) a layer of crystallized SiC, followed by (3) an amorphized layer which contained essentially all of the implanted silver. After annealing the layer structure changed. Layer 1 was unaltered. The grains in layer 2 recrystallized to form an epitaxial (columnar) layer. Layer 3 recrystallized to form a fine grain equiaxed layer. The results of this work do not support the long held assumption that silver release from CVD SiC, used for gas-reactor coated particle fuel, is dominated by grain boundary diffusion

  11. Study of radiation damage restoration and antimony ions redistribution in Si(1 0 0) and Si(1 1 1) crystals

    CERN Document Server

    Labbani, R; Chafi, Z

    2002-01-01

    In this work, we study the radiation damage restoration and antimony ions redistribution into and oriented silicon substrates. The samples are implanted with antimony to a dose of 5x10 sup 1 sup 4 Sb sup + cm sup - sup 2 at 60 keV energy, then annealed under oxygen atmosphere at 900 deg. C, 30 min. The thin layer of SiO sub 2 (which is formed on Si surface by dry oxidation and expected to prevent any loss of Sb sup + dopant during Si recovery) is removed by a 10% HF solution. The specimens are analyzed by H sup + Rutherford Backscattering Spectrometry operating at 0.3 MeV energy in both random and channelling modes. The values of the projected range, R sub p , the standard deviation, DELTA R sub p , and the dose of antimony ions, which are estimated with a simple program, are in agreement with tabulated ones. It is also shown that the surface damage restoration is better for Si(1 0 0) samples than for Si(1 1 1) ones, in other words, the radiation damage is more significant in Si(1 1 1) substrates. Moreover,...

  12. Fe and Cu in Si: Lattice sites and trapping at implantation-related defects

    International Nuclear Information System (INIS)

    Wahl, U.; Correia, J.G.; Rita, E.; Araujo, J.P.; Soares, J.C.

    2006-01-01

    We have used the emission channeling technique in order to study the lattice sites of radioactive 59 Fe and 67 Cu following 60 keV ion implantation into Si single crystals at fluences around 10 12 -10 14 cm -2 . We find that in the room temperature as-implanted state in high-resistivity Si both Fe and Cu occupy mainly lattice sites displaced around 0.05 nm (0.5 A) from substitutional positions. Both are released from these positions during annealing at temperatures between 300 deg. C and 600 deg. C. Fe is then found mainly on near-tetrahedral interstitial sites and further annealing causes it to be increasingly incorporated on ideal substitutional sites, on which it is stable to around 800 deg. C. We have strong indications that during annealing around 600 deg. C, along with the dominance of interstitial Fe, a redistribution towards the surface takes place, suggesting that the subsequent formation of ideal substitutional Fe may be related to the trapping of Fe at R p /2, half of its implanted depth. Possible R p /2 trapping might also have taken place in our Cu experiments but appears to be less efficient since Cu tended to escape to the bulk of the samples

  13. Negative differential resistance effect induced by metal ion implantation in SiO2 film for multilevel RRAM application

    Science.gov (United States)

    Wu, Facai; Si, Shuyao; Shi, Tuo; Zhao, Xiaolong; Liu, Qi; Liao, Lei; Lv, Hangbing; Long, Shibing; Liu, Ming

    2018-02-01

    Pt/SiO2:metal nanoparticles/Pt sandwich structure is fabricated with the method of metal ion (Ag) implantation. The device exhibits multilevel storage with appropriate R off/R on ratio, good endurance and retention properties. Based on transmission electron microscopy and energy dispersive spectrometer analysis, we confirm that Pt nanoparticles are spurted into SiO2 film from Pt bottom electrode by Ag implantation; during electroforming, the local electric field can be enhanced by these Pt nanoparticles, meanwhile the Ag nanoparticles constantly migrate toward the Pt nanoparticles. The implantation induced nanoparticles act as trap sites in the resistive switching layer and play critical roles in the multilevel storage, which is evidenced by the negative differential resistance effect in the current-voltage (I-V) measurements.

  14. Damage accumulation and annealing in 6H-SiC irradiated with Si+

    International Nuclear Information System (INIS)

    Jiang, W.; Weber, W.J.; Thevuthasan, S.; McCready, D.E.

    1998-01-01

    Damage accumulation and annealing in 6H-silicon carbide (α-SiC) single crystals have been studied in situ using 2.0 MeV He + RBS in a left angle 0001 right angle -axial channeling geometry (RBS/C). The damage was induced by 550 keV Si + ion implantation (30 off normal) at a temperature of -110 C, and the damage recovery was investigated by subsequent isochronal annealing (20 min) over the temperature range from -110 C to 900 C. At ion fluences below 7.5 x 10 13 Si + /cm 2 (0.04 dpa in the damage peak), only point defects appear to be created. Furthermore, the defects on the Si sublattice can be completely recovered by thermal annealing at room temperature (RT), and recovery of defects on the C sublattice is suggested. At higher fluences, amorphization occurs; however, partial damage recovery at RT is still observed, even at a fluence of 6.6 x 10 14 Si + /cm 2 (0.35 dpa in the damage peak) where a buried amorphous layer is produced. At an ion fluence of 6.0 x 10 15 Si + /cm 2 (-90 C), an amorphous layer is created from the surface to a depth of 0.6 μm. Because of recovery processes at the buried crystalline-amorphous interface, the apparent thickness of this amorphous layer decreases slightly (<10%) with increasing temperature over the range from -90 C to 600 C. (orig.)

  15. Effect of radiation damage on luminescence of erbium-implanted SiO sub 2 /Si studied by slow positron beam

    CERN Document Server

    Kawasuso, A; Hirata, K; Sekiguchi, T; Kobayashi, Y; Okada, S

    2000-01-01

    The effect of damage on 1.54 mu m luminescence for 30 keV-Er-implanted SiO sub 2 films has been studied by positron annihilation and cathodoluminescence. It was found that S-parameter in the films decreased after implantation, indicating the suppression of positronium formation. The luminescence appeared with the recovery of the S-parameter after 600 deg. C annealing. The intensity reached a maximum at 900 deg. C annealing whereas the S-parameter did not change significantly. It seems that most damages recover at 600 deg. C and thereafter Er ions transform to an optically active state at 900 deg. C.

  16. Beam-envelope calculations of space-charge loaded beams in MeV dc ion-implantation facilities

    International Nuclear Information System (INIS)

    Urbanus, W.H.; Bannenberg, J.G.; Doorn, S.; Saris, F.W.; Koudijs, R.; Dubbelman, P.; Koelewijn, W.

    1989-01-01

    MeV dc ion accelerators are being developed that can deliver a beam current up to several hundred micro-amperes. At the low-energy part of the accelerator, the beam transport is space-charge dominated rather than emittance dominated. A system of differential equations has been derived, based on the Kapchinski-Vladimirski equations, which describe the envelope of a space-charge loaded ion beam, taking a longitudinal electrical field in an accelerating tube into account. The equations have been used to design the accelerator of a high-current 1 MV heavy-ion implantation facility. Furthermore, the design of a 2 MV accelerator is presented, which is used for analyzing techniques such as RBS and PIXE. Both facilities are based on single-ended Van de Graaff accelerators. (orig.)

  17. Hall effect mobility for SiC MOSFETs with increasing dose of nitrogen implantation into channel region

    Science.gov (United States)

    Noguchi, Munetaka; Iwamatsu, Toshiaki; Amishiro, Hiroyuki; Watanabe, Hiroshi; Kita, Koji; Yamakawa, Satoshi

    2018-04-01

    The Hall effect mobility (μHall) of the Si-face 4H-SiC metal–oxide–semiconductor field effect transistor (MOSFET) with a nitrogen (N)-implanted channel region was investigated by increasing the N dose. The μHall in the channel region was systematically examined regarding channel structures, that is, the surface and buried channels. It was experimentally demonstrated that increasing the N dose results in an improvement in μHall in the channel region due to the formation of the buried channel. However, further increase in N dose was found to decrease the μHall in the channel region, owing to the decrease in the electron mobility in the N-implanted bulk region.

  18. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L

    2001-05-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO{sub 2} layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing.

  19. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    International Nuclear Information System (INIS)

    Normand, P.; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L.

    2001-01-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO 2 layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing

  20. Enhanced photoelectrochemical properties of 100 MeV Si8+ ion irradiated barium titanate thin films

    International Nuclear Information System (INIS)

    Solanki, Anjana; Choudhary, Surbhi; Satsangi, Vibha R.; Shrivastav, Rohit; Dass, Sahab

    2013-01-01

    Highlights: ► Effect of 100 MeV Si 8+ ion irradiation on photoelectrochemical (PEC) properties of BaTiO 3 thin films was studied. ► Films were deposited on Indium doped Tin Oxide (ITO) coated glass by sol–gel spin coating technique. ► Optimal irradiation fluence for best PEC response was 5 × 10 11 ion cm −2 . ► Maximum photocurrent density was observed to be 0.7 mA cm −2 at 0.4 V/SCE. ► Enhanced photo-conversion efficiency was due to maximum negative flatband potential, donor density and lowest resistivity. -- Abstract: Effects of high electronic energy deposition on the structure, surface topography, optical property and photoelectrochemical behavior of barium titanate (BaTiO 3 ) thin films were investigated by irradiating films with 100 MeV Si 8+ ions at different ion fluences in the range of 1 × 10 11 –2 × 10 13 ions cm −2 . BaTiO 3 thin films were deposited on indium tin oxide coated glass substrate by sol gel spin coating method. Irradiation induced modifications in the films were analyzed using the results from XRD, SEM, cross sectional SEM, AFM and UV–Vis spectrometry. Maximum photocurrent density of 0.7 mA cm −2 at 0.4 V/SCE and applied bias hydrogen conversion efficiency (ABPE) of 0.73% was observed for BaTiO 3 film irradiated at 5 × 10 11 ions cm −2 , which can be attributed to maximum negative value of the flatband potential and donor density and lowest resistivity

  1. Characteristic electron energy loss spectra in SiC buried layers formed by C+ implantation into crystalline silicon

    International Nuclear Information System (INIS)

    Yan Hui; Chen Guanghua; Kwok, R.W.M.

    1998-01-01

    SiC buried layers were synthesized by a metal vapor vacuum arc ion source, with C + ions implanted into crystalline Si substrates. According to X-ray photoelectron spectroscopy, the characteristic electron energy loss spectra of the SiC buried layers were studied. It was found that the characteristic electron energy loss spectra depend on the profiles of the carbon content, and correlate well with the order of the buried layers

  2. Investigation of the atomic interface structure of mesotaxial Si/CoSi2(100) layers formed by high-dose implantation

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Jong, A.F. de; Vandenhoudt, D.E.W.

    1991-01-01

    Aligned mesotaxial films of CoSi 2 in monocrystalline (100) oriented Si substrates have been formed by high-dose ion implantation of Co, followed by a high temperature treatment. The atomic structures of both the lower and upper Si/CoSi 2 (100) interfaces of the buried CoSi 2 layer have been investigated by high-resolution electron microscopy (HREM) combined with image simulations. A domain-like structure is observed consisting of areas with different interfaces. In order to derive the atomic configuration, image simulations of different proposed models are presented. By comparing simulated images and HREM images, two different atomic structure models for the Si/CoSi 2 (100) interface have been found. In the first model the interfacial Co atoms are six-fold coordinated and the tetrahedral coordination and bond lengths of silicon atoms are everywhere maintained. In the second model we found evidence for a 2 x 1 interface reconstruction, involving a difference in composition. The interfacial Co atoms are seven-fold coordinated. It is shown that the boundaries between the domains are associated with interfacial dislocations of edge-type with Burgers vectors b a/4 inclined and b = a/2 parallel to the interfacial plane. (author)

  3. Boron lattice location in room temperature ion implanted Si crystal

    International Nuclear Information System (INIS)

    Piro, A.M.; Romano, L.; Mirabella, S.; Grimaldi, M.G.

    2005-01-01

    The B lattice location in presence of a Si-self-interstitial (I Si ) supersaturation, controlled by energetic proton bombardment, has been studied by means of ion channelling and massive Monte Carlo simulations. B-doped layers of Si crystals with a B concentration of 1 x 10 2 B/cm 3 were grown by Molecular Beam Epitaxy. Point defect engineering techniques, with light energetic ion implants, have been applied to generate an I Si uniform injection in the electrically active layer. The displacement of B atoms out of substitutional lattice sites was induced by 650 keV proton irradiations at room temperature (R.T.) and the resultant defect configuration was investigated by ion channelling and Nuclear Reaction Analysis (NRA) techniques. Angular scans were measured both through and axes along the (1 0 0) plane using the 11 B(p,α) 8 Be nuclear reaction at 650 keV proton energy. Monte Carlo simulated angular scans were calculated considering a variety of theoretical defect configurations, supported by literature, and compared with experimental data. Our experimental scans can be fitted by a linear combination of small (0.3 A) and large B displacements (1.25 A) along the direction, compatible with the B-dumbbell oriented along as proposed by ab initio calculations

  4. Thermoluminescence of sol–gel derived Y{sub 2}O{sub 3}:Nd{sup 3+} nanophosphor exposed to 100 MeV Si{sup 8+} ions and gamma rays

    Energy Technology Data Exchange (ETDEWEB)

    Shivaramu, N.J. [Department of Physics, Jnanabharathi Campus, Bangalore University, Bangalore 560 056 (India); Lakshminarasappa, B.N., E-mail: bnlnarassappa@rediffmail.com [Department of Physics, Jnanabharathi Campus, Bangalore University, Bangalore 560 056 (India); Nagabhushana, K.R., E-mail: bhushankr@gmail.com [Department of Physics (S and H), PES Institute of Technology, 100 Feet Ring Road, BSK III stage, Bangalore 560085 (India); Singh, Fouran [Inter University Accelerator Centre, P.O. Box No. 10502, New Delhi 110 067 (India)

    2015-07-15

    Highlights: • Nanocrystalline Nd{sup 3+} doped Y{sub 2}O{sub 3} was synthesized by sol–gel technique. • Pellets of Y{sub 2}O{sub 3}:Nd{sup 3+} were irradiated with 100 MeV swift Si{sup 8+} ions and γ-rays. • The relative TL efficiency of Y{sub 2}O{sub 3}:Nd{sup 3+} of 100 MeV Si ion to γ-rays of {sup 60}Co and is found to be 0.059. • Gamma irradiated Y{sub 2}O{sub 3}:Nd{sup 3+} was observed, it is suitable for space dosimetry application. - Abstract: Nanocrystalline Nd{sup 3+} doped Y{sub 2}O{sub 3} was synthesized by sol–gel technique. Crystallite size calculated by Scherrer relation was found to be in the range 28–30 nm. Fourier transform infrared spectroscopy (FTIR) revealed Y−O, −OH stretching and C−O bending bonds. Pellets of Y{sub 2}O{sub 3}:Nd{sup 3+} were irradiated with 100 MeV swift Si{sup 8+} ions and γ-rays for the fluence/dose in the range 3 × 10{sup 11}–3 × 10{sup 13} ions cm{sup −2} and 1.0{sup -}14 kGy respectively. A prominent thermoluminescence (TL) glow with peak at 527 K and a weak one with peak at 600 K were observed in Si{sup 8+} ion irradiated samples while, a prominent TL glow with peak at 393 K besides a shoulder at 434 K and a weak one with peak at 581 K were observed in γ-irradiated phosphors. The relative TL efficiency of Y{sub 2}O{sub 3}:Nd{sup 3+} of 100 MeV Si ion beam to γ-rays of {sup 60}Co and is found to be 0.059. The TL kinetic parameters were calculated using Chen’s peak shape method and the results obtained are discussed. Y{sub 2}O{sub 3}:Nd{sup 3+} was observed for its use in space dosimetry application.

  5. Comparative study of SOI/Si hybrid substrates fabricated using high-dose and low-dose oxygen implantation

    International Nuclear Information System (INIS)

    Dong Yemin; Chen Meng; Chen Jing; Wang Xiang; Wang Xi

    2004-01-01

    Hybrid substrates comprising both silicon-on-insulator (SOI) and bulk Si regions have been fabricated using the technique of patterned separation by implantation of oxygen (SIMOX) with high-dose (1.5 x 10 18 cm -2 ) and low-dose ((1.5-3.5) x 10 17 cm -2 ) oxygen ions, respectively. Cross-sectional transmission electron microscopy (XTEM) was employed to examine the microstructures of the resulting materials. Experimental results indicate that the SOI/Si hybrid substrate fabricated using high-dose SIMOX is of inferior quality with very large surface height step and heavily damaged transitions between the SOI and bulk regions. However, the quality of the SOI/Si hybrid substrate is enhanced dramatically by reducing the implant dose. The defect density in transitions is reduced considerably. Moreover, the expected surface height difference does not exist and the surface is exceptionally flat. The possible mechanisms responsible for the improvements in quality are discussed

  6. Contribution estimate of the 2+(1.78 MeV) level excitation in silicon nucleus to the cross section of the 28Si(π, 3π)28Si * reaction

    International Nuclear Information System (INIS)

    Ermekov, N.T.; Korotkikh, V.L.; Starkov, N.I.

    1981-01-01

    For the purpose of studying the channel contribution with low-lying level excitation in silicon nucleus into the differential cross section of the reaction the π - + 28 Si→π - +π - +π + + 28 Si reaction is investigated at the bombarding 16 GeV/c pion momentum. The calculation of differential cross sections on the basis of the Glauber model with a factorizing nucleus density is performed. The found parameters of nuclear densities are tabulated. The investigated reaction differential cross sections are calculated with account of coherent production as well as the channel with 2 + (1.78 MeV) level excitation. The calculated differential cross sections values integrated by the effective mass of the produced three-pion system (0.9 GeV + , 1.78 MeV)=0.25 mb are obtained. It is shown that filling the diffraction minimum in three-pion production differential cross section for ''alive'' silicon target is due to contribution from events with the excitation of the low-lying level 2 + (1.78 MeV) [ru

  7. Formation mechanism of SiC in C-Si system by ion irradiation

    International Nuclear Information System (INIS)

    Hishita, Shunichi; Aizawa, Takashi; Suehara, Shigeru; Haneda, Hajime

    2003-01-01

    The irradiation effects of 2 MeV He + , Ne + , and Ar + ions on the film structure of the C-Si system were investigated with RHEED and XPS. The ion dose dependence of the SiC formation was kinetically analyzed. The SiC formation at moderate temperature was achieved by 2 MeV ion irradiation when the thickness of the initial carbon films was appropriate. The evolution process of the SiC film thickness consisted of the 3 stages. The first stage was the steep increase of the SiC, and was governed by the inelastic collision. The second was the gentle increase of the SiC, and was governed by the diffusion. The last was the decrease of the SiC, and was caused by the sputtering. The formation mechanism of the SiC was discussed. (author)

  8. Nucleation, growth and dissolution of extended defects in implanted Si: impact on dopant diffusion

    International Nuclear Information System (INIS)

    Claverie, A.; Giles, L.F.; Omri, M.; Mauduit, B. de; Ben Assayag, G.; Mathiot, D.

    1999-01-01

    Transient Enhanced Diffusion (TED) of boron in silicon is driven by the large supersaturations of self-interstitial silicon atoms left after implantation which also often lead to the nucleation and subsequent growth, upon annealing, of extended defects. In this paper we review selected experimental results and concepts concerning boron diffusion and/or defect behavior which have recently emerged with the ion implantation community and briefly indicate how they are, or will be, currently used to improve 'predictive simulations' softwares aimed at predicting TED. In a first part, we focus our attention on TED and on the formation of defects in the case of 'direct' implantation of boron in silicon. In a second part, we review our current knowledge of the defects and of the diffusion behavior of boron when annealing preamorphised Si. In a last part, we try to compare these two cases and to find out what are the reasons for some similarities and many differences in defect types and thermal evolution depending on whether boron is implanted in crystalline or amorphous silicon. While rising many more questions, we propose a 'thermodynamical' vision of the nucleation and growth of clusters and extended defects and stress the interactions between these defects and the free Si self-interstitial atoms which surround them and are the source for TED in all cases. A pragmatic approach to the simulation of TED for various experimental conditions is proposed

  9. Co-delivery of siRNA and doxorubicin to cancer cells from additively manufactured implants

    DEFF Research Database (Denmark)

    Chen, Muwan; Andersen, Morten Østergaard; Dillschneider, Philipp

    2015-01-01

    , capable of physically supporting the void while killing residual cancer cells, would be an attractive solution. Here we describe a novel additively manufactured implant that can be functionalized with chitosan/siRNA nanoparticles. These induce long term gene silencing in adjacent cancer cells without...

  10. Exploring of defects in He+ implanted Si(100) by slow positron beam

    International Nuclear Information System (INIS)

    Zhang Tianhao; Weng Huimin; Fan Yangmei; Du Jiangfeng; Zhou Xianyi; Han Rongdian; Zhang Miao; Lin Chenglu

    2001-01-01

    Si(100) crystal implanted by 5 x 10 16 cm -2 , 140 keV He + was probed by slow positron beam, and defect distribution along depth was obtained from the relation between S parameter and positron incidence energy. The near surface region of implanted sample was only slightly damaged. Small vacancies and vacancy clusters less than 1 nm in diameter were the dominant defects, while the deeper region around the He + projected range was heavily damaged and had dense larger helium micro-bubbles and microvoids. Thermal anneal study at different temperatures showed that low temperature annealing could remove most vacancy-type defects effectively. However, annealing at high temperature enlarged the diameters of micro-bubbles and microvoids

  11. Thermal stability of intermediate band behavior in Ti implanted Si

    Energy Technology Data Exchange (ETDEWEB)

    Olea, J.; Pastor, D.; Martil, I.; Gonzalez-Diaz, G. [Dpto. De Fisica Aplicada III (Electricidad y Electronica), Facultad de Ciencias Fisicas, Universidad Complutense de Madrid, E-28040 Madrid (Spain)

    2010-11-15

    Ti implantation in Si with very high doses has been performed. Subsequent Pulsed Laser Melting (PLM) annealing produces good crystalline lattice with electrical transport properties that are well explained by the Intermediate Band (IB) theory. Thermal stability of this new material is analyzed by means of isochronal annealing in thermodynamic equilibrium conditions at increasing temperature. A progressive deactivation of the IB behavior is shown during thermal annealing, and structural and electrical measurements are reported in order to find out the origin of this result. (author)

  12. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    Energy Technology Data Exchange (ETDEWEB)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir; Bregolin, Felipe L.; Hübner, Rene; Voelskow, Matthias; Helm, Manfred; Skorupa, Wolfgang [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany)

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of the III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.

  13. Energy dependence of isovector and isoscalar 1+ excitations in 28Si(p,p/sup '/) between 200 and 400 MeV

    International Nuclear Information System (INIS)

    Haeusser, O.; Sawafta, R.; Jeppesen, R.G.

    1988-01-01

    Forward-angle cross sections for 1 + , T = 1 and 1 + , T = 0 states in 28 Si excited by the (p,p') reaction have been measured to determine the energy dependence of important pieces of the effective nucleon-nucleus interaction. The isovector spin-transfer transitions depend on energy as expected from distorted-wave impulse approximation calculations based on the dominant V/sub Σ//sub tau/ part of the Franey-Love interaction. The parts of this interaction responsible for exciting the 9.5 MeV isosca- lar spin-flip transition predict a weaker energy dependence than is observed experimentally. The summed Gamow-Teller strength for isovector transitions below 14.5 MeV is found to be (0.89 +- 0.09) times the result of large-scale shell model calculations

  14. Leaky mode suppression in planar optical waveguides written in Er:TeO{sub 2}–WO{sub 3} glass and CaF{sub 2} crystal via double energy implantation with MeV N{sup +} ions

    Energy Technology Data Exchange (ETDEWEB)

    Bányász, I., E-mail: banyasz@sunserv.kfki.hu [Department of Crystal Physics, Wigner Research Centre for Physics, Hungarian Academy of Sciences, P.O.B. 49, H-1525 Budapest (Hungary); Zolnai, Z.; Fried, M. [Research Institute for Technical Physics and Materials Science, Research Centre for Natural Sciences, Hungarian Academy of Sciences, P.O.B. 49, Budapest H-1525 (Hungary); Berneschi, S. [MDF-Lab, “Nello Carrara” Institute of Applied Physics, IFAC-CNR, Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy); “Enrico Fermi” Center for Study and Research, Piazza del Viminale 2, 00184 Roma (Italy); Pelli, S.; Nunzi-Conti, G. [MDF-Lab, “Nello Carrara” Institute of Applied Physics, IFAC-CNR, Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy)

    2014-05-01

    Ion implantation proved to be an universal technique for producing waveguides in most optical materials. Tellurite glasses are good hosts of rare-earth elements for the development of fibre and integrated optical amplifiers and lasers covering all the main telecommunication bands. Er{sup 3+}-doped tellurite glasses are good candidates for the fabrication of broadband amplifiers in wavelength division multiplexing around 1.55 μm, as they exhibit large stimulated cross sections and broad emission bandwidth. Calcium fluoride is an excellent optical material, due to its perfect optical characteristics from UV wavelengths up to near IR. It has become a promising laser host material (doped with rare earth elements). Ion implantation was also applied to optical waveguide fabrication in CaF{sub 2} and other halide crystals. In the present work first single-energy implantations at 3.5 MeV at various fluences were applied. Waveguide operation up to 1.5 μm was observed in Er:Te glass, and up to 980 nm in CaF{sub 2}. Then double-energy implantations at a fixed upper energy of 3.5 MeV and lower energies between 2.5 and 3.2 MeV were performed to suppress leaky modes by increasing barrier width.

  15. Depth dependent modification of optical constants arising from H+ implantation in n-type 4H-SiC measured using coherent acoustic phonons

    Directory of Open Access Journals (Sweden)

    Andrey Baydin

    2016-06-01

    Full Text Available Silicon carbide (SiC is a promising material for new generation electronics including high power/high temperature devices and advanced optical applications such as room temperature spintronics and quantum computing. Both types of applications require the control of defects particularly those created by ion bombardment. In this work, modification of optical constants of 4H-SiC due to hydrogen implantation at 180 keV and at fluences ranging from 1014 to 1016 cm−2 is reported. The depth dependence of the modified optical constants was extracted from coherent acoustic phonon spectra. Implanted spectra show a strong dependence of the 4H-SiC complex refractive index depth profile on H+ fluence. These studies provide basic insight into the dependence of optical properties of 4H silicon carbide on defect densities created by ion implantation, which is of relevance to the fabrication of SiC-based photonic and optoelectronic devices.

  16. The effects of irradiation and proton implantation on the density of mobile protons in SiO2 films

    International Nuclear Information System (INIS)

    Vanheusden, K.

    1998-04-01

    Proton implantation into the buried oxide of Si/SiO 2 /Si structures does not introduce mobile protons. The cross section for capture of radiation-induced electrons by mobile protons is two orders of magnitude smaller than for electron capture by trapped holes. The data provide new insights into the atomic mechanisms governing the generation and radiation tolerance of mobile protons in SiO 2 . This can lead to improved techniques for production and radiation hardening of radiation tolerant memory devices

  17. SIMS analyses of ultra-low-energy B ion implants in Si: Evaluation of profile shape and dose accuracy

    International Nuclear Information System (INIS)

    Magee, C.W.; Hockett, R.S.; Bueyueklimanli, T.H.; Abdelrehim, I.; Marino, J.W.

    2007-01-01

    Numerous experimental studies for near-surface analyses of B in Si have shown that the B distribution within the top few nanometers is distorted by secondary ion mass spectrometry (SIMS) depth profiling with O 2 -flooding or normal incidence O 2 bombardment. Furthermore, the presence of surface oxide affects the X j determination as well as B profile shape when SIMS analyses are conducted while fully oxidizing the analytical area. Nuclear techniques such as elastic recoil detection (ERD), nuclear reaction analysis (NRA), and high-resolution Rutherford backscattering spectrometry (HR-RBS), are known to provide a profile shape near the surface that is free of artifacts. Comparisons with SIMS analyses have shown that SIMS analyses without fully oxidizing the analytical area agree well with these techniques at sufficiently high concentrations (where the nuclear techniques are applicable). The ability to measure both the B profile and an oxide marker with this non-oxidizing SIMS technique also allows accurate positioning of the B profile with respect to the SiO 2 /Si interface. This SIMS analysis protocol has been used to study the differences in near-surface dopant distribution for plasma-based implants. This study specifically focuses on measuring near-surface profile shapes as well as total implant doses for ultra-shallow B implants in Si especially those made with high peak B concentrations

  18. RBS/NRA/channeling analysis of implanted immiscible species

    International Nuclear Information System (INIS)

    Naramoto, H.; Yamamoto, S.; Narumi, K.

    2000-01-01

    Ion implantation of immiscible elements was performed to prepare supersaturated substance for further heat treatment. 63 Cu ion implantation was made at low temperature into Nb(1 0 0), (1 1 0) and (1 1 1) single crystal films on sapphire, and the induced lattice damage and the lattice location of implanted Cu atoms were analyzed by 2.7 MeV 4 He + RBS/channeling. The coherent segregation of 63 Cu atoms with specific crystallographic orientations was found in the near surface region (Cu(1 0 0)/Nb(1 0 0), Cu(1 1 1)/Nb(1 1 0) and Cu(1 1 0)/Nb(1 1 1)). The same kind of study was also made in Ir(1 0 0)/MgO(1 0 0) implanted with 50 keV 12 C + ions. In addition to 2 MeV 4 He + RBS/channeling, 1.22 MeV d + RBS/NRA/channeling was employed to detect implanted 12 C atoms. The results suggest that 12 C atoms are aligned along Ir direction at least by low temperature implantation followed by thermal annealing

  19. Vacancy-related defect distributions in 11B-, 14N-, and 27Al-implanted 4H-SiC: Role of channeling

    International Nuclear Information System (INIS)

    Janson, M.S.; Slotte, J.; Kuznetsov, A.Yu.; Saarinen, K.; Hallen, A.

    2004-01-01

    The defect distributions in 11 B-, 14 N-, and 27 Al-implanted epitaxial 4H-SiC are studied using monoenergetic positron beams. At least three types of defects are needed to account for the Doppler broadening annihilation spectra and two of the defects are tentatively identified as V Si , and V Si V C . By comparing the defect profiles extracted from the annihilation spectra to the chemical profiles determined by secondary ion mass spectrometry, and to the primary defect profiles obtained from binary collision approximation simulations, it is concluded that the defects found at depths considerably deeper than the projected range of the implanted ions mainly originate from deeply channeled ions

  20. Copper diffusion in Ti-Si-N layers formed by inductively coupled plasma implantation

    International Nuclear Information System (INIS)

    Ee, Y.C.; Chen, Z.; Law, S.B.; Xu, S.; Yakovlev, N.L.; Lai, M.Y.

    2006-01-01

    Ternary Ti-Si-N refractory barrier films of 15 nm thick was prepared by low frequency, high density, inductively coupled plasma implantation of N into Ti x Si y substrate. This leads to the formation of Ti-N and Si-N compounds in the ternary film. Diffusion of copper in the barrier layer after annealing treatment at various temperatures was investigated using time-of-flight secondary ion mass spectrometer (ToF-SIMS) depth profiling, X-ray diffractometer (XRD), field emission scanning electron microscopy (FESEM), energy dispersive X-ray (EDX) and sheet resistance measurement. The current study found that barrier failure did not occur until 650 deg. C annealing for 30 min. The failure occurs by the diffusion of copper into the Ti-Si-N film to form Cu-Ti and Cu-N compounds. FESEM surface morphology and EDX show that copper compounds were formed on the ridge areas of the Ti-Si-N film. The sheet resistance verifies the diffusion of Cu into the Ti-Si-N film; there is a sudden drop in the resistance with Cu compound formation. This finding provides a simple and effective method of monitoring Cu diffusion in TiN-based diffusion barriers

  1. Broad and focused ion beams Ga+ implantation damage in the fabrication of p+-n Si shallow junctions

    International Nuclear Information System (INIS)

    Steckl, A.J.; Lin, C.M.; Patrizio, D.; Rai, A.K.; Pronko, P.P.

    1989-01-01

    The use of focused and broad beam Ga + implantation for the fabrication of p + -n Si shallow junctions is explored. In particular, the issue of ion induced damage and its effect on diode electrical properties is explored. FIB-fabricated junctions exhibit a deeper junction with lower sheet resistance and higher leakage current than the BB-implanted diodes. TEM analysis exhibits similar amorphization and recrystallization behavior for both implantation techniques with the BB case generating a higher dislocation loop density after a 900 degree C anneal. 6 refs., 5 figs., 1 tab

  2. High Power Self-Aligned, Trench-Implanted 4H-SiC JFETs

    Directory of Open Access Journals (Sweden)

    Vamvoukakis K.

    2017-01-01

    Full Text Available The process technology for the fabrication of 4H-SiC trenched-implanted-gate 4H–SiC vertical-channel JFET (TI-VJFET has been developed. The optimized TIVJFETs have been fabricated with self-aligned nickel silicide source and gate contacts using a process sequence that greatly reduces process complexity as it includes only four lithography steps. A source-pillars sidewall oxidation and subsequent removal of the metallization from the top of the sidewall oxide ensured isolation between gate and source. Optimum planarization of the source pillars top has been performed by cyclotene spin coating and etch back. The effect of the channel geometry on the electrical characteristics has been studied by varying its length (0.3 and 1.2μm and its width (1.5-5μm. The voltage blocking exhibits a triode shape, which is typical for a static-induction transistor (SIT operation. The transistors exhibited high ON current handling capabilities (Direct Current density >1kA/cm2 and values of RON ranging from 6 - 12 mΩ•cm2 depending on the channel length. Maximum voltage blocking was 800V limited by the edge termination. The maximum voltage gain was 51. Most transistors were normally-on. Normally-off operation has been observed for transistors lower than 2μm channel width (mask level and deep implantation.

  3. Elastic scattering of lithium by 9Be, 10B, 12C, 13C, 16O, and 28Si from 4 to 63 MeV

    International Nuclear Information System (INIS)

    Poling, J.E.; Norbeck, E.; Carlson, R.R.

    1976-01-01

    Elastic scattering angular distributions have been measured at energies between 4.0 and 13.0 MeV for 6 Li and 7 Li beams and targets of 10 B, 12 C, 13 C, 16 O, and 28 Si. Yield curves have been measured for energies from 3.0 to 13.0 MeV for 6 Li beams and targets of 9 Be, 12 C, 13 C, 16 O, and 28 Si, and for 7 Li and 12 C. The angular distributions, supplemented by published angular distributions, have been fitted with optical-model potentials. For 6 Li+ 12 C, angular distributions were available at energies from 4.5 to 63.0 MeV. Potentials which gave fits to the data across the entire available energy range were obtained for each elastic scattering reaction. The usual ambiguities were observed in the optical-model potentials. In certain cases the back angles were not well fitted by parameter sets which were useful for all energies. The quality of the fits did not seem to be limited by experimental errors, which were generally better than 10% for our data; compound nucleus effects are presumed to be the cause of rapid energy variations in the angular distributions

  4. Characterization of vacancy-type defects induced by the implantation of Se and Si ions into GaAs by a slow positron beam

    International Nuclear Information System (INIS)

    Fujii, Satoshi; Shikata, Shinichi; Wei Long; Tanigawa, Shoichiro.

    1992-01-01

    Variable-energy (0-30keV) positron beam studies have been carried out on 200 keV Se-implanted and 70 keV Si-implanted GaAs specimens before and after annealing for electrical activation. From the measurements of Doppler broadened profiles as a function of incident positron energy, it was found that vacancy clusters with high concentration were introduced in the annealed specimen after Se implantation. From the parallel measurement of electrical characteristics, a higher activation efficiency was found for the higher concentration of vacancy clusters. That fact implies that electrons supplied by the activation of Se also convert the charge state of As vacancies from positive to negative. In contrast, no vacancy clusters were introduced in the Si-implanted GaAs. (author)

  5. Effects of synchrotron x-rays on PVD deposited and ion implanted α-Si

    International Nuclear Information System (INIS)

    Yu, K.M.; Wang, L.; Walukiewicz, W.; Muto, S.; McCormick, S.; Abelson, J.R.

    1997-01-01

    The authors have studied the effects of intense X-ray irradiation on the structure of amorphous Si films. The films were obtained by either physical vapor deposition or by implantation of high energy ions into crystalline Si. They were exposed to different total doses of synchrotron X-rays. From the EXAFS and EXELFS measurements they find that an exposure to X-rays increases the Si coordination number. Also in the PVD films a prolonged X-ray exposure enlarges, by about 2%, the Si-Si bond length. Raman spectroscopy shows that Si amorphized with high energy ions contains small residual amounts of crystalline material. Irradiation of such films with X-rays annihilates those crystallites resulting in homogeneously amorphous layer with a close to four-fold coordination of Si atoms. This rearrangement of the local structure has a pronounced effect on the crystallization process of the amorphous films. Thermal annealing of X-ray irradiated ion amorphized films leads to nearly defect free solid phase epitaxy at 500 C. Also they observe a delay in the onset of the crystallization process in X-ray irradiated PVD films. They associate this with a reduced concentration of nucleation centers in the x-ray treated materials

  6. Atomic mixing effects on high fluence Ge implantation into Si at 40 keV

    International Nuclear Information System (INIS)

    Gras-Marti, A.; Jimenez-Rodriguez, J.J.; Peon-Fernandez, J.; Rodriguez-Vidal, M.; Tognetti, N.P.; Carter, G.; Nobes, M.J.; Armour, D.G.

    1982-01-01

    Ion implanted profiles of 40 keV Ge + into Si at fluences ranging from approx. equal to 10 15 ions/cm 2 up to saturation have been measured using the RBS technique. The profiles compare well with the predictions of an analytical model encompasing sputter erosion plus atomic relocation. (orig.)

  7. Reduction of transient diffusion from 1 endash 5 keV Si+ ion implantation due to surface annihilation of interstitials

    International Nuclear Information System (INIS)

    Agarwal, A.; Gossmann, H.-.; Eaglesham, D.J.; Pelaz, L.; Jacobson, D.C.; Haynes, T.E.; Erokhin, Y.E.

    1997-01-01

    The reduction of transient enhanced diffusion (TED) with reduced implantation energy has been investigated and quantified. A fixed dose of 1x10 14 cm -2 Si + was implanted at energies ranging from 0.5 to 20 keV into boron doping superlattices and enhanced diffusion of the buried boron marker layers was measured for anneals at 810, 950, and 1050 degree C. A linearly decreasing dependence of diffusivity enhancement on decreasing Si + ion range is observed at all temperatures, extrapolating to ∼1 for 0 keV. This is consistent with our expectation that at zero implantation energy there would be no excess interstitials from the implantation and hence no TED. Monte Carlo modeling and continuum simulations are used to fit the experimental data. The results are consistent with a surface recombination length for interstitials of <10 nm. The data presented here demonstrate that in the range of annealing temperatures of interest for p-n junction formation, TED is reduced at smaller ion implantation energies and that this is due to increased interstitial annihilation at the surface. copyright 1997 American Institute of Physics

  8. Damage accumulation and dopant migration during shallow As and Sb implantation into Si

    Energy Technology Data Exchange (ETDEWEB)

    Werner, M.; Berg, J.A. van den E-mail: j.a.vandenberg@salford.ac.uk; Armour, D.G.; Vandervorst, W.; Collart, E.H.J.; Goldberg, R.D.; Bailey, P.; Noakes, T.C.Q

    2004-02-01

    The damage evolution and concomitant dopant redistribution as a function of ion fluence during ultra shallow, heavy ion implants into Si have been investigated using medium energy ion scattering (MEIS) and secondary ion mass spectrometry (SIMS). These studies involved As and Sb ions implanted at room temperature, at energies of 2.5 and 2 keV to doses from 3 x 10{sup 13} to 5 x 10{sup 15} cm{sup -2}. MEIS is capable of detecting both the displaced atom and implant profiles with sub-nanometre depth resolution. These studies show that for doses up to 1 x 10{sup 14} cm{sup -2} (at which an amorphous layer is formed) the damage build up does not follow the energy deposition function. Instead it proceeds through the initial formation of a {approx}4 nm wide amorphous layer immediately under the oxide, that grows inwards into the bulk with increasing dose. This behaviour is explained in terms of the migration of some of the interstitials produced along the length of the collision cascade to the oxide or amorphous/crystal Si interface, where their trapping nucleates the growth of a shallow amorphous layer and the subsequent planar growth inwards of the damage layer. Although for doses {>=}4 x 10{sup 14} cm{sup -2} the As depth profiles agreed well with TRIM calculations, for lower doses As was observed to have a shallower profile, {approx}2 nm nearer to the surface. This behaviour is related the growth of the amorphous layer and ascribed to the movement of As into the near-surface amorphous layer (probably mediated by point defect migration) in which the larger dopant is accommodated more easily. SIMS studies have confirmed this dopant segregation effect. Shallow Sb implants also exhibit this novel dopant movement effect for low doses in combination with a damage evolution similar to As.

  9. Damage accumulation and dopant migration during shallow As and Sb implantation into Si

    International Nuclear Information System (INIS)

    Werner, M.; Berg, J.A. van den; Armour, D.G.; Vandervorst, W.; Collart, E.H.J.; Goldberg, R.D.; Bailey, P.; Noakes, T.C.Q.

    2004-01-01

    The damage evolution and concomitant dopant redistribution as a function of ion fluence during ultra shallow, heavy ion implants into Si have been investigated using medium energy ion scattering (MEIS) and secondary ion mass spectrometry (SIMS). These studies involved As and Sb ions implanted at room temperature, at energies of 2.5 and 2 keV to doses from 3 x 10 13 to 5 x 10 15 cm -2 . MEIS is capable of detecting both the displaced atom and implant profiles with sub-nanometre depth resolution. These studies show that for doses up to 1 x 10 14 cm -2 (at which an amorphous layer is formed) the damage build up does not follow the energy deposition function. Instead it proceeds through the initial formation of a ∼4 nm wide amorphous layer immediately under the oxide, that grows inwards into the bulk with increasing dose. This behaviour is explained in terms of the migration of some of the interstitials produced along the length of the collision cascade to the oxide or amorphous/crystal Si interface, where their trapping nucleates the growth of a shallow amorphous layer and the subsequent planar growth inwards of the damage layer. Although for doses ≥4 x 10 14 cm -2 the As depth profiles agreed well with TRIM calculations, for lower doses As was observed to have a shallower profile, ∼2 nm nearer to the surface. This behaviour is related the growth of the amorphous layer and ascribed to the movement of As into the near-surface amorphous layer (probably mediated by point defect migration) in which the larger dopant is accommodated more easily. SIMS studies have confirmed this dopant segregation effect. Shallow Sb implants also exhibit this novel dopant movement effect for low doses in combination with a damage evolution similar to As

  10. Ion-beam synthesis and photoluminescence of SiC nanocrystals assisted by MeV-heavy-ion-beam annealing

    International Nuclear Information System (INIS)

    Khamsuwan, J.; Intarasiri, S.; Kirkby, K.; Chu, P.K.; Singkarat, S.; Yu, L.D.

    2012-01-01

    This work explored a novel way to synthesize silicon carbide (SiC) nanocrystals for photoluminescence. Carbon ions at 90 keV were implanted in single crystalline silicon wafers at elevated temperature, followed by irradiation using xenon ion beams at an energy of 4 MeV with two low fluences of 5 × 10 13 and 1 × 10 14 ions/cm 2 at elevated temperatures for annealing. X-ray diffraction, Raman scattering, infrared spectroscopy and transmission electron microscopy were used to characterize the formation of nanocrystalline SiC. Photoluminescence was measured from the samples. The results demonstrated that MeV-heavy-ion-beam annealing could indeed induce crystallization of SiC nanocrystals and enhance emission of photoluminescence with violet bands dominance due to the quantum confinement effect.

  11. Tailoring of SiC nanoprecipitates formed in Si

    Energy Technology Data Exchange (ETDEWEB)

    Velisa, G., E-mail: gihan.velisa@cea.fr [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Horia Hulubei National Institute for Physics and Nuclear Engineering, P.O. Box MG-6, 077125 Magurele (Romania); Trocellier, P. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Thomé, L. [Centre de Spectrométrie Nucléaire et de Spectrométrie de Masse, UMR8609, Bât. 108, 91405 Orsay (France); Vaubaillon, S. [CEA, INSTN, UEPTN, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Miro, S.; Serruys, Y.; Bordas, É. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Meslin, E. [CEA, DEN, Service de Recherches de Métallurgie Physique, F-91191 Gif-sur-Yvette (France); Mylonas, S. [Centre de Spectrométrie Nucléaire et de Spectrométrie de Masse, UMR8609, Bât. 108, 91405 Orsay (France); Coulon, P.E. [Ecole Polytechnique, Laboratoire des Solides Irradiés, CEA/DSM/IRAMIS-CNRS, 91128 Palaiseau Cedex (France); Leprêtre, F.; Pilz, A.; Beck, L. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France)

    2013-07-15

    The SiC synthesis through single-beam of C{sup +}, and simultaneous-dual-beam of C{sup +} and Si{sup +} ion implantations into a Si substrate heated at 550 °C has been studied by means of three complementary analytical techniques: nuclear reaction analysis (NRA), Raman, and transmission electron microscopy (TEM). It is shown that a broad distribution of SiC nanoprecipitates is directly formed after simultaneous-dual-beam (520-keV C{sup +} and 890-keV Si{sup +}) and single-beam (520-keV C{sup +}) ion implantations. Their shape appear as spherical (average size ∼4–5 nm) and they are in epitaxial relationship with the silicon matrix.

  12. Extreme implanting in Si: A study of ion-induced damage at high temperature and high dose

    International Nuclear Information System (INIS)

    Holland, O.W.

    1994-01-01

    Ion-solid interactions near room temperature and below have been well studied in single-crystal Si. While this has led to a better understanding of the mechanisms responsible for nucleation and growth of lattice damage during irradiation, these studies have not, in general, been extended to high temperatures (e.g., >200 degrees C). This is the case despite the commercialization of ion beam technologies which utilize high-temperature processing, such as separation by implantation of oxygen (SIMOX). In this process, a silicon-on-insulator (SOI) material is produced by implanting a high dose of oxygen ions into a Si wafer to form a buried, stoichiometric oxide layer. Results will be presented of a study of damage accumulation during high-dose implantation of Si at elevated temperatures. In particular, O + -ions were used because of the potential impact of the results on the SIMOX technology. It will be shown that the nature of the damage accumulation at elevated temperatures is quite distinctive and portends the presence of a new mechanism, one which is only dominant under the extreme conditions encountered during ion beam synthesis (i.e., high temperature and high dose). This mechanism is discussed and shown to be quite general and not dependent on the chemical identity of the ions. Also, techniques for suppressing this mechanism by open-quotes defect engineeringclose quotes are discussed. Such techniques are technologically relevant because they offer the possibility of reducing the defect density of the SOI produced by SIMOX

  13. The interaction between Xe and F in Si (1 0 0) pre-amorphised with 20 keV Xe and implanted with low energy BF{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Werner, M. [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom)]. E-mail: m.werner@pgr.salford.ac.uk; Berg, J.A. van den [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Armour, D.G. [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Carter, G. [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Feudel, T. [AMD Saxony LLC and Co. KG, Wilschdorfer Landstrasse, 101 D-01109 Dresden (Italy); Herden, M. [AMD Saxony LLC and Co. KG, Wilschdorfer Landstrasse, 101 D-01109 Dresden (Italy); Bersani, M. [ITC IRST, 38050 Povo, Trento (Italy); Giubertoni, D. [ITC IRST, 38050 Povo, Trento (Italy); Bailey, P. [CCLRC Daresbury Laboratory, Daresbury WA44A (United Kingdom); Noakes, T.C.Q. [CCLRC Daresbury Laboratory, Daresbury WA44A (United Kingdom)

    2004-12-15

    The pre-amorphisation of Si by Xe{sup +} ions, before source/drain and extension implants, is an attractive alternative to Ge{sup +} or Si{sup +}, as it produces sharper amorphous/crystalline interfaces. Si (1 0 0) samples pre-amorphised with 20 keV Xe{sup +} to a nominal dose of 2E14 cm{sup -2} were implanted with 1 and 3 keV BF{sub 2} {sup +} to doses of 7E14 cm{sup -2}. Samples were annealed at temperatures ranging from 600 to 1130 deg. C and investigated by medium energy ion scattering (MEIS) and secondary ion mass spectrometry (SIMS). Following annealing, it was observed that implanted Xe has interacted with F originating from the BF{sub 2} {sup +} implant. MEIS studies showed that for all annealing conditions, approximately half of the Xe accumulated at depths of 7 nm for the 1 keV and at 13 nm for the 3 keV BF{sub 2} {sup +} implant. This equates to the end of range of B and F within the amorphous Si. SIMS showed that in the pre-amorphised samples, approximately 10% of the F migrates into the bulk and is trapped at the same depth in a {approx}1:1 ratio to Xe. A small fraction of the implanted B is also trapped. The effect is interpreted in terms of the formation of a defect structure within the amorphised Si, leading to F stabilised Xe bubble or XeF compound formation.

  14. Thermoluminescence response of Ge-, Al- and Nd- doped optical fibers by 6 MeV - electron and 6 MeV - photon irradiations

    International Nuclear Information System (INIS)

    Hossain, I.; Moburak, A. A.; Saeed, M.A.; Wagiran, H.; Hida, N.; Yaakob, H.N.

    2015-01-01

    In this paper, we report the prediction of thermoluminescence responses of Neodymium-doped SiO 2 optical fibre with various dose ranges from 0.5 Gy to 4.0 Gy by 6 MeV - electron irradiations without requirement for experimental measurements. A technique has been developed to calculate prediction of 6 MeV - electron response of Neodymium-doped SiO 2 optical fibre by observing the measured TL response of 6 MV - photon and the ratio of known measured photon/electron yield ratio distribution for Ge-doped, Al-doped optical fibre and standard TLD 100 dosimeter. The samples were kept in gelatin capsule an irradiated with 6 MV - photon at the dose range from 0.5 Gy to 4.0 Gy. Siemens model Primus 3368 linear accelerator located at Hospital Sultan Ismail, Johor Bahru has been used to deliver the photon beam to the samples. We found the average response ratio of 6 MV - photon and 6 MeV - electron in Ge-doped, Al-doped optical fibre and standard TLD-100 dosimeter are 0.83(3). Observing the measured value of 6 MV - photon irradiation this average ratio is useful to find the prediction of thermoluminescence responses by 6 MeV - electron irradiation of Neodymium-doped SiO 2 optical fibre by the requirement for experimental measurements with various dose ranges from 0.5 Gy to 4.0 Gy by 6 MV - photon irradiations.

  15. Space charge limitation of the current in implanted SiO2 layers

    International Nuclear Information System (INIS)

    Szydlo, N.; Poirier, R.

    1974-01-01

    Metal-oxide-semiconductor capacitors were studied where the metal is a semitransparent gold layer of 5mm diameter, the oxide is thermal silica whose, thickness depends on the nature of the implant, and the semiconductor is N-type silicon of 5 ohms/cm. The SiO 2 thickness was chosen in such a way that the maximum of the profile of the implanted substance is in the medium of the oxide layer. In the case of virgin silica, the oscillations in the photocurrent versus energy and exponential variations versus the applied voltage show that the photoconduction obeys the model of injection limited current. In the case of the oxide after ion bombardment, the photocurrent similarity, independent of the direction of the electric field in silica, shows that volume transport phenomena become preponderent [fr

  16. Specific features of the current–voltage characteristics of SiO{sub 2}/4H-SiC MIS structures with phosphorus implanted into silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Mikhaylova, A. I., E-mail: m.aleksey.spb@gmail.com; Afanasyev, A. V.; Ilyin, V. A.; Luchinin, V. V. [St. Petersburg State Electrotechnical University LETI (Russian Federation); Sledziewski, T. [Friedrich–Alexander–Universität Erlangen–Nürnberg (Germany); Reshanov, S. A.; Schöner, A. [Ascatron AB (Sweden); Krieger, M. [Friedrich–Alexander–Universität Erlangen–Nürnberg (Germany)

    2016-01-15

    The effect of phosphorus implantation into a 4H-SiC epitaxial layer immediately before the thermal growth of a gate insulator in an atmosphere of dry oxygen on the reliability of the gate insulator is studied. It is found that, together with passivating surface states, the introduction of phosphorus ions leads to insignificant weakening of the dielectric breakdown field and to a decrease in the height of the energy barrier between silicon carbide and the insulator, which is due to the presence of phosphorus atoms at the 4H-SiC/SiO{sub 2} interface and in the bulk of silicon dioxide.

  17. Interaction of implanted deuterium and helium with beryllium: radiation enhanced oxidation

    International Nuclear Information System (INIS)

    Langley, R.A.

    1979-01-01

    The interaction of implanted deuterium and helium with beryllium is of significant interest in the application of first wall coatings and other components of fusion reactors. Electropolished polycrystalline beryllium was first implanted with an Xe backscatter marker at 1.98 MeV followed by either implantation with 5 keV diatomic deuterium or helium. A 2.0 MeV He beam was used to analyze for impurity buildup; namely oxygen. The oxide layer thickness was found to increase linearly with increasing implant fluence. A 2.5 MeV H + beam was used to depth profile the D and He by ion backscattering. In addition the retention of the implant was measured as a function of the implant fluence. The mean depth of the implant was found to agree with theoretical range calculations. Scanning electron microscopy was used to observe blister formation. No blisters were observed for implanted D but for implanted He blisters occurred at approx. 1.75 x 10 17 He cm -2 . The blister diameter increased with increasing implant fluence from about 0.8 μm at 10 18 He cm -2 to 5.5 μm at 3 x 10 18 He cm -2

  18. β-delayed charged particle decays of neutron-deficient nuclei 20Mg and 23Si and 22Si

    International Nuclear Information System (INIS)

    Babo, Mathieu

    2016-01-01

    The neutron-deficient nuclei 20 Mg, 23 Si and 22 Si were produced by fragmentation at NSCL, at MSU (USA), and implanted into an array of 3 double sided stripped Si detectors, surrounded by 16 high-purity Ge detectors. This novel arrangement allowed the detection of the charged particles emitted by the unbound excited states in coincidence with the γ rays emitted by the de-excitation of the daughter. The βp decay of 20 Mg is very well-known and therefore was used to test and optimize the analysis program. The β-delayed proton transitions to the first 3 excited states in 19 Ne were identified and compared to previous measurements. The half-life, the branching ratio of the transitions and the excitation energies, including the IAS, were measured and are in good agreement with the adopted values. The study of the β+ decay of 23 Si allowed the identification of 14 excited states in 23 Al. The emission of 2 protons from the IAS was unambiguously identified. The measurement of the IAS energy allowed a better determination of the mass excess of 23 Si, giving 23.27 (7) MeV. A possible β3p decay channel was also tentatively identified. Most of the theoretical predictions are in favor of a 2-proton radioactive 22 Si. The β2p decays to the first excited state and the ground state of 20 Na were identified. The branching ratio of the decay to the IAS is 2.05 (44) %, and the IAS excitation energy was measured to be 9040 (54) keV. The additional measurement of the half-life gives T 1/2 = 30.38 (45) ms, and allowed the determination of the partial half-life. In this study, we propose a parameterization of the statistical rate function f for the superallowed Fermi β decays. This allow the first indirect mass measurement of 22 Si ground state, 31.49 (14) MeV. The two-proton threshold is then S2p = 645 (100) keV and does not allow 2p radioactivity. (author) [fr

  19. Implantation processing of Si: A unified approach to understanding ion-induced defects and their impact

    International Nuclear Information System (INIS)

    Holland, O.W.; Roth, E.G.

    1997-05-01

    A model is presented to account for the effects of ion-induced defects during implantation processing of Si. It will be shown that processing is quite generally affected by the presence of defect excesses rather than the total number of defects. a defect is considered excess if it represents a surplus locally of one defect type over its compliment. Processing spanning a wide range of implantation conditions will be presented to demonstrate that the majority of the total defects played little or no role in the process. This is a direct result of the ease with which the spatially correlated Frenkel pairs recombine either dynamically or during a post-implantation annealing. Based upon this model, a method will be demonstrated for manipulating or engineering the excess defects to modify their effects. In particular high-energy, self-ions are shown to inject vacancies into a boron implanted region resulting in suppression of transient enhanced diffusion of the dopant

  20. Robustness up to 400°C of the passivation of c-Si by p-type a-Si:H thanks to ion implantation

    Science.gov (United States)

    Defresne, A.; Plantevin, O.; Roca i Cabarrocas, Pere

    2016-12-01

    Heterojunction solar cells based on crystalline silicon (c-Si) passivated by hydrogenated amorphous silicon (a-Si:H) thin films are one of the most promising architectures for high energy conversion efficiency. Indeed, a-Si:H thin films can passivate both p-type and n-type wafers and can be deposited at low temperature (layers, in particular p-type a-Si:H, show a dramatic degradation in passivation quality above 200°C. Yet, annealing at 300 - 400°C the TCO layer and metallic contacts is highly desirable to reduce the contact resistance as well as the TCO optical absorption. In this work, we show that as expected, ion implantation (5 - 30 keV) introduces defects at the c-Si/a-Si:H interface which strongly degrade the effective lifetime, down to a few micro-seconds. However, the passivation quality can be restored and lifetime values can be improved up to 2 ms over the initial value with annealing. We show here that effective lifetimes above 1 ms can be maintained up to 380°C, opening up the possibility for higher process temperatures in silicon heterojunction device fabrication.

  1. On the use of thin ion implanted Si detectors in heavy ion experiments

    International Nuclear Information System (INIS)

    Lavergne-Gosselin, L.; Stab, L.; Lampert, M.O.

    1988-10-01

    We present test results on the use of thin ion implanted epitaxial Si detectors for registration of low- and medium energy heavy fragments in nuclear reactions. A linear energy response for very low energy nuclei has been observed. A test of 10 μm + 300 μm telescopes under realistic experimental conditions for heavy ion experiments exhibits the possibilities to use these detectors for the measurements of multifragmentation products. (authors)

  2. Polarized triton scattering from 26Mg, 27Al and 28Si at 17 MeV

    International Nuclear Information System (INIS)

    Hardekopf, R.A.; Brown, R.E.; Correll, F.D.; Ohlsen, G.G.

    1980-01-01

    Differential-cross-section and analyzing-power angular distributions were measured for 17 MeV tritons elastically scattered from targets of 26 Mg, 27 Al, and 28 Si in the angular range 20 to 160 0 . The experiment was performed at the Los Alamos Scientific Laboratory Van de Graaff facility using the Lamb-shift polarized triton source and the supercube scattering chamber. A pair of detector telescopes with angular resolutions of +-0.4 0 detected the reaction products, with mass identification and storage performed by an on-line computer. The triton beam intensity available at the target was about 70 nA with a polarization of 0.77. The target thicknesses were about 3 mg/cm 2 , although thinner targets were used for the 27 Al forward-angle data

  3. The mechanisms of surface exfoliation in H and He implanted Si crystals

    International Nuclear Information System (INIS)

    Reboh, S.; Mattos, A.A.D. de; Schaurich, F.; Fichtner, P.F.P.; Beaufort, M.F.; Barbot, J.F.

    2011-01-01

    We report on the exfoliation mechanisms in light gas implanted Si. Microstructure characterization, extensive statistical analysis and solid mechanics theory show that exfoliation is caused by microcracks growing close to equilibrium pressure for high fluences. For lower fluences, cracks evolve at under-equilibrium pressure and exfoliation relies on a coalescence mechanism assisted by cleavage. This provides long-range, collective and efficient stress relief for clusters of cracks, causing enhancement of the exfoliation. The physical processes are independent of the irradiation energy.

  4. Comparative study of as-implanted and pre-damaged ion-beam-synthesized ZnS nanocrystallites in SiO sub 2

    CERN Document Server

    Gao, K Y; Grosshans, I; Hipp, W; Stritzker, B

    2002-01-01

    The semiconducting ZnS nanocrystallites were synthesized by sequential high dose ion implantation of Zn and S in thermally grown SiO sub 2 on Si(1 0 0) and subsequent rapid thermal annealing (RTA). Some samples were pre-implanted with Ar ions in order to investigate the influence of radiation induced damage on the formation of ZnS nanocrystallites. The crystal structure of the ZnS crystallites, their size distribution and the concentration depth profile were analyzed by X-ray diffraction (XRD), Rutherford backscattering spectroscopy (RBS) and cross-sectional transmission-electron-microscopy (XTEM). The XRD results indicate, that the phase transition from cubic zinc blende to hexagonal wurtzite structure of ZnS nanocrystallites begins at temperatures below 1000 degree sign C. The RBS results show a clear redistribution of Zn and S after RTA annealing. The concentration of Zn is seriously reduced due to strong diffusion towards deeper regions and the surface, while Ar pre-implantation partially suppressed the c...

  5. Interaction of implanted deuterium and helium with beryllium: radiation enhanced oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Langley, R.A.

    1979-01-01

    The interaction of implanted deuterium and helium with beryllium is of significant interest in the application of first wall coatings and other components of fusion reactors. Electropolished polycrystalline beryllium was first implanted with an Xe backscatter marker at 1.98 MeV followed by either implantation with 5 keV diatomic deuterium or helium. A 2.0 MeV He beam was used to analyze for impurity buildup; namely oxygen. The oxide layer thickness was found to increase linearly with increasing implant fluence. A 2.5 MeV H/sup +/ beam was used to depth profile the D and He by ion backscattering. In addition the retention of the implant was measured as a function of the implant fluence. The mean depth of the implant was found to agree with theoretical range calculations. Scanning electron microscopy was used to observe blister formation. No blisters were observed for implanted D but for implanted He blisters occurred at approx. 1.75 x 10/sup 17/ He cm/sup -2/. The blister diameter increased with increasing implant fluence from about 0.8 ..mu..m at 10/sup 18/ He cm/sup -2/ to 5.5 ..mu..m at 3 x 10/sup 18/ He cm/sup -2/.

  6. Current transport studies of ZnO/p-Si heterostructures grown by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Chen, X.D.; Ling, C.C.; Fung, S.; Beling, C.D.; Mei, Y.F.; Fu, Ricky K.Y.; Siu, G.G.; Chu, Paul K.

    2006-01-01

    Rectifying undoped and nitrogen-doped ZnO/p-Si heterojunctions were fabricated by plasma immersion ion implantation and deposition. The undoped and nitrogen-doped ZnO films were n type (n∼10 19 cm -3 ) and highly resistive (resistivity ∼10 5 Ω cm), respectively. While forward biasing the undoped-ZnO/p-Si, the current follows Ohmic behavior if the applied bias V forward is larger than ∼0.4 V. However, for the nitrogen-doped-ZnO/p-Si sample, the current is Ohmic for V forward 2 for V forward >2.5 V. The transport properties of the undoped-ZnO/p-Si and the N-doped-ZnO/p-Si diodes were explained in terms of the Anderson model and the space charge limited current model, respectively

  7. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C.M.; Ridgway, M.C. [Australian National Univ., Canberra, ACT (Australia); Leech, P.L. [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1996-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  8. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C M; Ridgway, M C [Australian National Univ., Canberra, ACT (Australia); Leech, P L [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1997-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  9. High resolution investigation of the 30Si(þ, þ)30Si reaction

    NARCIS (Netherlands)

    Walinga, J.; Rinsvelt, H.A. van; Endt, P.M.

    The differential cross section for elastic scattering of protons on 30Si was measured with surface barrier counters at four angles. Thirty-six 30Si(þ, γ)31P resonances are known in the Ep=1–2MeV region. Fifteen of these were also observed in the 30Si(þ, þ)30Si reaction, with natural widths varying

  10. Annealing characteristics of SiO2-Si structures after incoherent light pulse processing

    International Nuclear Information System (INIS)

    Sieber, N.; Klabes, R.; Voelskow, M.; Fenske, F.

    1982-01-01

    The behaviour of oxide charges and interface charges in boron implanted and non-implanted SiO 2 -Si structures as well as the electrical activation of the dopants by the action of incoherent light pulses was studied. Depth profiles of electrically active boron ions are presented for different annealing conditions as measured by the pulsed C-V method. It can be concluded that exposure of MOS structures to intense radiation of flash lamps does not increase the fixed charge and the fast state density at the SiO 2 -Si interface if optimal annealing conditions (energy densities) are employed. Low dose boron implanted silicon can be electrically activated without diffusion or segregation of dopants

  11. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  12. Si+ ion implantation reduces the bacterial accumulation on the Ti6Al4V surface

    International Nuclear Information System (INIS)

    Gallardo-Moreno, A M; Pacha-Olivenza, M A; Perera-Nunez, J; Gonzalez-Carrasco, J L; Gonzalez-Martin, M L

    2010-01-01

    Ti6Al4V is one of the most commonly used biomaterials in orthopedic applications due to its interesting mechanical properties and reasonable biocompatibility. Nevertheless, after the implantation, microbial adhesion to its surface can provoke severe health problems associated to the development of biofilms and subsequent infectious processes. This work shows a modification of the Ti6Al4V surface by Si+ ion implantation which reduces the bacterial accumulation under shear forces. Results have shown that the number of bacteria remaining on the surface at the end of the adhesion experiments decreased for silicon-treated surface. In general, the new surface also behaved as less adhesive under in vitro flow conditions. Since no changes are observed in the electrical characteristics between the control and implanted samples, differences are likely related to small changes observed in hydrophobicity.

  13. Formation and characterization of nanoparticles formed by sequential ion implantation of Au and Co into SiO2

    International Nuclear Information System (INIS)

    Kluth, P.; Hoy, B.; Johannessen, B.; Dunn, S.G.; Foran, G.J.; Ridgway, M.C.

    2007-01-01

    Nanoparticles (NPs) were formed by sequential ion implantation of Au and Co into thin SiO 2 . After Au implantation and annealing, Co implantations were carried out at room temperature (RT) and 400 deg. C, respectively, with no subsequent annealing. The NPs were investigated by means of Rutherford backscattering spectroscopy (RBS), transmission electron microscopy (TEM) and extended X-ray absorption fine structure spectroscopy (EXAFS). TEM shows the formation of Co-Au core-shell NPs for the Co implantation at 400 deg. C. EXAFS measurements indicate significant strain in the NPs and a bond-length expansion of the Co-Co bonds in the NP core with a concomitant contraction of the Au-Au bonds in the Au shells. NPs are also observed by TEM for the Co implantation performed at RT, however, a lack of crystallinity is apparent from electron diffraction and EXAFS measurements

  14. Cathodoluminescence and ion beam analysis of ion-implanted combinatorial materials libraries on thermally grown SiO2

    International Nuclear Information System (INIS)

    Chen, C.-M.; Pan, H.C.; Zhu, D.Z.; Hu, J.; Li, M.Q.

    1999-01-01

    A method combining ion implantation and physical masking technique has been used to generate material libraries of various ion-implanted samples. Ion species of C, Ga, N, Pb, Sn, Y have been sequentially implanted to an SiO 2 film grown on a silicon wafer through combinatorial masks and consequently a library of 64 (2 6 ) samples is generated by 6 masking combinations. This approach offers rapid synthesis of samples with potential new compounds formed in the matrix, which may have specific luminescent properties. The depth-resolved cathodoluminescence (CL) measurements revealed some specific optical property in the samples correlated with implanted ion distributions. A marker-based technique is developed for the convenient location of sample site in the analysis of Rutherford backscattering spectrometry (RBS) and proton elastic scattering (PES), intended to characterize rapidly the ion implanted film libraries. These measurements demonstrate the power of nondestructively and rapidly characterizing composition and the inhomogeneity of the combinatorial film libraries, which may determine their physical properties

  15. Structural properties of the formation of zinc-containing nanoparticles obtained by ion implantation in Si (001 and subsequent thermal annealing

    Directory of Open Access Journals (Sweden)

    Ksenia B. Eidelman

    2017-09-01

    We show that a damaged layer with a large concentration of radiation induced defects forms near the surface as a result of the implantation of Zn+ ions with an energy of 50 keV. In the as-implanted state, nanoparticles of metallic Zn with a size of about 25 nm form at a depth of 40 nm inside the damaged silicon layer. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to structural changes in the defect layer and the formation of Zn2SiO4 nanoparticles at a depth of 25 nm with an average size of 3 nm, as well as oxidation of the existing Zn particles to the Zn2SiO4 phase. The oxidation of the metallic Zn nanoparticles starts from the surface of the particles and leads to the formation of particles with a “core-shell” structure. Analysis of the phase composition of the silicon layer after two-stage implantation with O+ and Zn+ ions showed that Zn and Zn2SiO4 particles form in the as-implanted state. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to an increase in the particle size but does not change the phase composition of the near-surface layer. ZnO nanoparticles were not observed under these experimental conditions of ion beam synthesis.

  16. Characterisation of point defects in SiC by microscopic optical spectroscopy

    International Nuclear Information System (INIS)

    Evans, G.A.

    2001-09-01

    Defects have a dramatic effect on the properties of semiconductors. In SiC, intrinsic defects can be introduced during growth or device-processing steps such as implantation. In this work electron irradiation has been used for the controlled generation of defects in SiC. The irradiated material has been annealed and subsequent low temperature photoluminescence (LTPL) measurements have been performed. A key element in this work has been the ability to perform both the irradiation and characterisation on a microscopic scale. These results have allowed a variety of new optical centres to be discovered, and have also significantly enhanced the pool of knowledge about other defect centres. Utilising low voltage irradiations has enabled the electron irradiation voltage displacement thresholds for Carbon and Silicon displacements to be investigated. In 4H-SiC the electron irradiation voltage displacement thresholds were found to be 88kV for C displacement and 225kV for Si displacement. A large number of previously unreported luminescence features have been measured in 4H, 6H and 15R-SiC material. The criteria used for comparison are the voltage threshold, annealing characteristics, spatial distribution with respect to the irradiated region, and the characteristics of associated local modes and vibronic structures. Compelling evidence has been found to support the assignment of centres in 4H and 6H-SiC to a C-C dumbbell split interstitial defect. Two high energy local modes at 133meV and 180meV are associated with these centres. In 13 C enriched 6H-SiC material the 180meV local mode splits into three components whilst the 133meV local mode splits into two components. This splitting is interpreted as being caused by isotopic substitutions between the components of the C-C dumbbell. The high energy local mode corresponds to the bonding between the two constituent atoms of the dumbbell whilst the low energy local mode is associated with the bonding between either a C 13 or 12

  17. Influence of n$^{+}$ and p$^{+}$ doping on the lattice sites of implanted Fe in Si

    CERN Document Server

    Silva, Daniel José; Correia, João Guilherme; Araújo, João Pedro

    2013-01-01

    We report on the lattice location of implanted $^{59}$Fe in n$^{+}$ and p$^{+}$ type Si by means of emission channeling. We found clear evidence that the preferred lattice location of Fe changes with the doping of the material. While in n$^{+}$ type Si Fe prefers displaced bond-centered (BC) sites for annealing temperatures up to 600°C, changing to ideal substitutional sites above 700°C, in p$^{+}$ type Si, Fe prefers to be in displaced tetrahedral interstitial positions after all annealing steps. The dominant lattice sites of Fe in n$^{+}$ type Si therefore seem to be well characterized for all annealing temperatures by the incorporation of Fe into vacancy-related complexes, either into single vacancies which leads to Fe on ideal substitutional sites, or multiple vacancies, which leads to its incorporation near BC sites. In contrast, in p$^{+}$ type Si, the major fraction of Fe is clearly interstitial (near-T or ideal T) for all annealing temperatures. The formation and possible lattice sites of Fe in FeB...

  18. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    During the past two decades, the decrease in intrinsic delay of MOSFETs has been driven by the scaling of the device dimensions. The performance improvement has relied mostly in the increase of source velocity with gate scaling, while the transport properties of the channel have remained constant, i.e., those of conventional Si. Starting at the 90 nm node, uniaxial strain has been introduced in the transistor channel in order to further increase the source velocity. Beyond the 32 nm node, novel channel materials, with superior carrier velocities, and novel device architectures are required in order to continue the performance enhancement of MOSFETs while preserving the electrostatic control. In this Thesis, different physical aspects of strained Si and SiGe materials are investigated as a mean to increase carrier velocity in MOSFET channels. Novel approaches for the fabrication of strained Si based on ion implantation and anneal induced relaxation of virtual substrates are developed. The strain relaxation of SiGe layers is improved using a buried thin Si:C layer in the Si(100) substrate. Further, a Si{sup +} ion implantation and annealing method is investigated for relaxing virtual substrates using lower implantation dose. Finally, the uniaxial relaxation of {l_brace}110{r_brace} surface oriented substrates is demonstrated using a He ion implantation and anneal technique. Apart of channel material studies, the fundamental and technological challenges involved in the integration of strained Si and SiGe into MOSFETs are assessed. The impact of source and drain formation on the elastic strain and electrical properties of strained Si layers and nanowires is examined. Also, the formation of ultra-shallow junction in strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI heterostructures is investigated using different types of ion implanted specie and annealing. The results show that BF{sup +}{sub 2} implantation and low temperature annealing are suitable approaches for

  19. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    Science.gov (United States)

    Ayedh, H. M.; Hallén, A.; Svensson, B. G.

    2015-11-01

    The carbon vacancy (VC) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the VC-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (Ci's) and annihilation of VC's in the epi-layer "bulk". Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the VC annihilation. Actually, employing normalized implantation conditions with respect to displaced C atoms, other heavier ions like Al and Si are found to be more efficient in annihilating VC's. Concentrations of VC below ˜2 × 1011 cm-3 can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the VC-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote Ci-clustering and reduce dynamic defect annealing. These Ci-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced Ci injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the VC-concentration, which limit the net effect of the Ci injection, and a competition between the two processes occurs.

  20. Effect of hydrostatic pressure on photoluminescence spectra from structures with Si nanocrystals fabricated in SiO2 matrix

    International Nuclear Information System (INIS)

    Zhuravlev, K.S.; Tyschenko, I.E.; Vandyshev, E.N.; Bulytova, N.V.; Misiuk, A.; Rebohle, L.; Skorupa, W.

    2002-01-01

    The effect of hydrostatic pressure applied at high temperature on photoluminescence of Si-implanted SiO 2 films was studied. A 'blue'-shift of PL spectrum from the SiO 2 films implanted with Si + ions to total dose of 1.2x10 17 cm -2 with increase in hydrostatic pressure was observed. For the films implanted with Si + ions to a total dose of 4.8x10 16 cm -2 high temperature annealing under high hydrostatic pressure (12 kbar) causes a 'red'-shift of photoluminescence spectrum. The 'red' photoluminescence bands are attributed to Si nanocrystals while the 'blue' ones are related to Si nanocrystals of reduced size or chains of silicon atoms or Si-Si defects. A decrease in size of Si nanocluster occurs in result of the pressure-induced decrease in the diffusion of silicon atoms. (author)

  1. Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films

    International Nuclear Information System (INIS)

    Baranwal, V.; Pandey, A. C.; Gerlach, J. W.; Rauschenbach, B.; Karl, H.; Kanjilal, D.; Avasthi, D. K.

    2008-01-01

    Thin epitaxial GaN films grown on 6H-SiC(0001) substrates were implanted with 180 keV Co ions at three different fluences. As-implanted samples were characterized with secondary ion mass spectrometry and Rutherford backscattering spectrometry to obtain the Co depth profiles and the maximum Co concentrations. As-implanted samples were annealed applying two different techniques: rapid thermal annealing and annealing by swift heavy ion irradiation. Rapid thermal annealing was done at two temperatures: 1150 deg. C for 20 s and 700 deg. C for 5 min. 200 MeV Ag ions at two fluences were used for annealing by irradiation. Crystalline structure of the pristine, as-implanted, and annealed samples was investigated using x-ray diffraction, and the results were compared. Improvement of the crystalline quality was observed for rapid thermal annealed samples at the higher annealing temperature as confirmed with rocking curve measurements. The results indicate the presence of Co clusters in these annealed samples. Swift heavy ion irradiation with the parameters chosen for this study did not lead to a significant annealing

  2. Photoluminescence and structural studies of Tb and Eu implanted at high temperatures into SiO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Bregolin, F.L. [Instituto de Fisica, Universidade Federal do Rio Grande do Sul, Av. Bento Goncalves 9500, 91501-970, Porto Alegre-RS (Brazil); Sias, U.S., E-mail: uilson.sias@gmail.com [Instituto Federal Sul-rio-grandense, Campus Pelotas, Praca 20 de Setembro 455, 96015-360, Pelotas-RS (Brazil); Behar, M. [Instituto de Fisica, Universidade Federal do Rio Grande do Sul, Av. Bento Goncalves 9500, 91501-970, Porto Alegre-RS (Brazil)

    2013-03-15

    The present work deals with the photoluminescence (PL) emitted from Eu and Tb ions implanted at room temperature (RT) up to 350 Degree-Sign C in a SiO{sub 2} matrix, followed by a further anneal process. The ions were implanted with energy of 100 keV and a fluence of 3 Multiplication-Sign 10{sup 15} ions/cm Superscript-Two . Further anneals were performed in atmospheres of N{sub 2} or O{sub 2} with temperatures ranging from 500 up to 800 Degree-Sign C. PL measurements were performed at RT and structural measurements were done via transmission electron microscopy (TEM). In addition, the Rutherford backscattering technique (RBS) was used to investigate the corresponding ion depth profiles. For Tb, the optimal implantation temperature was 200 Degree-Sign C, and the anneal one was of 500 Degree-Sign C. Under these conditions, the PL yield of the sharp band centered at 550 nm was significatively higher than the one obtained with RT implants. The PL spectra corresponding to the Eu ions show two bands, one narrow centered around 650 nm and a second broad one in the blue-green region. The implantation temperature plays a small influence on the PL shape and yield. However, the annealing atmosphere has a strong influence on it. Samples annealed in N{sub 2} present a broad PL band, ranging from 370 up to 840 nm. On the other hand, the O{sub 2} anneal conserves the original as-implanted spectrum, that is: a broad PL band in the blue-green region together with sharp PL band in the red one. For both ions, Tb and Eu, the TEM analyses indicate the formation of nanoclusters in the hot as-implanted samples. - Highlights: Black-Right-Pointing-Pointer Eu and Tb nanoparticles were obtained by hot ion implantation into SiO{sub 2} matrix. Black-Right-Pointing-Pointer TEM results indicate the formation of nanoclusters in the hot as-implanted samples. Black-Right-Pointing-Pointer Samples annealed in N{sub 2} presented a broad PL band (from 370 up to 840 nm). Black-Right-Pointing-Pointer O

  3. Spectroscopy of the {sup 29}Si(p,{gamma}) reaction for E{sub p}=1.00{endash}1.75 MeV

    Energy Technology Data Exchange (ETDEWEB)

    Vavrina, G.A.; Bybee, C.R.; Mitchell, G.E.; Moore, E.F.; Shriner, J.D. [North Carolina State University, Raleigh, North Carolina 27695 (United States); Bilpuch, E.G.; Wallace, P.M.; Westerfeldt, C.R. [Duke University, Durham, North Carolina 27708 (United States); Shriner, J.F. , Jr. [Tennessee Technological University, Cookeville, Tennessee 38505 (United States)

    1997-03-01

    The {sup 29}Si(p,{gamma}) reaction has been studied in the range E{sub p}=1.00{endash}1.75 MeV. Three previously unknown states in {sup 30}P were identified, and one state previously assigned to {sup 30}P was identified as a state in {sup 14}N. Gamma-ray strengths were determined for the three new levels, and branching ratios were measured for 17 resonances. Revised J{sup {pi}};T assignments were made for nine of these states. {copyright} {ital 1997} {ital The American Physical Society}

  4. The reliability studies of nano-engineered SiGe HBTs using Pelletron accelerator

    Science.gov (United States)

    Prakash, A. P. Gnana; Praveen, K. C.; Pushpa, N.; Cressler, John D.

    2015-05-01

    The effects of high energy ions on the electrical characteristics of silicon-germanium heterojunction bipolar transistors (SiGe HBTs) were studied in the total dose of ranging from 600 krad to 100 Mrad (Si). The two generations (50 GHz and 200 GHz) of SiGe HBTs were exposed to 50 MeV lithium, 75 MeV boron and 100 MeV oxygen ions. The electrical characteristics of SiGe HBTs were studied before and after irradiation. The SiGe HBTs were exposed to 60Co gamma radiation in the same total dose. The results are systematically compared in order to understand the interaction of ions and ionizing radiation with SiGe HBTs.

  5. The reliability studies of nano-engineered SiGe HBTs using Pelletron accelerator

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, A. P. Gnana, E-mail: gnanaprakash@physics.uni-mysore.ac.in; Praveen, K. C. [Department of Studies in Physics, University of Mysore, Manasagangotri, Mysore, Karnataka-570006 (India); Pushpa, N. [Department of PG Studies in Physics, JSS College, Ooty Road, Mysore-570025 (India); Cressler, John D. [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA, 30332 (United States)

    2015-05-15

    The effects of high energy ions on the electrical characteristics of silicon-germanium heterojunction bipolar transistors (SiGe HBTs) were studied in the total dose of ranging from 600 krad to 100 Mrad (Si). The two generations (50 GHz and 200 GHz) of SiGe HBTs were exposed to 50 MeV lithium, 75 MeV boron and 100 MeV oxygen ions. The electrical characteristics of SiGe HBTs were studied before and after irradiation. The SiGe HBTs were exposed to {sup 60}Co gamma radiation in the same total dose. The results are systematically compared in order to understand the interaction of ions and ionizing radiation with SiGe HBTs.

  6. Bond length contraction in Au nanocrystals formed by ion implantation into thin SiO2

    International Nuclear Information System (INIS)

    Kluth, P.; Johannessen, B.; Giraud, V.; Cheung, A.; Glover, C.J.; Azevedo, G. de M; Foran, G.J.; Ridgway, M.C.

    2004-01-01

    Au nanocrystals (NCs) fabricated by ion implantation into thin SiO 2 and annealing were investigated by means of extended x-ray absorption fine structure (EXAFS) spectroscopy and transmission electron microscopy. A bond length contraction was observed and can be explained by surface tension effects in a simple liquid-drop model. Such results are consistent with previous reports on nonembedded NCs implying a negligible influence of the SiO 2 matrix. Cumulant analysis of the EXAFS data suggests surface reconstruction or relaxation involving a further shortened bond length. A deviation from the octahedral closed shell structure is apparent for NCs of size 25 A

  7. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Dzurak, A.S.; Clark, R.G.

    2006-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because of the need to control quantum states at the level of individual atoms, electrons or photons. We have used ion implantation to fabricate devices on the scale of 10 nm that have allowed the development and test of nanocircuitry for the control of charge transport at the level of single electrons. This fabrication method is compatible with the construction of devices that employ counted P dopants in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon substrates by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved. Prototype devices fabricated by this method have been used to investigate quantum effects in the control and transport of single electrons with potential applications to solid state quantum information processing devices

  8. Multi-dimensional microanalysis of masklessly implanted atoms using focused heavy ion beam

    International Nuclear Information System (INIS)

    Mokuno, Yoshiaki; Iiorino, Yuji; Chayahara, Akiyoshi; Kiuchi, Masato; Fujii, Kanenaga; Satou, Mamoru

    1992-01-01

    Multi-dimensional structure fabricated by maskless MeV gold implantation in silicon wafer was analyzed by 3 MeV carbon ion microprobe using a microbeam line developed at GIRIO. The minimum line width of the implanted region was estimated to be about 5 μm. The advantages of heavy ions for microanalysis were demonstrated. (author)

  9. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung [Department of Materials Science and Engineering and Research Institute of Advanced Materials (RIAM), Seoul National University, Seoul 151-744 (Korea, Republic of); Ju, Jin-Woo [Korea Photonics Technology Institute, Gwangju 500-779 (Korea, Republic of); Kim, Young-Min; Yoo, Seung Jo; Kim, Jin-Gyu [Korea Basic Science Institute, Daejeon 305-806 (Korea, Republic of)

    2015-07-15

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface, high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.

  10. Measurement and modelling of the radiation damage of silicon by MeV Ag ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Eder, J.; Stritzker, B.

    1999-01-01

    Depth profiles of the radiation damage produced by 4 MeV Ag ions in Si(111) at temperatures of 210--450 K are studied by optical reflectivity depth profiling and TEM for doses between 10 12 and 10 15 Ag/cm 2 . For high implantation temperatures, the depth of maximum damage is shown to be dose dependent. Point defect diffusion is shown to result in long tails of defect depth profiles. High-temperature amorphization is observed to proceed via the formation and bridge-like coalescence of isolated amorphous volumina. The damage at the depth of the maximum in the nuclear stopping power is described as a function of dose and temperature by the Hecking model. The model parameters and a comparison with those obtained for lighter ions reflect the particular properties of heavy ion collision cascades

  11. SiV color centers in Si-doped isotopically enriched {sup 12}C and {sup 13}C CVD diamonds

    Energy Technology Data Exchange (ETDEWEB)

    Sedov, Vadim; Bolshakov, Andrey [General Physics Institute, RAS, Moscow (Russian Federation); National Research Nuclear University MEPhI, Moscow (Russian Federation); Boldyrev, Kirill [Institute of Spectroscopy, RAS, Troitsk, Moscow (Russian Federation); Krivobok, Vladimir; Nikolaev, Sergei [Lebedev Physical Institute, RAS, Moscow (Russian Federation); Khomich, Alex [Institute of Radio Engineering and Electronics, RAS, Fryazino (Russian Federation); Khomich, Andrew [General Physics Institute, RAS, Moscow (Russian Federation); Institute of Radio Engineering and Electronics, RAS, Fryazino (Russian Federation); Krasilnikov, Anatoly [Institution ' ' ProjectCenter ITER' ' , Moscow (Russian Federation); Ralchenko, Victor [General Physics Institute, RAS, Moscow (Russian Federation); National Research Nuclear University MEPhI, Moscow (Russian Federation); Harbin Institute of Technology, Harbin (China)

    2017-11-15

    The effect of isotopic modification of diamond lattice on photoluminescence (PL) and optical absorption spectra of ensembles of SiV{sup -} centers was studied. Thin epitaxial diamond layers were grown by a microwave plasma CH{sub 4}/H{sub 2} mixtures using methane enriched to 99.96% for either {sup 12}C or {sup 13}C isotopes, while the Si doping was performed by adding a small percentage of silane SiH{sub 4} into the plasma. Temperature dependent SiV{sup -} ZPL spectra in absorption were measured at 3-80 K to monitor the evolution of the ZPL fine structure. It is found that the SiV{sup -} ZPL at 736.9 nm observed in PL for {sup 12}C diamond at T = 5 K, exhibits a blue shift of 1.78 meV, to 736.1 nm in {sup 13}C diamond matrix. Narrow ZPL with the width (FWHM) of 0.09 meV (21 GHz) was measured in absorption spectra at T = 3-30 K in the Si-doped {sup 13}C diamond. Besides the charged SiV{sup -} center, the absorption of the neutral SiV{sup 0} defect at 946 nm wavelength has also been detected. From changes observed in SiV{sup -} phonon band structure in PL with isotopic modification, the band at 64 meV was confirmed to be a local vibration mode (LVM) involving a Si atom. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Formation of oxygen-related defects enhanced by fluorine in BF{sub 2}{sup +}-implanted Si studied by a monoenergetic positron beam

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Moriya, Tsuyoshi; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Kawano, Takao; Nagai, Ryo; Umeda, Kazunori

    1995-12-01

    Defects in 25-keV BF{sub 2}{sup +}- or As{sup +}-implanted Si specimens were probed by a monoenergetic positron beam. For the As{sup +}-implanted specimen, the depth profile of defects was obtained from measurements of Doppler broadening profiles as a function of incident positron energy. The major species of the defects was identified as divacancies. For ion-implanted specimens after annealing treatment, oxygen-related defects were found to be formed. For the BF{sub 2}{sup +}-implanted specimen before annealing treatment, such defects were formed in the subsurface region, where oxygen atoms were implanted by recoil from oxide films. This was attributed to enhanced formation of oxygen-related defects by the presence of F atoms. (author)

  13. N and Si Implantation Effect on Structural and Electrical Properties of Bridgman grown GaSe Single Crystal

    International Nuclear Information System (INIS)

    Karabulut, O.

    2004-01-01

    N and Si implantation to GaSe single crystals were carried out parallel to c-axis with ion beam of about 10 1 6 ions/cm 2 dose having energy values 30, 60 and 100 keV. Ion implantation modifications on Bridgman grown GaSe single crystals have been investigated by means of XRD, electrical conductivity, absorption and photoconductivity measurements. XRD measurements revealed that annealing results in a complete recovery of the crystalline nature that was moderately reduced upon implantation. It was observed that both N- and Siimplantation followed by annealing process decreased the resistivity values from 10 7 to 10 3 .-cm. The analysis of temperature dependent conductivity showed that at high temperature region above 200 K, the transport mechanism is dominated by thermal excitation in the doped and undoped GaSe samples. At lower temperatures, the conduction of carriers is dominated by variable range hopping mechanism in the implanted samples. Absorption and spectral photoconductivity measurements showed that the band edge is shifted in the implanted sample. All these modifications were attributed to the structural modifications and continuous shallow trap levels introduced upon implantation and annealing

  14. The reactivity of ion-implanted SiC

    International Nuclear Information System (INIS)

    McHargue, C.J.; Lewis, M.B.; Williams, J.M.; Appleton, B.R.

    1985-01-01

    Implantation of chromium into single crystal or polycrystalline α-SiC produces a surface amorphous layer for displacement damage greater than about 0.2 displacements per atom at room temperature. The enhanced chemical reactivity of such specimens was studied by two methods: chemical etching rate and oxidation rate. The chemical etching rates in a saturated solution of 50% K 3 Fe(CN) 6 plus 50% KOH were measured. The etching rate for the amorphous layer was 2.4-3.7 times that of the polycrystalline samples and 3.0-4.1 times that of the single-crystal samples. Polycrystalline specimens were exposed to flowing oxygen for 1 h at 1300 0 C. Rutherford backscattering and the nuclear reaction 16 O(d,p) 17 O* were used to determine the amount of oxygen on the surface. The amount of oxygen (and the thickness of oxide) over the amorphous region was 1.67 times that over the crystalline region. The relative thicknesses of the oxide on the amorphous and crystalline regions were confirmed by measuring the sputtering time required to remove the oxygen signal in an Auger spectrometer. (Auth.)

  15. Bias dependent charge trapping in MOSFETs during 1 and 6 MeV electron irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Shinde, N.S. [Department of Chemical Engineering, Mie University, 5148507 (Japan); Kulkarni, V.R.; Mathakari, N.L.; Bhoraskar, V.N. [Department of Physics, Univeristy of Pune, Pune 411007 (India); Dhole, S.D. [Department of Physics, Univeristy of Pune, Pune 411007 (India)], E-mail: sanjay@physics.unipune.ernet.in

    2008-06-15

    To study irradiation-induced charge trapping in SiO{sub 2} and around the SiO{sub 2}-Si interface, depletion n-MOSFETs (metal-oxide-semiconductor field effect transistor) were used. The devices were gate biased during 1 and 6 MeV pulsed electron irradiation. The I{sub D}-V{sub DS} (drain current versus drain voltage) and I{sub D}-V{sub GS} (drain current versus gate voltage) characteristics were measured before and after irradiation. The shift in threshold voltage {delta}V{sub T} (difference in threshold voltage V{sub T} before and after irradiation) exhibited trends depending on the applied gate bias during 1 MeV electron irradiation. This behavior can be associated to the contribution of irradiation-induced negative charge {delta}N{sub IT} buildup around the SiO{sub 2}-Si interface to {delta}V{sub T}, which is sensitive to the electron tunneling from the substrates. However, only weak gate bias dependence was observed in 6 MeV electron irradiated devices. Independent of the energy loss and applied bias, the positive oxide trapped charge {delta}N{sub OT} is marginal and can be associated to thin and good quality of SiO{sub 2}. These results are explained using screening of free and acceptor states by the applied bias during irradiation, thereby reducing the total irradiation-induced charges.

  16. Central collisions between 28Si nuclei at 12.4, 19.7 and 30.0 MeV per nucleon

    International Nuclear Information System (INIS)

    Meijer, R.J.

    1989-01-01

    The formation and decay of nuclei in central collisions of the 28 Si + 28 Si system at bombarding energies of 12.4, 19.7 and 30.0 MeV per nucleon is studied by analysis of the light particle (LP) spectra measured in coincidence with evaporation residues (ER) and measurements of the inclusive velocity distribution of ER's. From the last set of measurements a strong reduction of the ER cross section at increasing incident energy was observed and especially the relation to a possible vanishing fusion cross section is discussed. The coincidence measurements determines the LP pre-equilibrium contribution and the LP decay modes of highly excited systems. The ER's produced in fusion reactions between 28 Si nuclei were detected with a simple ionization chamber ΔE detector and a surface barrier E detector. For the LP detection multidetector systems consisting of CsI(TL) detectors were used. In this thesis the developments that have led to the construction of a CsI(TL) charged particle detector and of the Utrecht multidetector system, the experimental setup and the methods used in the acquisition, processing and analysis of the data, are described. The results of the heavy-ion velocity measurements are presented and conclusions are drawn about equilibrium and pre-equilibrium processes from the analysis. (H.W.). 124 refs.; 44 figs.; 24 tabs

  17. Study of transfer induced fission and fusion-fission reactions for 28 Si + 232 Th system at 340 MeV

    International Nuclear Information System (INIS)

    Prete, G.; Rizzi, V.; Fioretto, E.; Cinausero, M.; Shetty, D.V.; Pesente, S.; Brondi, A.; La Rana, G.; Moro, R.; Vardaci, E.; Boiano, A.; Ordine, A.; Gelli, N.; Lucarelli, F.; Bortignon, P.F.; Saxena, A.; Nayak, B.K.; Biswas, D.C.; Choudhury, R.K.; Kapoor, R.S.

    2001-01-01

    Full text: Fission induced by nucleons transfer has been investigated in the reaction 28 Si + 232 Th at 340 MeV. Looking at the projectile-like-fragments (PLF), the fission yield increases as the transfer increases, but a decreases is observed for transfers with DZ . Light charged particles in coincidence with PLF and Fission have been detected with large solid angle and show an increasing multiplicity as the Z of PLF is reduced and a constant value when fission is requested. The present results indicate inhibition of transfer induced fission reaction for higher Z transfer and increasing probability for decay through charged particle evaporation. Fission is the dominant decay process in heavy reactions involving fissile systems but the dynamical evolution of the composite system is largely governed by the formation and decay mechanisms. Important insight into the formation and the survival probability of the heavy composite nuclei formed in heavy ion collisions can be gained by simultaneously investigate the fission process and light particle emission over a continuous range of excitation energy, angular momentum and fissility. This can be achieved by studying fission induced by transfer of nucleons between the interacting projectile and the target nucleus. In the present work, we have carried out measurements on multinucleon transfer induced fission reactions in 28 Si + 232 Th system at Elab = 340 MeV. The experiment has been performed at the Laboratori Nazionale di Legnaro (LNL) using the 8pLP detector in its final configuration with 257 DE-E telescopes. The backward detectors were used to measure both light charged particles and fission fragments. The projectile-like fragments were detected using separate DE-E telescopes around the grazing angle. Two neutron detectors were placed at a distance of 115.5 cm from the target to measure neutrons emitted in coincidence with fission fragments. Here we present the results of the data analysis of transfer induced fission

  18. Evaluation of electronic states of implanted materials by molecular orbital calculation

    International Nuclear Information System (INIS)

    Saito, Jun-ichi; Kano, Shigeki

    1997-07-01

    In order to understand the effect of implanted atom in ceramics and metals on the sodium corrosion, the electronic structures of un-implanted and implanted materials were calculated using DV-Xα cluster method which was one of molecular orbital calculations. The calculated materials were β-Si 3 N 4 , α-SiC and β-SiC as ceramics, and f.c.c. Fe, b.c.c. Fe and b.c.c. Nb as metals. An Fe, Mo and Hf atom for ceramics, and N atom for metals were selected as implanted atoms. Consequently, it is expected that the corrosion resistance of β-Si 3 N 4 is improved, because the ionic bonding reduced by the implantation. When the implanted atom is occupied at interstitial site in α-SiC and β-SiC, the ionic bonding reduced. Hence, there is a possibility to improve the corrosion resistance of α-SiC and β-SiC. It is clear that Hf is most effective element among implanted atoms in this study. As the covalent bond between N atom and surrounding Fe atoms increased largely in f.c.c. Fe by N implantation, it was expected that the corrosion resistance of f.c.c. Fe improved in liquid sodium. (J.P.N.)

  19. Investigation of states in 30P via the 30Si(3He,t)30P reaction at 30 MeV

    International Nuclear Information System (INIS)

    Ramstein, B.; Rosier, L.H.; Paris-11 Univ., 91 - Orsay; Meijer, R.J. de

    1981-01-01

    The 30 Si( 3 He,t) 30 P reaction has been measured for about 100 levels in 30 P with Esub(x)<8.8 MeV. Little selectivity in the population of states has been observed. For 75 levels angular distributions have been analysed using a 'fingerprint method' by determining the L-value from a comparison in shape with transition to states with known Jsup(π). For possible mixed L-transitions a dominance of the higher L-value is observed for almost all cases. Coulomb displacement energy calculations utilizing shell-model wave functions have been used to identify T=1 states

  20. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    Energy Technology Data Exchange (ETDEWEB)

    Ayedh, H. M.; Svensson, B. G. [University of Oslo, Department of Physics/Center for Materials Science and Nanotechnology, P.O. Box 1048 Blindern, N-0316 Oslo (Norway); Hallén, A. [School of Information and Communication Technology (ICT), Royal Institute of Technology, SE-164 40 Kista-Stockholm (Sweden)

    2015-11-07

    The carbon vacancy (V{sub C}) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the V{sub C}-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (C{sub i}'s) and annihilation of V{sub C}'s in the epi-layer “bulk”. Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the V{sub C} annihilation. Actually, employing normalized implantation conditions with respect to displaced C atoms, other heavier ions like Al and Si are found to be more efficient in annihilating V{sub C}'s. Concentrations of V{sub C} below ∼2 × 10{sup 11} cm{sup −3} can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the V{sub C}-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote C{sub i}-clustering and reduce dynamic defect annealing. These C{sub i}-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced C{sub i} injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the V{sub C}-concentration, which limit the net effect of the C{sub i} injection, and a competition between the two processes occurs.

  1. Laser induced recrystallisation and defects in ion implanted hexagonal SiC

    International Nuclear Information System (INIS)

    Makarov, V.V.; Tuomi, T.; Naukkarinen, K.; Luomajaervi, M.; Riihonen, M.

    1979-10-01

    SiC(6H) crystals amorphized with 14 N + -ion implantation were annealed with CO 2 laser pulses at intensities of 20 to 100 MW/cm 2 . Laser produced crystallisation due to residual ray absorption was studied by means of optical spectroscopy, 4 He + -ion backscattering spectrometry and channeling as well as Cu Kα 1 and synchrotron x-ray diffraction topography. At low laser intensities topographs revealed linear and planar defects which contributed to increased dechanneling independent of analyzing beam energy. Minimum of lattice disorder, which was in some regions of the laser impact area smaller than that obtained in thermal annealing, was attained at the peak laser intensities of about 50 MW/cm 2 . (orig.)

  2. Gamma-ray production cross-sections for the interactions of 14.9 MeV neutrons with Si, Cu, Nb and Pb

    International Nuclear Information System (INIS)

    Fan Guoying

    1991-12-01

    Gamma rays produced in the interactions of 14.9 MeV neutrons with Si, Cu, Nb and Pb targets were studied. The neutron beam was produced with the T(d,n)He reaction using 300 KeV Cockroft-Walton accelerator. Absolute neutron flux was determined by the associated particle technique. The time-of-flight technique was used to reduce the background. The FWHM of neutron pulses was 1.5 ns. A Ge(Li) detector was used for gamma-ray detection. 39 gamma lines for Si, 39 gamma lines for Cu, 79 for Nb and 39 for Pb were detected. Most of these gamma rays were emitted in (n,γ), (n,n') and (n,2n) reactions. The measurements were made at 40 deg. C, 55 deg. C, 125 deg. C and 140 deg. C relative to the incident neutron beam. The results are presented in the form of the data tables. 9 refs, 11 figs, 19 tabs

  3. Ion beam processes in Si

    International Nuclear Information System (INIS)

    Holland, O.W.; Narayan, J.; Fathy, D.

    1984-07-01

    Observation of the effects of implants of energetic ions at high dose rates into Si have produced some exciting and interesting results. The mechanism whereby displacement damage produced by ions self-anneals during high dose rate implantation is discussed. It is shown that ion beam annealing (IBA) offers in certain situations unique possibilities for damage annealing. Annealing results of the near surface in Si with a buried oxide layer, formed by high dose implantation, are presented in order to illustrate the advantages offered by IBA. It is also shown that ion irradiation can stimulate the epitaxial recrystallization of amorphous overlayers in Si. The nonequilibrium alloying which results from such epitaxial processes is discussed as well as mechanisms which limit the solid solubility during irradiation. Finally, a dose rate dependency for the production of stable damage by ion irradiation at a constant fluence has been observed. For low fluence implants, the amount of damage is substantially greater in the case of high flux rather than low flux implantation

  4. Activation behavior of boron implanted poly-Si on glass substrate

    International Nuclear Information System (INIS)

    Furuta, M.; Shimamura, K.; Tsubokawa, H.; Tokushige, K.; Furuta, H.; Hirao, T.

    2010-01-01

    The activation behavior of boron (B) implanted poly-Si films on glass substrates has been investigated. The effect of B dose and annealing temperature on crystal defects and electrical properties of the films were evaluated by Raman spectroscopy and Hall measurement. It was found that the maximum activation ratio of the film with B dose of 1 x 10 15 cm -2 was obtained when Raman peak associated with disordered amorphous silicon disappeared. However, reverse anneal was observed in the film when the annealing temperature further increased. The results from secondary ion mass spectrometry and Hall measurement revealed that B segregation at the top and bottom interface and deactivation of B substitutional occurred simultaneously in the high-dose specimens when the annealing temperature increased from 600 to 750 o C.

  5. Incorporating Si3 N4 into PEEK to Produce Antibacterial, Osteocondutive, and Radiolucent Spinal Implants.

    Science.gov (United States)

    Pezzotti, Giuseppe; Marin, Elia; Adachi, Tetsuya; Lerussi, Federica; Rondinella, Alfredo; Boschetto, Francesco; Zhu, Wenliang; Kitajima, Takashi; Inada, Kosuke; McEntire, Bryan J; Bock, Ryan M; Bal, B Sonny; Mazda, Osam

    2018-04-24

    Polyetheretherketone (PEEK) is a popular polymeric biomaterial which is primarily used as an intervertebral spacer in spinal fusion surgery; but it is developed for trauma, prosthodontics, maxillofacial, and cranial implants. It has the purported advantages of an elastic modulus which is similar to native bone and it can be easily formed into custom 3D shapes. Nevertheless, PEEK's disadvantages include its poor antibacterial resistance, lack of bioactivity, and radiographic transparency. This study presents a simple approach to correcting these three shortcomings while preserving the base polymer's biocompatibility, chemical stability, and elastic modulus. The proposed strategy consists of preparing a PEEK composite by dispersing a minor fraction (i.e., 15 vol%) of a silicon nitride (Si 3 N 4 ) powder within its matrix. In vitro tests of PEEK composites with three Si 3 N 4 variants-β-Si 3 N 4 , α-Si 3 N 4 , and β-SiYAlON-demonstrate significant improvements in the polymer's osteoconductive versus SaOS-2 cells and bacteriostatic properties versus gram-positive Staphylococcus epidermidis bacteria. These properties are clearly a consequence of adding the bioceramic dispersoids, according to chemistry similar to that previously demonstrated for bulk Si 3 N 4 ceramics in terms of osteogenic behavior (vs both osteosarcoma and mesenchymal progenitor cells) and antibacterial properties (vs both gram-positive and gram-negative bacteria). © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Ion implantation into amorphous Si layers to form carrier-selective contacts for Si solar cells

    International Nuclear Information System (INIS)

    Feldmann, Frank; Mueller, Ralph; Reichel, Christian; Hermle, Martin

    2014-01-01

    This paper reports our findings on the boron and phosphorus doping of very thin amorphous silicon layers by low energy ion implantation. These doped layers are implemented into a so-called tunnel oxide passivated contact structure for Si solar cells. They act as carrier-selective contacts and, thereby, lead to a significant reduction of the cell's recombination current. In this paper we address the influence of ion energy and ion dose in conjunction with the obligatory high-temperature anneal needed for the realization of the passivation quality of the carrier-selective contacts. The good results on the phosphorus-doped (implied V oc = 725 mV) and boron-doped passivated contacts (iV oc = 694 mV) open a promising route to a simplified interdigitated back contact (IBC) solar cell featuring passivated contacts. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Atomic scale Monte Carlo simulations of BF3 plasma immersion ion implantation in Si

    International Nuclear Information System (INIS)

    La Magna, Antonino; Fisicaro, Giuseppe; Nicotra, Giuseppe; Spiegel, Yohann; Torregrosa, Frank

    2014-01-01

    We present a numerical model aimed to accurately simulate the plasma immersion ion implantation (PIII) process in micro and nano-patterned Si samples. The code, based on the Monte Carlo approach, is designed to reproduce all the relevant physical phenomena involved in the process. The particle based simulation technique is fundamental to efficiently compute the material modifications promoted by the plasma implantation at the atomic resolution. The accuracy in the description of the process kinetic is achieved linking (one to one) each virtual Monte Carlo event to each possible atomic phenomenon (e.g. ion penetration, neutral absorption, ion induced surface modification, etc.). The code is designed to be coupled with a generic plasma status, characterized by the particle types (ions and neutrals), their flow rates and their energy/angle distributions. The coupling with a Poisson solver allows the simulation of the correct trajectories of charged particles in the void regions of the micro-structures. The implemented model is able to predict the implantation 2D profiles and significantly support the process design. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Study of astrophysically important resonant states in 26Si by the 28Si(4He,6He)26Si reaction

    Science.gov (United States)

    Kwon, Young Kwan; Lee, C. S.; Moon, J. Y.; Lee, J. H.; Kim, J. Y.; Kubono, S.; Iwasa, N.; Inafiki, K.; Yamaguchi, H.; He, J. J.; Saito, A.; Wakabayashi, Y.; Fukijawa, H.; Amadio, G.; Khiem, L. H.; Tanaka, M.; Chen, A.; Kato, S.

    PoS(NIC-IX)024 , b, H. Yamaguchia, J. J. Hea , A. Saitoa , Y. Wakabayashia, H. Fujikawaa, G. The emission of 1.809 MeV gamma-ray from the first excited state of 26 Mg followed by beta- decay of 26 Al in its ground state (denoted as 26 Alg.s. ) has been identified by gamma-ray telescopes such the Compton Gamma-Ray Observatory (CGRO) [1]. To resolve controversy over the pos- sible sources of the observational 1.809 MeV gamma-rays, one needs accurate knowledge of the production rate of 26 Al. The 25 Al(p,γ)26Si reaction which is the competition reaction for produc- tion of 26 Alg.s. is one of the important subjects to be investigated. In this work, the astrophysically important 26 Si states above the proton threshold were studied via the 28 Si(4 He,6 He)26 Si reaction. We have preformed an angular distribution measurement using the high resolution QDD spectro- graph (PA) at Center for Nuclear Study (CNS), University of Tokyo. The experimental results and data analysis will be presented.

  9. Suppression of nanoindentation-induced phase transformation in crystalline silicon implanted with hydrogen

    Science.gov (United States)

    Jelenković, Emil V.; To, Suet

    2017-09-01

    In this paper the effect of hydrogen implantation in silicon on nanoindentation-induced phase transformation is investigated. Hydrogen ions were implanted in silicon through 300 nm thick oxide with double energy implantation (75 and 40 keV). For both energies implantation dose was 4 × 1016 cm-2. Some samples were thermally annealed at 400 °C. The micro-Raman spectroscopy was applied on nanoindentation imprints and the obtained results were related to the pop out/elbow appearances in nanoindentatioin unloading-displacement curves. The Raman spectroscopy revealed a suppression of Si-XII and Si-III phases and formation of a-Si in the indents of hydrogen implanted Si. The high-resolution x-ray diffraction measurements were taken to support the analysis of silicon phase formation during nanoindentation. Implantation induced strain, high hydrogen concentration, and platelets generation were found to be the factors that control suppression of c-Si phases Si-XII and Si-III, as well as a-Si phase enhancement during nanoindentation. [Figure not available: see fulltext.

  10. Quartz modification by Zn ion implantation and swift Xe ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Privezentsev, Vladimir [Institute of Physics and Technology, Russian Academy of Sciences, Moscow (Russian Federation); Kulikauskas, Vaclav [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University (Russian Federation); Didyk, Alexander; Skuratov, Vladimir [Joint Institute of Nuclear Research, Dubna (Russian Federation); Steinman, Edward; Tereshchenko, Alexey; Kolesnikov, Nikolay [Institute of Solid-State Physics, Russian Academy of Sciences, Chernogolovka (Russian Federation); Trifonov, Alexey; Sakharov, Oleg [National Research University ' ' MIET' ' , Zelenograd, Moscow (Russian Federation); Ksenich, Sergey [National University of Science and Technology ' ' MISiS' ' , Moscow (Russian Federation)

    2017-07-15

    The quartz slides were implanted by {sup 64}Zn{sup +} ions with dose of 5 x 10{sup 16}/cm{sup 2} and energy of 100 keV. After implantation, the amorphous metallic Zn nanoparticles with an average radius of 3.5 nm were created. The sample surface becomes nonuniform, its roughness is increased and its values rise up to 6 nm compared to virgin state, and the roughness maximum is at a value of about 0.8 nm. The surface is made up of valleys and hillocks which have a round shape with an average diameter about 200 nm. At the center of these hillocks are pores with a depth up to 6 nm and a diameter of about 20 nm. After implantation in UV-vis diapason, the optical transmission decreases while PL peak (apparently due to oxygen deficient centers) at wavelength of 400 nm increases. Then the samples were subjected to swift Xe ion irradiation with the fluences of 1 x 10{sup 12}-7.5 x 10{sup 14}/cm{sup 2} and energy of 167 MeV. After Xe irradiation, the sample surface roughness shat down to values of 0.5 nm and the roughness maximum is at a value of about 0.1 nm. Optical transmission in UV-vis diapason increases. The PL peak at wavelength of 400 nm is decreased while a PL peak at wavelength of 660 nm is raised. This peak is presumably due to non-bridging oxygen hole centers or/and NPs with structure Si(core)/SiO{sub 2}(shell). HRTEM image of Zn-implanted quartz subsurface layer. One can see the Zn amorphous nanoparticles, which confirms the electron diffraction pattern (insert). (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Structural and optical characterization of Mn doped ZnS nanocrystals elaborated by ion implantation in SiO2

    International Nuclear Information System (INIS)

    Bonafos, C.; Garrido, B.; Lopez, M.; Romano-Rodriguez, A.; Gonzalez-Varona, O.; Perez-Rodriguez, A.; Morante, J.R.; Rodriguez, R.

    1999-01-01

    Mn doped ZnS nanocrystals have been formed in SiO 2 layers by ion implantation and thermal annealing. The structural analysis of the processed samples has been performed mainly by Secondary Ion Mass Spectroscopy (SIMS) and Transmission Electron Microscopy (TEM). The data show the precipitation of ZnS nanocrystals self-organized into two layers parallel to the free surface. First results of the optical analysis of samples co-implanted with Mn show the presence of a yellow-green photoluminescence depending on the Mn concentration and the size of the nanocrystals, suggesting the doping with Mn of some precipitates

  12. Enhanced Electrical Activation in In-Implanted Si0.35Ge0.65 by C Co-Doping

    International Nuclear Information System (INIS)

    Feng, Ruixing; Kremer, Felipe; Sprouster, David J.; Mirzaei, Sahar; Decoster, Stefan

    2016-01-01

    In this report, we have achieved a significant increase in the electrically active dopant fraction in Indium (In)-implanted Si 0.35 Ge 0.65 , by co-doping with the isovalent element Carbon (C). Electrical measurements have been correlated with X-ray absorption spectroscopy to determine the electrical properties and the In atom lattice location. With C+In co-doping, the solid solubility of In in Si 0.35 Ge 0.65 was at least tripled from between 0.02 and 0.06 at% to between 0.2 and 0.6 at% as a result of C–In pair formation, which suppressed In metal precipitation. A dramatic improvement of electrical properties was thus attained in the co-doped samples.

  13. Quantitative approach to relate dielectric constant studies with TSDC studies of 50 MeV Si ion irradiated kapton-H polymide

    International Nuclear Information System (INIS)

    Quamara, J.K.; Garg, Maneesha; Sridharbabu, Y.; Prabhavathi, T.

    2003-01-01

    Temperature and frequency dependent dielectric behaviour has been investigated for pristine and swift heavy ion irradiated (Si ion, 50 MeV energy) kapton-H polyimide in the temperature range of 30 to 250 deg C at frequencies 120 Hz, 1 kHz, 10 kHz and 100 kHz respectively. The dielectric relaxation behaviour of the same samples was also studied using thermally stimulated discharge current (TSDC) technique. A quantitative approach is developed using a well-known Clausius Mossotti equation to relate the TSDC findings to the dielectric constant studies. An overall increase in the dielectric constant of the irradiated samples are also in conformity to the TSDC findings. (author)

  14. Structural Changes in Polymer Films by Fast Ion Implantation

    Science.gov (United States)

    Parada, M. A.; Minamisawa, R. A.; Muntele, C.; Muntele, I.; De Almeida, A.; Ila, D.

    2006-11-01

    In applications from food wrapping to solar sails, polymers films can be subjected to intense charged panicle bombardment and implantation. ETFE (ethylenetetrafluoroethylene) with high impact resistance is used for pumps, valves, tie wraps, and electrical components. PFA (tetrafluoroethylene-per-fluoromethoxyethylene) and FEP (tetrafluoroethylene-hexa-fluoropropylene) are sufficiently biocompatible to be used as transcutaneous implants since they resist damage from the ionizing space radiation, they can be used in aerospace engineering applications. PVDC (polyvinyllidene-chloride) is used for food packaging, and combined with others plastics, improves the oxygen barrier responsible for the food preservation. Fluoropolymers are also known for their radiation dosimetry applications, dependent on the type and energy of the radiation, as well as of the beam intensity. In this work ETFE, PFA, FEP and PVDC were irradiated with ions of keV and MeV energies at several fluences and were analyzed through techniques as RGA, OAP, FTIR, ATR and Raman spectrophotometry. CF3 is the main specie emitted from PFA and FEP when irradiated with MeV protons. H and HF are released from ETFE due to the broken C-F and C-H bonds when the polymer is irradiated with keV Nitrogen ions and protons. At high fluence, especially for keV Si and N, damage due to carbonization is observed with the formation of hydroperoxide and polymer dehydroflorination. The main broken bonds in PVDC are C-O and C-Cl, with the release of Cl and the formation of double carbon bonds. The ion fluence that causes damage, which could compromise fluoropolymer film applications, has been determined.

  15. Anisotropic deformation of metallo-dielectric core-shell colloids under MeV ion irradiation

    International Nuclear Information System (INIS)

    Penninkhof, J.J.; Dillen, T. van; Roorda, S.; Graf, C.; Blaaderen, A. van; Vredenberg, A.M.; Polman, A.

    2006-01-01

    We have studied the deformation of metallo-dielectric core-shell colloids under 4 MeV Xe, 6 and 16 MeV Au, 30 MeV Si and 30 MeV Cu ion irradiation. Colloids of silica surrounded by a gold shell, with a typical diameter of 400 nm, show anisotropic plastic deformation under MeV ion irradiation, with the metal flowing conform the anisotropically deforming silica core. The 20 nm thick metal shell imposes a mechanical constraint on the deforming silica core, reducing the net deformation strain rate compared to that of pure silica. In colloids consisting of a Au core and a silica shell, the silica expands perpendicular to the ion beam, while the metal core shows a large elongation along the ion beam direction, provided the silica shell is thick enough (>40 nm). A minimum electronic energy loss of 3.3 keV/nm is required for shape transformation of the metal core. Silver cores embedded in a silica shell show no elongation, but rather disintegrate. Also in planar SiO 2 films, Au and Ag colloids show entirely different behavior under MeV irradiation. We conclude that the deformation model of core-shell colloids must include ion-induced particle disintegration in combination with thermodynamical effects, possibly in combination with mechanical effects driven by stresses around the ion tracks

  16. Anisotropic deformation of metallo-dielectric core shell colloids under MeV ion irradiation

    Science.gov (United States)

    Penninkhof, J. J.; van Dillen, T.; Roorda, S.; Graf, C.; van Blaaderen, A.; Vredenberg, A. M.; Polman, A.

    2006-01-01

    We have studied the deformation of metallo-dielectric core-shell colloids under 4 MeV Xe, 6 and 16 MeV Au, 30 MeV Si and 30 MeV Cu ion irradiation. Colloids of silica surrounded by a gold shell, with a typical diameter of 400 nm, show anisotropic plastic deformation under MeV ion irradiation, with the metal flowing conform the anisotropically deforming silica core. The 20 nm thick metal shell imposes a mechanical constraint on the deforming silica core, reducing the net deformation strain rate compared to that of pure silica. In colloids consisting of a Au core and a silica shell, the silica expands perpendicular to the ion beam, while the metal core shows a large elongation along the ion beam direction, provided the silica shell is thick enough (>40 nm). A minimum electronic energy loss of 3.3 keV/nm is required for shape transformation of the metal core. Silver cores embedded in a silica shell show no elongation, but rather disintegrate. Also in planar SiO2 films, Au and Ag colloids show entirely different behavior under MeV irradiation. We conclude that the deformation model of core-shell colloids must include ion-induced particle disintegration in combination with thermodynamical effects, possibly in combination with mechanical effects driven by stresses around the ion tracks.

  17. Local electronic and geometric structures of silicon atoms implanted in graphite

    International Nuclear Information System (INIS)

    Baba, Yuji; Sekiguchi, Tetsuhiro; Shimoyama, Iwao

    2002-01-01

    Low-energy Si + ions were implanted in highly oriented pyrolitic graphite (HOPG) up to 1% of surface atomic concentration, and the local electronic and geometric structures around the silicon atoms were in situ investigated by means of the Si K-edge X-ray absorption near-edge structure (XANES) and X-ray photoelectron spectroscopy using linearly polarized synchrotron radiation. The resonance peak appeared at 1839.5 eV in the Si K-edge XANES spectra for Si + -implanted HOPG. This energy is lower than those of the Si 1s→σ * resonance peaks in any other Si-containing materials. The intensity of the resonance peak showed strong polarization dependence, which suggests that the final state orbitals around the implanted Si atoms have π * -like character. It is concluded that the σ-type Si-C bonds produced by the Si + -ion implantation are nearly parallel to the graphite plane, and Si x C phase forms two-dimensionally spread graphite-like layer with sp 2 bonds

  18. Raman study of damage processes in Si+-implanted GaAs

    International Nuclear Information System (INIS)

    Ivanda, M.; Desnica, U.V.; Haynes, T.E.; Hartmann, I.; Kiefer, W.

    1994-09-01

    Ion-induced damage in GaAs as a function of ion dose following 100 keV Si + implants has been investigated by Raman spectroscopy. A new approach for decomposition of Raman scattering intensity on to the crystalline and amorphous phase components has been used in analysis of Raman spectra. With increasing ion dose the following was observed: (a) the widths of vibrational bands of a-phase significantly increase, while the width of the LO(Γ) phonon band of c-phase remains unchanged; (b) the longitudinal optical phonon band of c-phase completely dissappears, while the transverse optical phonon mode evolves in to a new band of a-phase; (c) the wavenumbers of all vibrational bands of a- and c-phase shift to lower values by ∼ 10--15 cm -1 . A number of mechanisms possibly accountable for these shifts were analysed and evaluated

  19. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  20. Effects of antimony (Sb) on electron trapping near SiO{sub 2}/4H-SiC interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Mooney, P. M.; Jiang, Zenan; Basile, A. F. [Physics Department, Simon Fraser University, Burnaby, British Columbia V5A 1S6 (Canada); Zheng, Yongju; Dhar, Sarit [Physics Department, Auburn University, Auburn, Alabama 36849 (United States)

    2016-07-21

    To investigate the mechanism by which Sb at the SiO{sub 2}/SiC interface improves the channel mobility of 4H-SiC MOSFETs, 1 MHz capacitance measurements and constant capacitance deep level transient spectroscopy (CCDLTS) measurements were performed on Sb-implanted 4H-SiC MOS capacitors. The measurements reveal a significant concentration of Sb donors near the SiO{sub 2}/SiC interface. Two Sb donor related CCDLTS peaks corresponding to shallow energy levels in SiC were observed close to the SiO{sub 2}/SiC interface. Furthermore, CCDLTS measurements show that the same type of near-interface traps found in conventional dry oxide or NO-annealed capacitors are present in the Sb implanted samples. These are O1 traps, suggested to be carbon dimers substituted for O dimers in SiO{sub 2}, and O2 traps, suggested to be interstitial Si in SiO{sub 2}. However, electron trapping is reduced by a factor of ∼2 in Sb-implanted samples compared with samples with no Sb, primarily at energy levels within 0.2 eV of the SiC conduction band edge. This trap passivation effect is relatively small compared with the Sb-induced counter-doping effect on the MOSFET channel surface, which results in improved channel transport.

  1. Characterization of diamond amorphized by ion implantation

    International Nuclear Information System (INIS)

    Allen, W.R.; Lee, E.H.

    1992-01-01

    Single crystal diamond has been implanted at 1 MeV with 2 x 10 20 Ar/m 2 . Rutherford backscattering spectrometry in a channeled geometry revealed a broad amorphized region underlying a thin, partially crystalline layer. Raman spectroscopy disclosed modifications in the bonding characteristic of the appearance of non-diamond carbon. The complementary nature of the two analysis techniques is demonstrated. The Knoop hardness of the implanted diamond was reduced by implantation

  2. Cytokine induction of sol–gel-derived TiO2 and SiO2 coatings on metallic substrates after implantation to rat femur

    Science.gov (United States)

    Urbanski, Wiktor; Marycz, Krzysztof; Krzak, Justyna; Pezowicz, Celina; Dragan, Szymon Feliks

    2017-01-01

    Material surface is a key determinant of host response on implanted biomaterial. Therefore, modification of the implant surface may optimize implant–tissue reactions. Inflammatory reaction is inevitable after biomaterial implantation, but prolonged inflammation may lead to adverse reactions and subsequent implant failure. Proinflammatory activities of cytokines like interleukin (IL)-1, IL-6, and tumor necrosis factor-alpha (TNF-α) are attractive indicators of these processes and ultimately characterize biocompatibility. The objective of the study was to evaluate local cytokine production after implantation of stainless steel 316L (SS) and titanium alloy (Ti6Al4V) biomaterials coated with titanium dioxide (TiO2) and silica (SiO2) coatings prepared by sol–gel method. Biomaterials were implanted into rat femur and after 12 weeks, bones were harvested. Bone–implant tissue interface was evaluated; immunohistochemical staining was performed to identify IL-6, TNF-α, and Caspase-1. Histomorphometry (AxioVision Rel. 4.6.3 software) of tissue samples was performed in order to quantify the cytokine levels. Both the oxide coatings on SS and Ti6Al4V significantly reduced cytokine production. However, the lowest cytokine levels were observed in TiO2 groups. Cytokine content in uncoated groups was lower in Ti6Al4V than in SS, although coating of either metal reduced cytokine production to similar levels. Sol–gel TiO2 or SiO2 coatings reduced significantly the production of proinflammatory cytokines by local tissues, irrespective of the material used as a substrate, that is, either Ti6Al4V or SS. This suggests lower inflammatory response, which directly points out improvement of materials’ biocompatibility. PMID:28280331

  3. Enhancement of c-axis texture of AlN films by substrate implantation

    International Nuclear Information System (INIS)

    Chen, C.H.; Yeh, J.M.; Hwang, J.

    2005-01-01

    Highly oriented AlN films are successfully deposited on B + implanted Si(1 1 1) substrates in a radio frequency inductively coupled plasma (RF/ICP) system. The implanted energy and dose used for the B + implanted Si(1 1 1) substrates are 200 keV and 10 15 cm -2 , respectively. The c-axis texture of AlN films can be affected by RF gun power and ion implantation. Experimental results show that the full width at half-maximum (FWHM) of AlN(0 0 2) in the X-ray rocking curve measurements decreases with increasing RF gun power. The optimum condition is at 500 W, where the FWHM of the AlN films deposited on Si(1 1 1) with and without B + implantation are 2.77 and 3.17, respectively. In average, the FWHM of the AlN films on B + implanted Si(1 1 1) are less than those on Si(1 1 1) by a factor of ∼10%. The enhancement of c-axis of AlN films due to B + implantation is attributed to the reduction of AlN grains. Raman spectra also suggest that ion implantation plays a role in reducing the tensile stress in AlN films deposited on B + implanted Si(1 1 1)

  4. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  5. Cross sections measurements for the forward elastic scattering of 13 MeV 6,7 Li and 24 MeV 16 O by 9 Be, 12 C, 16 O and 28 Si

    International Nuclear Information System (INIS)

    Liendo, J.A.; Gonzalez, A.C.; Fletcher, N.R.; Caussyn, D.D.

    2001-01-01

    Full text: Absolute elastic cross sections have been measured for targets of 9 Be, 12 C 16 O, 28 Si and 197 Au being bombarded by beams of 13 MeV 6 7 Li and 24 MeV 16 O. The relevant yields were collected simultaneously at 12.450, 16.450, 20.450 and 280. The confidence of a forward elastic scattering method proposed previously to carry out multi-elemental analysis of evaporated liquid samples depends on the precision and accuracy of the cross sections reported in this work.The 24 MeV 16 0 + 197 Au reaction was used to determine the detector solid angles with uncertainties of approximately 3%. Some of the 16 O-beam reactions Some of the 16 O beam reactions have been shown to be consistent with the Rutherford formula predictions at several angles. This allowed us to obtain target thickness independent cross sections for the lithium beam initiated reactions with uncertainties close to 7%. In general, the 6 7 Li-beam reaction cross sections were found not to be consistent with the Coulomb scattering formula. In order to test the internal consistency of our measured cross sections, they were used to determine the elemental densities of several selected targets containing beryllium, carbon, oxygen and silicon. These targets were bombarded with the same beam types and energies used to measure the cross sections and, for every element of interest contained in each target, elemental density values were obtained at some of the scattering angles quoted above. Agreements between some of our results and those of a previous work support the validity of our measurements. (Author)

  6. Simple fabrication of back contact heterojunction solar cells by plasma ion implantation

    Science.gov (United States)

    Koyama, Koichi; Yamaguchi, Noboru; Hironiwa, Daisuke; Suzuki, Hideo; Ohdaira, Keisuke; Matsumura, Hideki

    2017-08-01

    A back-contact amorphous-silicon (a-Si)/crystalline silicon (c-Si) heterojunction is one of the most promising structures for high-efficiency solar cells. However, the patterning of back-contact electrodes causes the increase in fabrication cost. Thus, to simplify the fabrication of back-contact cells, we attempted to form p-a-Si/i-a-Si/c-Si and n-a-Si/i-a-Si/c-Si regions by the conversion of a patterned area of p-a-Si/i-a-Si/c-Si to n-a-Si/i-a-Si/c-Si by plasma ion implantation. It is revealed that the conversion of the conduction type can be realized by the plasma ion implantation of phosphorus (P) atoms into p-a-Si/i-a-Si/c-Si regions, and also that the quality of passivation can be kept sufficiently high, the same as that before ion implantation, when the samples are annealed at around 250 °C and also when the energy and dose of ion implantation are appropriately chosen for fitting to a-Si layer thickness and bulk c-Si carrier density.

  7. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  8. Pulsed Q-switched ruby laser annealing of Bi implanted Si crystals investigated by channeling

    International Nuclear Information System (INIS)

    Deutch, B.I.; Shih-Chang, T.; Shang-Hwai, L.; Zu-Yao, Z.; Jia-Zeng, H.; Ren-Zhi, D.; Te-Chang, C.; De-Xin, C.

    1979-01-01

    Channeling was used to investigate pulsed, Q switched ruby-laser annealed and thermally annealed Si single crystals implanted with 40-keV Bi ions to a dose of 10 15 atoms/cm 2 . After thermal annealing, residual damage decreased with increasing annealing temperature to a minimum value of 30% at 900 0 C. The Bi atoms in substitutional sites reached a maximum value (50%) after annealing at 750 0 C but decreased with increasing annealing temperature. Out diffusion of Bi atoms occurred at temperatures higher than 625 0 C. For comparison, the residual damage disappeared almost completely after pulsed-laser annealing (30 ns pulse width, Energy, E = 3J/cm 2 ). The concentration of Bi in Si exceeded its solid solubility by an order of magnitude; 95% of Bi atoms were annealed to substitutional sites. Laser pulses of different energies were used to investigate the efficiency of annealing. (author)

  9. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  10. Entrance channel excitations in the 28Si + 28Si reaction

    International Nuclear Information System (INIS)

    Decowski, P.; Gierlik, E.; Box, P.F.; Kamermans, R.; Nieuwenhuizen, G.J. van; Meijer, R.J.; Griffioen, K.A.; Wilschut, H.W.; Giorni, A.; Morand, C.; Demeyer, A.; Guinet, D.

    1991-01-01

    Velocity spectra of heavy ions produced in the 28 Si + 28 Si reaction at bombarding energies of 19.7 and 30 MeV/nucleon were measured and interpreted within the Q-optimum model extended by the inclusion of particle evaporation from excited fragments. Regions of forward angle spectra corresponding to the mutual excitation of the reaction partners with net mass transfer zero projected onto the Q-value variable show an enhancement at Q-values of -60 - -80 MeV (excitation energies of the reaction partners equal to 30 - 40 MeV). This energy range coincides with the region of 2ℎω - 3ℎω excitations characteristic for giant osciallations. This selective excitation, which occurs at a very early stage of the reaction (the cross section is the largest at very forward angles), provides an important doorway to other dissipative processes

  11. Effect of oxygen on the processes of ion beam synthesis of buried SiC layers in silicon

    International Nuclear Information System (INIS)

    Artamonov, V.V.; Valakh, M.Ya.; Klyuj, N.I.; Mel'nik, V.P.; Romanyuk, A.B.; Romanyuk, B.N.; Yukhimchuk, V.A.

    1998-01-01

    The properties of Si-structures with buried silicon carbide (SiC) layers created by high dose carbon implantation into Cz-Si or Fz-Si wafers followed by high-temperature annealing were studied by Raman and infrared spectroscopy. Effect of additional oxygen implantation on the peculiarities of SiC layer formation was also studied. It was shown that under the same implantation and post-implantation annealing conditions the buried SiC layers are more effectively formed in Cz-Si or in Si subjected to additional oxygen implantation. Thus, oxygen in silicon promotes the SiC layer formation due to SiO x precipitate creation and accommodation of the crystal volume in the region where SiC phase is formed

  12. Structural and optical characterization of Mn doped ZnS nanocrystals elaborated by ion implantation in SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C. E-mail: bonafos@el.ub.es; Garrido, B.; Lopez, M.; Romano-Rodriguez, A.; Gonzalez-Varona, O.; Perez-Rodriguez, A.; Morante, J.R.; Rodriguez, R

    1999-01-01

    Mn doped ZnS nanocrystals have been formed in SiO{sub 2} layers by ion implantation and thermal annealing. The structural analysis of the processed samples has been performed mainly by Secondary Ion Mass Spectroscopy (SIMS) and Transmission Electron Microscopy (TEM). The data show the precipitation of ZnS nanocrystals self-organized into two layers parallel to the free surface. First results of the optical analysis of samples co-implanted with Mn show the presence of a yellow-green photoluminescence depending on the Mn concentration and the size of the nanocrystals, suggesting the doping with Mn of some precipitates.

  13. Shallow doping of gallium arsenide by recoil implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Souza, J.P. de; Rutz, R.F.; Cardone, F.; Norcott, M.H.

    1989-01-01

    Si atoms were recoil-implanted into GaAs by bombarding neutral (As + ) or dopant (Si + ) ions through a thin Si cap. The bombarded samples were subsequently rapid thermally or furnace annealed at 815-1000 degree C in Ar or arsine ambient. The presence of the recoiled Si in GaAs and resulting n + -doping was confirmed by secondary ion mass spectrometry and Hall measurements. It was found that sheet resistance of 19 cm 3 and the annealing temperature was > 850 degree C. The present electrical data show that the recoil implant method is a viable alternative to direct shallow implant for n + doping of GaAs. 7 refs., 3 figs., 1 tab

  14. Giant quadrupole resonance in 24Mg, 27Al, and 28Si

    International Nuclear Information System (INIS)

    Youngblood, D.H.; Rozsa, C.M.; Moss, J.M.; Brown, D.R.; Bronson, J.D.

    1977-01-01

    The giant-resonance region of 24 Mg, 27 Al, and 28 Si was studied by inelastic scattering of 126-MeV α particles. In contrast to results at 96 MeV, considerable clustering of E2 strength was observed for 27 Al at E/sub x/ approx. 20.1 MeV with GAMMA approx. 7.6 MeV exhausting about 35% of the E2 energy weighted sum rule. E2 strength was also located in 24 Mg in two clusters of states at E-bar/sub x/ approx. 18.2, 24.4 MeV; however, contributions from other multipoles cannot be neglected. In 28 Si a multipeaked group was observed at E/sub x/ approx. 19.4 MeV with GAMMA approx. 4 MeV but no L assignment was made. The energy dependence of the cross section for the giant quadrupole resonance was found to be consistent with distorted-wave Born approximation predictions

  15. Perspectives of the Si3N4-TiN ceramic composite as a biomaterial and manufacturing of complex-shaped implantable devices by electrical discharge machining (EDM).

    Science.gov (United States)

    Bucciotti, Francesco; Mazzocchi, Mauro; Bellosi, Alida

    2010-01-01

    In this work we investigated the suitability of electroconductive silicon nitride/titanium nitride composite for biomedical implantable devices with particular attention on the processing route that allows the net-shaping of complex components by electrical discharge machining (EDM). The composite, constituted mainly of a beta-Si3N4, dispersed TiN grains and a glassy grain boundary phase, exhibited a low density and high hardness, strength and toughness. Bulk, surface characteristics and properties of the Si3N4-TiN composite were analyzed. After the EDM process, the microstructure of the machined surface was examined. The obtained results showed that the Si3N4-TiN ceramic composite together with the EDM manufacturing process might potentially play a key role in implantable load-bearing prosthesis applications.

  16. Implant damage and redistribution of indium in indium-implanted thin silicon-on-insulator

    International Nuclear Information System (INIS)

    Chen Peng; An Zhenghua; Zhu Ming; Fu, Ricky K.Y.; Chu, Paul K.; Montgomery, Neil; Biswas, Sukanta

    2004-01-01

    The indium implant damage and diffusion behavior in thin silicon-on-insulator (SOI) with a 200 nm top silicon layer were studied for different implantation energies and doses. Rutherford backscattering spectrometry in the channeling mode (RBS/C) was used to characterize the implant damage before and after annealing. Secondary ion mass spectrometry (SIMS) was used to study the indium transient enhanced diffusion (TED) behavior in the top Si layer of the SOI structure. An anomalous redistribution of indium after relatively high energy (200 keV) and dose (1 x 10 14 cm -2 ) implantation was observed in both bulk Si and SOI substrates. However, there exist differences in these two substrates that are attributable to the more predominant out-diffusion of indium as well as the influence of the buried oxide layer in the SOI structure

  17. Formation of SIMOX–SOI structure by high-temperature oxygen implantation

    International Nuclear Information System (INIS)

    Hoshino, Yasushi; Kamikawa, Tomohiro; Nakata, Jyoji

    2015-01-01

    We have performed oxygen ion implantation in silicon at very high substrate-temperatures (⩽1000 °C) for the purpose of forming silicon-on-insulator (SOI) structure. We have expected that the high-temperature implantation can effectively avoids ion-beam-induced damages in the SOI layer and simultaneously stabilizes the buried oxide (BOX) and SOI-Si layer. Such a high-temperature implantation makes it possible to reduce the post-implantation annealing temperature. In the present study, oxygen ions with 180 keV are incident on Si(0 0 1) substrates at various temperatures from room temperature (RT) up to 1000 °C. The ion-fluencies are in order of 10"1"7–10"1"8 ions/cm"2. Samples have been analyzed by atomic force microscope, Rutherford backscattering, and micro-Raman spectroscopy. It is found in the AFM analysis that the surface roughness of the samples implanted at 500 °C or below are significantly small with mean roughness of less than 1 nm, and gradually increased for the 800 °C-implanted sample. On the other hand, a lot of dents are observed for the 1000 °C-implanted sample. RBS analysis has revealed that stoichiometric SOI-Si and BOX-SiO_2 layers are formed by oxygen implantation at the substrate temperatures of RT, 500, and 800 °C. However, SiO_2-BOX layer has been desorbed during the implantation. Raman spectra shows that the ion-beam-induced damages are fairly suppressed by such a high-temperatures implantation.

  18. Influence of Hot Implantation on Residual Radiation Damage in Silicon Carbide

    International Nuclear Information System (INIS)

    Rawski, M.; Zuk, J.; Kulik, M.; Drozdziel, A.; Pyszniak, K.; Turek, M.; Lin, L.; Prucnal, S.

    2011-01-01

    Remarkable thermomechanical and electrical properties of silicon carbide (SiC) make this material very attractive for high-temperature, high-power, and high-frequency applications. Because of very low values of diffusion coefficient of most impurities in SiC, ion implantation is the best method to selectively introduce dopants over well-defined depths in SiC. Aluminium is commonly used for creating p-type regions in SiC. However, post-implantation radiation damage, which strongly deteriorates required electric properties of the implanted layers, is difficult to anneal even at high temperatures because of remaining residual damage. Therefore implantation at elevated target temperatures (hot implantation) is nowadays an accepted method to decrease the level of the residual radiation damage by avoiding ion beam-induced amorphization. The main objective of this study is to compare the results of the Rutherford backscattering spectroscopy with channeling and micro-Raman spectroscopy investigations of room temperature and 500 o C Al + ion implantation-induced damage in 6H-SiC and its removal by high temperature (up to 1600 o C) thermal annealing. (author)

  19. Nuclear radiation detector based on ion implanted p-n junction in 4H-SiC

    International Nuclear Information System (INIS)

    Vervisch, V.; Issa, F.; Ottaviani, L.; Lazar, M.; Kuznetsov, A.; Szalkai, D.; Klix, A.; Lyoussi, A.; Vermeeren, L.; Hallen, A.

    2013-06-01

    In this paper, we propose a new device detector based on ion implanted p-n junction in 4H-SiC for nuclear instrumentation. We showed the interest to use 10 Boron as a Neutron Converter Layer in order to detect thermal neutrons. We present the main results obtained during irradiation tests performed in the Belgian Reactor 1. We show the capability of our detector by means of first results of the detector response at different reverse voltage biases and at different reactor power (authors)

  20. Excitation function for the population of the 4.51 MeV state of 27Al inelastic proton scattering. Evidence for 6- strength?

    International Nuclear Information System (INIS)

    Spicer, B.M.; Koutsoliotas, S.

    1995-01-01

    The excitation function for emission of 2.30 MeV gamma rays from the 4.51 MeV state of 27 Al formed in inelastic proton scattering has been measured for proton energies from 5.6 to 7.3 MeV. A resonance previously seen in both inelastic electron and proton scattering from 28 Si at 17.35 MeV has been observed as a resonance in the excitation function, as well as seven other resonances, all of which are narrow (i.e., less than 100 keV wide). It is suggested that these may represent fragments of 6 - strength in 28 Si. 6 refs., 1 tab., 2 figs

  1. Fission neutron irradiation of copper containing implanted and transmutation produced helium

    DEFF Research Database (Denmark)

    Singh, B.N.; Horsewell, A.; Eldrup, Morten Mostgaard

    1992-01-01

    High purity copper containing approximately 100 appm helium was produced in two ways. In the first, helium was implanted by cyclotron at Harwell at 323 K. In the second method, helium was produced as a transmutation product in 800 MeV proton irradiation at Los Alamos, also at 323 K. The distribut......High purity copper containing approximately 100 appm helium was produced in two ways. In the first, helium was implanted by cyclotron at Harwell at 323 K. In the second method, helium was produced as a transmutation product in 800 MeV proton irradiation at Los Alamos, also at 323 K...... as well as the effect of the presence of other transmutation produced impurity atoms in the 800 MeV proton irradiated copper will be discussed....

  2. Ion implantation by isotope separator on line (ISOL) of indium isotopes

    International Nuclear Information System (INIS)

    Hanada, Reimon; Murayama, Mitsuhiro; Saito, Shigeru; Nagata, Shinji; Yamaguchi, Sadaei; Shinozuka, Tsutomu; Fujioka, Manabu.

    1994-01-01

    111 In has been known as the nuclide which is most suitable to perturbed angular correlation (PAC) process, as the life of its intermediate state is long , the half life is proper in view of the measurement and radiation control, and it is easily available as its chloride is on the market. In the PAC, it is necessary to introduce this probe nuclei into samples. The most simple method is diffusion process, but in the materials, of which the solid-solubility of In is low like Fe and Si, the introduction is very difficult, therefore, it is necessary to do ion implantation. The development of this process was tried, and the results are reported. For the experiment, the ISOL in the cyclotron RI center, Tohoku University, was used as the accelerator for the implantation. The experimental method is explained. As the results, in the case of nonradioactive In implantation, the Ruthereford back scattering (RBS) spectra of the Si in which In was implanted, the spectra when the channeling condition was satisfied, and the results of measuring the angle dependence of channeling for In and In-implanted Si are shown. In the case of the ion implantation of radioactive 111 In, the energy spectra of In-implanted Si, the PAC spectra of In-implanted Si samples, and the PAC spectra for pure iron and Fe-Si alloy are shown. The further improvement of the ion sources is necessary. (K.I.)

  3. Using 3.05 MeV resonance for determination of oxygen impurities

    International Nuclear Information System (INIS)

    Burkova, I.E.; Polyanskij, V.N.; Yatis, A.A.

    1983-01-01

    The method of determining oxygen impurities behind thin films using the isolated resonance in the 16 O(α, α) 16 O reaction at E=3.048 MeV with the width GITA approximately 20 keV, is considered. Cross section in resonance is σsUb(R) = 0.95 b apd it increases cross section of Rutherford scattering by the factor of 25. The conclusion is made on the possibility of using 3.048 MeV resonance when investigating Me-Si film structures

  4. Co-Au core-shell nanocrystals formed by sequential ion implantation into SiO2

    International Nuclear Information System (INIS)

    Kluth, P.; Hoy, B.; Johannessen, B.; Dunn, S. G.; Foran, G. J.; Ridgway, M. C.

    2006-01-01

    Co-Au core-shell nanocrystals (NCs) were formed by sequential ion implantation of Au and Co into thin SiO 2 . The NCs were investigated by means of transmission electron microscopy and extended x-ray absorption fine structure spectroscopy. The latter reveals a bond length expansion in the Co core compared to monatomic Co NCs. Concomitantly, a significant contraction of the bond length and a significant reduction of the effective Au-Au coordination number were observed in the Au shells. Increased Debye-Waller factors indicate significant strain in the NCs. These experimental results verify recent theoretical predictions

  5. Rapid capless annealing of28Si,64Zn, and9Be implants in GaAs

    Science.gov (United States)

    Liu, S. G.; Narayan, S. Y.

    1984-11-01

    We report the use of tungsten-halogen lamps for rapid (-10 s) thermal annealing of ion-implanted (100) GaAs under AsH3/Ar and N2 atmospheres. Annealing under flowing AsH3/Ar was carried out without wafer encapsulation. Rapid capless annealing activated implants in GaAs with good mobility and surface morphology. Typical mobilities were 3700 4500 cm2/V-s for n-layers with about 2×1017cm-3 carrier concentration and 50 150 cm2/v-s for 0.1 5xl019 cm-3 doped p-layers. Rapid thermal annealing was performed in a vertical quartz tube where different gases (N2, AsH3/H2, AsH3/Ar) can be introduced. Samples were encapsulated with SiO when N2 was used. Tungsten-halogen lamps of 600 or 1000 W were utilized for annealing GaAs wafers ranging from 1 to 10 cm2 in area and 0.025 to 0.040 cm in thickness. The transient temperature at the wafer position was monitored using a fine thermocouple. We carried out experiments for energies of 30 to 200 keV, doses of 2×1012 to 1×1015 cm-2, and peak temperatures ranging from 600 to 1000‡C. Most results quoted are in the 700 to 870‡C temperature range. Data on implant conditions, optimum anneal conditions, electrical characteristics, carrier concentration profiles, and atomic profiles of the implanted layers are described.

  6. Influence of annealing temperature on erbium ion electroluminescence in Si : (Er,O) diodes with (111) substrate orientation

    CERN Document Server

    Sobolev, N A; Nikolaev, Y A

    2001-01-01

    A study has been made of the influence of temperature of the second annealing that promotes formation of optically and electrically active centers o the erbium ion electroluminescence at lambda approx = 1.54 mu m wavelength in (111) Si : (Er,O) diodes. Doping has been performed by implantation of erbium and oxygen ions at 2.0, 1.6 MeV and 0.28, 0.22 MeV energies and 3 x 10 sup 1 sup 4 cm sup - sup 2 and 3 x 10 sup 1 sup 5 cm sup - sup 2 doses, respectively. The room temperature electroluminescence intensity under the breakdown regime increases with increasing annealing temperature from 700 to 950 deg C. After annealing in the range of 975-1100 deg C, erbium electroluminescence under the breakdown regime is not observed due to appearance of microplasmas. The injection electroluminescence intensity at 80 K decreases with increasing temperature from 700 to 1100 deg C

  7. $^{31}$Si Self-Diffusion in Si-Ge Alloys and Si-(B-)C-N Ceramics and Diffusion Studies for Al and Si Beam Developments

    CERN Multimedia

    Nylandsted larsen, A; Voss, T L; Strohm, A

    2002-01-01

    An invaluable method for studying diffusion in solids is the radiotracer technique. However, its applicability had been restricted to radiotracer atoms with half-lives $t_{1/2}$ of about 1~d or longer. Within the framework of IS372 a facility was developed in which short-lived radiotracer atoms ( 5min $\\scriptstyle{\\lesssim}$ $t_{1/2}\\scriptstyle{\\lesssim}$1 d ) can be used. For the implantation of the short-lived tracers the facility is flanged to the ISOLDE beamline, and all post-implantation steps required in the radiotracer technique are done in situ.\\\\ After successful application of this novel technique in diffusion studies of $^{11}$C ($t_{1/2}$ = 20.3 min), this experiment aims at performing self-diffusion studies of $^{31}$Si ($t_{1/2}$ = 2.6~h) in Si--Ge alloys and in amorphous Si--(B--)C--N ceramics.\\\\ Our motivation for measuring diffusion in Si--Ge alloys is their recent technological renaissance as well as the purpose to test the prediction that in these alloys the self-diffusion mechanism chang...

  8. Effects of 6 MeV electron irradiation on the electrical properties and device parameters of Al/Al2O3/TiO2/n-Si MOS capacitors

    International Nuclear Information System (INIS)

    Laha, P.; Banerjee, I.; Barhai, P.K.; Das, A.K.; Bhoraskar, V.N.; Mahapatra, S.K.

    2012-01-01

    Highlights: ► The electron irradiation effects make variation in the device parameters. ► The device parameters changes due to percentage of defects and charge trapping. ► Leakage current of Al/Al 2 O 3 /TiO 2 /n-Si changes due to interface dangling bonds. ► The leakage current mechanism of MOS structures is due to Poole–Frenkel effect. - Abstract: The effects of 6 MeV electron irradiation on the electrical properties and device parameter characteristics of Al/Al 2 O 3 /TiO 2 /n-Si metal–oxide–semiconductor capacitors have been studied. Twelve Al/Al 2 O 3 /TiO 2 /n-Si MOS capacitors were fabricated using r.f. magnetron sputtering and divided into four groups. The first group was not irradiated and treated as virgin. The rest were irradiated with 6 MeV electrons at doses 10, 20, and 30 kGy, maintaining the dose rate at ∼1 kGy/min. Variations in crystallinity of the virgin and irradiated capacitors were studied using grazing incident X-ray diffraction. The thickness and in-depth elemental distributions of individual layers were determined using secondary ion mass apectrometry. Capacitance–voltage, conductance–voltage and leakage current–voltage characteristics of the virgin and irradiated samples were studied. The device parameters (flat band voltage, surface charge density and interface trap density of the virgin and irradiated structures) were determined. The electrical properties of the capacitors were investigated and the Poole–Frenkel coefficient of the capacitors was determined from leakage current measurements. The leakage current mechanism has been explained.

  9. Hyperfine electric parameters calculation in Si samples implanted with {sup 57}Mn→{sup 57}Fe

    Energy Technology Data Exchange (ETDEWEB)

    Abreu, Y., E-mail: yabreu@ceaden.edu.cu [Centro de Aplicaciones Tecnológicas y Desarrollo Nuclear (CEADEN), Calle 30 No. 502 e/5ta y 7ma Ave., 11300 Miramar, Playa, La Habana (Cuba); Cruz, C.M.; Piñera, I.; Leyva, A.; Cabal, A.E. [Centro de Aplicaciones Tecnológicas y Desarrollo Nuclear (CEADEN), Calle 30 No. 502 e/5ta y 7ma Ave., 11300 Miramar, Playa, La Habana (Cuba); Van Espen, P. [Departement Chemie, Universiteit Antwerpen, Middelheimcampus, G.V.130, Groenenborgerlaan 171, 2020 Antwerpen (Belgium); Van Remortel, N. [Departement Fysica, Universiteit Antwerpen, Middelheimcampus, G.U.236, Groenenborgerlaan 171, 2020 Antwerpen (Belgium)

    2014-07-15

    Nowadays the electronic structure calculations allow the study of complex systems determining the hyperfine parameters measured at a probe atom, including the presence of crystalline defects. The hyperfine electric parameters have been measured by Mössbauer spectroscopy in silicon materials implanted with {sup 57}Mn→{sup 57}Fe ions, observing four main contributions to the spectra. Nevertheless, some ambiguities still remain in the {sup 57}Fe Mössbauer spectra interpretation in this case, regarding the damage configurations and its evolution with annealing. In the present work several implantation environments are evaluated and the {sup 57}Fe hyperfine parameters are calculated. The observed correlation among the studied local environments and the experimental observations is presented, and a tentative microscopic description of the behavior and thermal evolution of the characteristic defects local environments of the probe atoms concerning the location of vacancies and interstitial Si in the neighborhood of {sup 57}Fe ions in substitutional and interstitial sites is proposed.

  10. Two concepts of introducing thin-film superconductivity in Ge and Si by use of Ga-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Skrotzki, Richard [Dresden High Magnetic Field Laboratory (HLD) and Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany); Department of Chemistry and Food Chemistry, TU Dresden (Germany); Herrmannsdoerfer, Thomas; Fiedler, Jan; Heera, Viton; Voelskow, Matthias; Muecklich, Arndt; Schmidt, Bernd; Skorupa, Wolfgang; Helm, Manfred; Wosnitza, Joachim [Dresden High Magnetic Field Laboratory (HLD) and Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany)

    2012-07-01

    We report on two unconventional routes of embedding superconducting nanolayers in a semiconducting environment. Ion implantation and subsequent annealing have been used for preparation of superconducting thin-films of Ga-doped germanium (Ge:Ga) as well as 10 nm thin amorphous Ga-rich layers in silicon (Si:Ga). Structural investigations by means of XTEM, EDX, RBS/C, and SIMS have been performed in addition to low-temperature electrical transport and magnetization measurements. Regarding Ge:Ga, we unravel the evolution of T{sub c} with charge-carrier concentration while for Si:Ga recently implemented microstructuring renders critical-current densities or more than 50 kA/cm{sup 2}. Combined with a superconducting onset at around 10 K, this calls for on-chip application in novel heterostructured devices.

  11. Deep ultra violet and visible Raman spectroscopy studies of ion implanted 6H-SiC: Recrytallisation behaviour and thermal decomposition/thermal etching of the near surface region

    Energy Technology Data Exchange (ETDEWEB)

    Kuhudzai, R.J., E-mail: rj.kuhudzai@tuks.co.za [Physics Department, University of Pretoria, Pretoria (South Africa); Malherbe, J.B.; Berg, N.G. van der; Hlatshwayo, T.T.; Odutemowo, O.; Prinsloo, L.C. [Physics Department, University of Pretoria, Pretoria (South Africa); Buys, A.V. [Laboratory for Microscopy and Microanalysis, University of Pretoria (South Africa); Erasmus, R. [School of Physics, University of the Witwatersrand, Johannesburg (South Africa); Wendler, E. [Institut Für Festköperphysik, Friedrich-Schiller-Universität Jena, Jena (Germany)

    2015-12-15

    The recystallisation behaviour and thermal decomposition of the near surface amorphised region of 6H-SiC have been investigated by Raman spectroscopy. 360 keV ions of iodine and silver were implanted at room temperature into wafers of 6H-SiC resulting in the amorphisation of the near surface region. Vacuum annealing of the samples was performed at 1200 °C for 5 h and then sequentially from 1200 to 1600 °C in steps of 100 °C for 30 h at each annealing temperature. Raman spectroscopy was performed using two laser wavelength excitation regimes, the 514 nm laser (visible region) and the 244 nm laser (deep ultraviolet region, DUV). Measurements in the visible region for samples annealed at 1200 °C for 5 h showed that the characteristic 6H-SiC peaks, namely, the Transverse Optical (TO) and Longitudinal Optical (LO) are similar to the virgin samples, albeit with lower intensity due to some retained defects upon recystallisation of the SiC surface region. The similarities between the virgin spectra and the annealed sample were due to the deep penetration of the 514 nm laser into 6H-SiC resulting in the signal from the bulk undamaged 6H-SiC contributing to the overall spectra. However, DUV laser excitation, which only probes the near surface region, shows that after annealing the peaks are broader and asymmetrical compared to the virgin samples. DUV Raman spectra of samples annealed at 1600 °C indicate that SiC has completely decomposed and the top surface layer is now covered by a carbon layer. However the deeper penetrating laser in the visible region showed that the extent of decomposition at 1600 °C was greater for the silver implanted samples than for the iodine implanted samples.

  12. Electrical and crystallographic evaluation of SOS implanted with silicon and/or oxygen

    International Nuclear Information System (INIS)

    Yamamoto, Y.; Kobayashi, H.; Takahashi, T.; Inada, T.

    1985-01-01

    RBS and Hall measurements have revealed that the formation of an amorphous laer in SOS near in the Si/sapphire interface by oxygen implantation at 130 K followed by regrowth by thermal annealing above 800 0 C for 20 min in N 2 is effective in improving crystalline quality and Hall mobility as well as in increasing activation of implanted P. The temperature dependence of the mobility was measured. The mobility increased by 80% and 40% at 77 K and RT, respectively, after improvement in crystalline quality. The costly low temperature implantation of O can be replaced with dual implantation of Si and O; formation of an amorphous layer by Si implantation and Al gettering by oxygen implantation. (orig.)

  13. Polarized micro-Raman scattering characterization of Mg2Si nanolayers in (001) Si matrix

    International Nuclear Information System (INIS)

    Zlateva, G; Atanassov, A; Baleva, M; Nikolova, L; Abrashev, M V

    2007-01-01

    An orientational growth of the Mg 2 Si lattice relative to the Si lattice is considered assuming minimum mismatch of their lattice parameters. The Raman scattering cross-sections are calculated for the four possible orientations of the Mg 2 Si lattice positioned in this way. The integral intensity ratios for the F 2g mode of Mg 2 Si in different polarization configurations, obtained from the experimental spectra, are compared with the calculated ratios. It is found that the Mg 2 Si nanolayer's morphology is sensitive to the implantation energy, which determines both the peak Mg concentration in the initial implantation profile and its position in the sample depth. At a peak concentration of the order of the stoichiometric concentration, the layers are highly oriented. When the peak concentration is higher and the peak is placed closer to the surface, the layers are polycrystalline

  14. Electrical properties of polymer modified by metal ion implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Huixing; Zhang Xiaoji; Deng Zhiwei; Zhou Gu

    2000-01-01

    Polyethylene terephthalate (PET) has been modified by Ag, Cr, Cu and Si ion implantation with a dose range from 1x10 16 to 2x10 17 ions cm -2 using a metal vapor vacuum arc (MEVVA) source. The electrical properties of PET have been changed after metal ion implantation. The resistivity of implanted PET decreased obviously with an increase of ion dose. When metal ion dose of 2x10 17 cm -2 was selected, the resistivity of PET could be less than 10 Ω cm, but when Si ions are implanted, the resistivity of PET would be up to several hundred Ω cm. The results show that the conductive behavior of a metal ion implanted sample is obviously different from Si implantation one. The changes of the structure and composition have been observed with transmission electron microscope (TEM) and X-ray diffraction (XRD). The surface structure is varying after ion implantation and it is believed that the change would cause the improvement of the conductive properties. The mechanism of electrical conduction will be discussed

  15. MeV-Si ion irradiation effects on the electrical properties of HfO2 thin films on Si

    International Nuclear Information System (INIS)

    Yu Xiangkun; Shao Lin; Chen, Q.Y.; Trombetta, L.; Wang Chunyu; Dharmaiahgari, Bhanu; Wang Xuemei; Chen Hui; Ma, K.B.; Liu Jiarui; Chu, W.-K.

    2006-01-01

    We studied the irradiation effect of 2-MeV Si ions on HfO 2 films deposited on Si substrates. HfO 2 films ∼11 nm thick were deposited onto Si substrates by chemical vapor deposition. The samples were then irradiated by 2-MeV Si ions at a fluence of 1 x 10 14 cm -2 at room temperature, followed by rapid thermal annealing at 1000 deg. C for 10 s. After annealing, a layer of aluminum was deposited on the samples as the gate electrode to form metal-oxide-semiconductor (MOS) capacitor structures. Rutherford backscattering spectrometry and electrical measurement of both capacitance and current as a function of voltage were used to characterize the samples before and after annealing. Non-insulating properties of the HfO 2 films deteriorated immediately after the ion irradiation, but rapid thermal annealing effectively repaired the irradiation damages, as reflected in improved capacitance versus voltage characteristics and significant reduction of leakage current in the MOS capacitors

  16. A study of channeling patterns from strained Si1-xGex/Si bilayers close to (011) axes

    NARCIS (Netherlands)

    Breese, MBH; Smulders, PJM

    This paper characterises the angular intensity distribution of MeV protons transmitted through strained Si1-xGex/Si bilayers close to the axis. The ring-like intensity distribution produced by the front layer axis when it is aligned close to the beam direction is projected either towards or away

  17. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  18. Sub-barrier fusion of Si+Si systems

    Science.gov (United States)

    Colucci, G.; Montagnoli, G.; Stefanini, A. M.; Bourgin, D.; Čolović, P.; Corradi, L.; Courtin, S.; Faggian, M.; Fioretto, E.; Galtarossa, F.; Goasduff, A.; Haas, F.; Mazzocco, M.; Scarlassara, F.; Stefanini, C.; Strano, E.; Urbani, M.; Szilner, S.; Zhang, G. L.

    2017-11-01

    The near- and sub-barrier fusion excitation function has been measured for the system 30Si+30Si at the Laboratori Nazionali di Legnaro of INFN, using the 30Si beam of the XTU Tandem accelerator in the energy range 47 - 90 MeV. A set-up based on a beam electrostatic deflector was used for detecting fusion evaporation residues. The measured cross sections have been compared to previous data on 28Si+28Si and Coupled Channels (CC) calculations have been performed using M3Y+repulsion and Woods-Saxon potentials, where the lowlying 2+ and 3- excitations have been included. A weak imaginary potential was found to be necessary to reproduce the low energy 28Si+28Si data. This probably simulates the effect of the oblate deformation of this nucleus. On the contrary, 30Si is a spherical nucleus, 30Si+30Si is nicely fit by CC calculations and no imaginary potential is needed. For this system, no maximum shows up for the astrophysical S-factor so that we have no evidence for hindrance, as confirmed by the comparison with CC calculations. The logarithmic derivative of the two symmetric systems highlights their different low energy trend. A difference can also be noted in the two barrier distributions, where the high-energy peak present in 28Si+28Si is not observed for 30Si+30Si, probably due to the weaker couplings in last case.

  19. Sub-barrier fusion of Si+Si systems

    Directory of Open Access Journals (Sweden)

    Colucci G.

    2017-01-01

    Full Text Available The near- and sub-barrier fusion excitation function has been measured for the system 30Si+30Si at the Laboratori Nazionali di Legnaro of INFN, using the 30Si beam of the XTU Tandem accelerator in the energy range 47 - 90 MeV. A set-up based on a beam electrostatic deflector was used for detecting fusion evaporation residues. The measured cross sections have been compared to previous data on 28Si+28Si and Coupled Channels (CC calculations have been performed using M3Y+repulsion and Woods-Saxon potentials, where the lowlying 2+ and 3− excitations have been included. A weak imaginary potential was found to be necessary to reproduce the low energy 28Si+28Si data. This probably simulates the effect of the oblate deformation of this nucleus. On the contrary, 30Si is a spherical nucleus, 30Si+30Si is nicely fit by CC calculations and no imaginary potential is needed. For this system, no maximum shows up for the astrophysical S-factor so that we have no evidence for hindrance, as confirmed by the comparison with CC calculations. The logarithmic derivative of the two symmetric systems highlights their different low energy trend. A difference can also be noted in the two barrier distributions, where the high-energy peak present in 28Si+28Si is not observed for 30Si+30Si, probably due to the weaker couplings in last case.

  20. Search for aligned structure of /sup 12/C-. cap alpha. -/sup 12/C type at high excitation energy in /sup 28/Si. [46 MeV, J,. pi. , resonance, three-body problem

    Energy Technology Data Exchange (ETDEWEB)

    Burnereau, N

    1975-01-01

    The /sup 16/O+/sup 12/C..-->../sup 12/C+..cap alpha..+/sup 12/C reaction is studied mainly at 46MeV (at this energy a state of /sup 28/Si is presumably formed with a spin value of 14/sup +/; resonance of 19.7MeV c.m.). The motivation is to detect an ..cap alpha.. particle with a negligible energy in the c.m. system. This is the signature of the preformation of three aligned clusters in which the average location of the ..cap alpha.. particle is in between the two /sup 12/C's at the center of symmetry of the system. Such a detection is performed by detecting two /sup 12/C's in coincidence at specific angles. The data are understood by three-body calculations with a coupling of relative angular momenta governed by an unique J value. Experimentally, an ..cap alpha.. energy of 200keV is measured with good statistics, supporting the idea of aligned clusters as /sup 28/Si intrinsic shape, related to some highly excited states.

  1. Nanocrystalline SiC and Ti3SiC2 Alloys for Reactor Materials: Diffusion of Fission Product Surrogates

    Energy Technology Data Exchange (ETDEWEB)

    Henager, Charles H. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Jiang, Weilin [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2014-11-01

    MAX phases, such as titanium silicon carbide (Ti3SiC2), have a unique combination of both metallic and ceramic properties, which make them attractive for potential nuclear applications. Ti3SiC2 has been suggested in the literature as a possible fuel cladding material. Prior to the application, it is necessary to investigate diffusivities of fission products in the ternary compound at elevated temperatures. This study attempts to obtain relevant data and make an initial assessment for Ti3SiC2. Ion implantation was used to introduce fission product surrogates (Ag and Cs) and a noble metal (Au) in Ti3SiC2, SiC, and a dual-phase nanocomposite of Ti3SiC2/SiC synthesized at PNNL. Thermal annealing and in-situ Rutherford backscattering spectrometry (RBS) were employed to study the diffusivity of the various implanted species in the materials. In-situ RBS study of Ti3SiC2 implanted with Au ions at various temperatures was also performed. The experimental results indicate that the implanted Ag in SiC is immobile up to the highest temperature (1273 K) applied in this study; in contrast, significant out-diffusion of both Ag and Au in MAX phase Ti3SiC2 occurs during ion implantation at 873 K. Cs in Ti3SiC2 is found to diffuse during post-irradiation annealing at 973 K, and noticeable Cs release from the sample is observed. This study may suggest caution in using Ti3SiC2 as a fuel cladding material for advanced nuclear reactors operating at very high temperatures. Further studies of the related materials are recommended.

  2. Voltage tunable two-band MIR detection based on Si/SiGe quantum cascade injector structures

    International Nuclear Information System (INIS)

    Grydlik, M.; Rauter, P.; Meduna, M.; Fromherz, T.; Bauer, G.; Falub, C.; Dehlinger, G.; Sigg, H.; Gruetzmacher, D.

    2004-01-01

    We report the results of photocurrent spectroscopy in the mid-infrared (MIR) spectral region performed on p-type Si/SiGe cascade structures. The samples were grown by MBE and consist of a series of five SiGe quantum wells with ground states that can be coupled through thin Si barriers by aligning them in energy with an externally applied electric field E bi . Quantum wells and barriers are Boron doped to a level of 2.5 10 17 cm -3 . Our samples contain 10 sequences of the 5 quantum wells separated by 500 nm thick, undoped Si barriers. Vertical photocurrent spectroscopy has been performed for various electric fields applied perpendicular to the quantum wells at temperatures between 10 K and 100 K. Depending on the direction of the externally applied electric field relative to E bi , the photoresponse of our samples can be switched between two MIR detection bands with maxima at 230 meV and 400 meV. Due to the inversion asymmetry of the samples, at 0 V external voltage the samples deliver a short circuit current in the high-energy spectral band. Since the quantum cascades are formed in the valence band of the Si/SiGe structures, the quantum well transitions responsible for the observed photocurrents are allowed for radiation polarized parallel to the quantum wells. Therefore, these structures appear to be suitable for voltage tuneable MIR detection under normal incident radiation. By comparing the experimental results to model calculations, design strategies to optimize the responsivity of the Si/SiGe cascade structures are discussed. (author)

  3. Study of high energy ion implantation of boron and oxygen in silicon

    International Nuclear Information System (INIS)

    Thevenin, P.

    1991-06-01

    Three aspects of high energy (0.5-3 MeV) light ions ( 11 B + and 16 O + ) implantation in silicon are examined: (1)Spatial repartition; (2) Target damage and (3) Synthesis by oxygen implantation of a buried silicon oxide layer

  4. Sensitive detection of hydrogen in a-Si:H by coincidence measurement of elastically scattered 100 MeV /sup 3/He/sup 2 +/ ions and recoil protons

    Energy Technology Data Exchange (ETDEWEB)

    Fukada, Noboru; Imura, Takeshi; Hiraki, Akio [Osaka Univ., Suita (Japan). Faculty of Engineering; Itahashi, Takahisa; Fukuda, Tomokazu; Tanaka, Masayoshi

    1982-09-01

    We have drastically improved the sensitivity of the nuclear elastic scattering (NES) method for determining hydrogen concentrations in hydrogenated amorphous silicon (a-Si:H) films. A beam of 100 MeV /sup 3/He/sup 2 +/ ions was used in the experiment. By taking the coincidence of detection of the scattered /sup 3/He ion with that of the recoil proton, we could achieve a sensitivity of 0.1 atomic percent with a precision of about 1 percent for 1 ..mu..m films.

  5. Central moments of ion implantation distributions derived by the backward Boltzmann transport equation compared with Monte Carlo simulations

    International Nuclear Information System (INIS)

    Bowyer, M.D.J.; Ashworth, D.G.; Oven, R.

    1992-01-01

    In this paper we study solutions to the backward Boltzmann transport equation (BBTE) specialized to equations governing moments of the distribution of ions implanted into amorphous targets. A central moment integral equation set has been derived starting from the classical plane source BBTE for non-central moments. A full generator equation is provided to allow construction of equation sets of an arbitrary size, thus allowing computation of moments of arbitrary order. A BBTE solver program has been written that uses the residual correction technique proposed by Winterbon. A simple means is presented to allow direct incorporation of Biersack's two-parameter ''magic formula'' into a BBTE solver program. Results for non-central and central moment integral equation sets are compared with Monte Carlo simulations, using three different formulae for the mean free flight path between collisions. Comparisons are performed for the ions B and As, implanted into the target a-Si, over the energy range 1 keV-1 MeV. The central moment integral equation set is found to have superior convergence properties to the non-central moment equation set. For As ions implanted into a-Si, at energies below ∼ 30 keV, significant differences are observed, for third- and fourth-order moments, when using alternative versions for the mean free flight path. Third- and fourth-order moments derived using one- and two-parameter scattering mechanisms also show significant differences over the same energy range. (Author)

  6. Proton-threshold states in /sup 28/Si

    Energy Technology Data Exchange (ETDEWEB)

    Champagne, A E; Pitt, M L; Zhang, P H; Lee, Jr, L L; Levine, M J

    1986-10-27

    The /sup 27/Al(/sup 3/He, d)/sup 28/Si reaction has been used to locate candidates for resonances in the /sup 27/Al+p system residing near the proton-capture threshold in the energy region characteristic of quiescent stellar hydrogen burning. Two such states are observed at excitation energies E/sub x/=11.658 MeV (J/sup ..pi../=2/sup +/) and 11.671 MeV (J/sup ..pi../=1/sup -/). A comparison of the cross sections for the /sup 27/Al(/sup 3/He, d)/sup 28/Si and the /sup 27/Al(..cap alpha.., t)/sup 28/Si reactions implies angular-momentum transfers of l=2 and l=3, respectively, for the two states of interest. Using this result, an astrophysically significant upper limit on the thermonuclear reaction rate has been calculated for the /sup 27/Al(p, ..gamma..)/sup 28/Si reaction which is found to be too slow to affect the /sup 27/Al abundance in red giants.

  7. Electrical properties of the regrown implantation-induced amorphous layer on (1 1-bar 0 0)- and (1 1 2-bar 0)-oriented 6H-SiC

    International Nuclear Information System (INIS)

    Nakamura, Tomonori; Tanabe, Hitoshi; Hitomi, Takeshi; Satoh, Masataka

    2003-01-01

    In the (1 1-bar 0 0) and (1 1 2-bar 0)-oriented 6H-SiC, the electrical properties and activation process of the implanted phosphorus in the layer regrown from the implantation-induced amorphous layer are investigated by means of Hall effect measurement and Rutherford backscattering spectrometry. The samples are implanted by 60 keV phosphorus ions at room temperature with doses of 3 x 10 15 and 1 x 10 15 cm -2 to form implantation-induced amorphous layer and the partially disordered implant-layer, respectively. The implanted phosphorus in the implantation-induced amorphous layer can be electrically activated by annealing at 1000 deg. C. The electrical activity for the case of the implantation-induced amorphous layer (ratio of sheet carrier concentration to ion dose) is 2-3 times larger than that for the case of the partially disordered implant-layer for the annealing temperature of 1500 deg. C

  8. Optical model analysis of 3He elastic scattering from s-d shell nuclei at 25 MeV

    International Nuclear Information System (INIS)

    Vernotte, J.; Berrier-Ronsin, G.; Kalifa, J.; Tamisier, R.; Nantes Univ., 44

    1982-01-01

    Angular distributions of elastically scattered 3 He particles from 16 O, 18 O, 19 F, 23 Na, 24 Mg, 25 Mg, 26 Mg, 27 Al, 28 Si, 29 Si, 30 Si, 31 P, 35 Cl, 37 Cl, 39 K and 40 Ca nuclei were measured at 25 MeV bombarding energy. The absolute differential cross-section data were analysed in the framework of the standard optical model with either a volume or a surface imaginary part. Three families of parameters were considered. For all these families, the real potential volume integral Jsub(R) per interacting nucleon pair decreases as the mass number A increases. The family with Jsub(R) = 380 MeV x fm 3 for 40 Ca and Jsub(R) = 590 MeV x fm 3 for 16 O has been identified with the unique family obtained at higher energies, and is therefore considered as the 'physical' family. The matter and charge radii deduced from the analysis are presented. The charge radii are compared with the ones obtained from muonic X-ray transitions and electron scattering measurements. (orig.)

  9. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO2 layers

    Science.gov (United States)

    Kachurin, G. A.; Cherkova, S. G.; Marin, D. V.; Kesler, V. G.; Volodin, V. A.; Skuratov, V. A.

    2012-07-01

    Three hundred and twenty nanometer-thick SiO2 layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 1012 cm-2 and 1014 cm-2, or with 700 MeV Bi ions in the fluence range of 3 × 1012-1 × 1013 cm-2. After irradiation the yellow-orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950-1150 cm-1, Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si-O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO2. Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and ˜10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  10. The structure and elemental composition of the SiO2 layers with zinc-based nano clusters created by high-dose implantation and annealing

    International Nuclear Information System (INIS)

    Mokhovikov, M.A.; Komarov, F.F.; Vlasukova, L.A.; Mil'chanin, O.V.; Wendler, E.; Wesch, W.; Zhukovski, P.; Vengerek, P.

    2015-01-01

    We present the results of the structure and elemental composition of the SiO 2 layers after high-dose zinc implantation (10 16 - 10 17 sm -2 ) at room temperature and at 500°C, as well as after 700°C annealing. In the case of 'hot' implantation the formation of nano sized (to 5 nm) clusters containing atoms of zinc is registered in as-implanted samples. TEM-analysis proves crystalline structure of these precipitates. Subsequent annealing results in a redistribution of zinc within the implanted layer and in the formation of large crystallites (10 -12 nm for a dose of 5*10 16 cm -2 and 12-18 nm for a dose of 10 17 cm -2 ) in the area of high impurity concentration. (authors)

  11. Fluorine incorporation during Si solid phase epitaxy

    International Nuclear Information System (INIS)

    Impellizzeri, G.; Mirabella, S.; Romano, L.; Napolitani, E.; Carnera, A.; Grimaldi, M.G.; Priolo, F.

    2006-01-01

    We have investigated the F incorporation and segregation in preamorphized Si during solid phase epitaxy (SPE) at different temperatures and for several implanted-F energies and fluences. The Si samples were amorphized to a depth of 550 nm by implanting Si at liquid nitrogen temperature and then enriched with F at different energies (65-150 keV) and fluences (0.07-5 x 10 14 F/cm 2 ). Subsequently, the samples were regrown by SPE at different temperatures: 580, 700 and 800 deg. C. We have found that the amount of F incorporated after SPE strongly depends on the SPE temperature and on the energy and fluence of the implanted-F, opening the possibility to tailor the F profile during SPE

  12. B implanted at room temperature in crystalline Si: B defect formation and dissolution

    International Nuclear Information System (INIS)

    Romano, L.; Piro, A.M.; Mirabella, S.; Grimaldi, M.G.

    2005-01-01

    The B lattice location of B implanted into crystalline Si at room temperature has been investigated using the nuclear reaction 11 B(p,α) 8 Be induced by 650 keV proton beam and channelling analyses. The angular scans along the and axes indicate the formation of a particular B complex with B atoms non-randomly located. The same defect has been observed also for B doped Si where the B atoms, initially substitutional and electrically active, have been displaced as consequence of the interaction with the point defects generated by proton irradiation. The angular scans were compatible with the B-B pairs aligned along the axis predicted by theoretical calculations. The thermal evolution in the 400-950 deg. C range of the B complexes has been inferred both by B lattice location measurements and electrical activation. At low temperature (<700 deg. C) only 10% of the total B dose is active and a significant increase of randomly located B occurs. A significant electrical activation consistent with the concentration of substitutional B occurs at temperature higher than 800 deg. C. The data are interpreted in terms of a formation and dissolution of the B complexes

  13. Analytical threshold voltage modeling of ion-implanted strained-Si double-material double-gate (DMDG) MOSFETs

    Science.gov (United States)

    Goel, Ekta; Singh, Balraj; Kumar, Sanjay; Singh, Kunal; Jit, Satyabrata

    2017-04-01

    Two dimensional threshold voltage model of ion-implanted strained-Si double-material double-gate MOSFETs has been done based on the solution of two dimensional Poisson's equation in the channel region using the parabolic approximation method. Novelty of the proposed device structure lies in the amalgamation of the advantages of both the strained-Si channel and double-material double-gate structure with a vertical Gaussian-like doping profile. The effects of different device parameters (such as device channel length, gate length ratios, germanium mole fraction) and doping parameters (such as projected range, straggle parameter) on threshold voltage of the proposed structure have been investigated. It is observed that the subthreshold performance of the device can be improved by simply controlling the doping parameters while maintaining other device parameters constant. The modeling results show a good agreement with the numerical simulation data obtained by using ATLAS™, a 2D device simulator from SILVACO.

  14. In-Situ Photoexcitation-Induced Suppression of Point Defect Generation in Ion Implanted Silicon

    International Nuclear Information System (INIS)

    Cho, C.R.; Rozgonyi, G.A.; Yarykin, N.; Zuhr, R.A.

    1999-01-01

    The formation of vacancy-related defects in n-type silicon has been studied immediately after implantation of He, Si, or Ge ions at 85 K using in-situ DLTS. A-center concentrations in He-implanted samples reach a maximum immediately after implantation, whereas, with Si or Ge ion implanted samples they continuously increase during subsequent anneals. It is proposed that defect clusters, which emit vacancies during anneals, are generated in the collision cascades of Si or Ge ions. An illumination-induced suppression of A-center formation is seen immediately after implantation of He ions at 85 K. This effect is also observed with Si or Ge ions, but only after annealing. The suppression of vacancy complex formation via photoexcitation is believed to occur due to an enhanced recombination of defects during ion implantation, and results in reduced number of vacancies remaining in the defect clusters. In p-type silicon, a reduction in K-center formation and an enhanced migration of defects are concurrently observed in the illuminated sample implanted with Si ions. These observations are consistent with a model where the injection of excess carriers modifies the defect charge state and impacts their diffusion

  15. Effect of 100 MeV Ag{sup +7} ion irradiation on the bulk and surface magnetic properties of Co–Fe–Si thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hysen, T., E-mail: hysenthomas@gmail.com [Department of Physics, Cochin University of Science and Technology, Cochin 682 022, Kerala (India); Department of Physics, Christian College, Chengannur, Kerala 689 122 (India); Geetha, P. [Department of Physics, Cochin University of Science and Technology, Cochin 682 022, Kerala (India); Al-Harthi, Salim; Al-Omari, I.A. [Department of Physics, College of Science, Sultan Qaboos University, Al Khod 123 (Oman); Lisha, R. [Department of Physics, Cochin University of Science and Technology, Cochin 682 022, Kerala (India); Ramanujan, R.V. [School of Materials Science and Engineering, Nanyang Technological University, Singapore 639 798 (Singapore); Sakthikumar, D. [Graduate School of Interdisciplinary New Science, Toyo University, Kawagoe (Japan); Avasthi, D.K. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Anantharaman, M.R., E-mail: mra@cusat.ac.in [Department of Physics, Cochin University of Science and Technology, Cochin 682 022, Kerala (India)

    2014-12-15

    Thin films of Co–Fe–Si were vacuum evaporated on pre-cleaned float glass substrates employing thermal evaporation. The films were subsequently irradiated with 100 MeV Ag{sup +7} ions at fluences of 1×10{sup 11}, 1×10{sup 12} and 1×10{sup 13} ions/cm{sup 2}. The pristine and irradiated samples were subjected to surface analysis using Atomic Force Microscopy (AFM), Vibrating Sample Magnetometry (VSM) and Magneto Optic Kerr Effect (MOKE) measurements. The as deposited film has a root mean square roughness (Rq) of 8.9 nm and an average roughness of (Ra) 5.6 nm. Irradiation of the as deposited films with 100 MeV Ag{sup 7+} ions modifies the surface morphology. Irradiating with ions at fluences of 1×10{sup 11} ions/cm{sup 2} smoothens the mesoscopic hill-like structures, and then, at 1×10{sup 12} ions/cm{sup 2} new surface structures are created. When the fluence is further increased to 1×10{sup 13} ions/cm{sup 2} an increase in the surface roughness is observed. The MOKE loop of as prepared film indicated a squareness ratio of 0.62. As the film is irradiated with fluences of 1×10{sup 11} ions/cm{sup 2}, 1×10{sup 12} ions/cm{sup 2} and 1×10{sup 13} ions/cm{sup 2} the squareness ratio changes to 0.76, 0.8 and 0.86 respectively. This enhancement in squareness ratio towards 1 is a typical feature when the exchange interaction starts to dominates the inherent anisotropies in the system. The variation in surface magnetisation is explained based on the variations in surface roughness with swift heavy ion (SHI) irradiation. - Highlights: • We have irradiated thermally evaporated Co–Fe–Si thin films on glass substrate with 100 MeV Ag{sup +7} ions using the 15 UD Pelletron Accelerator at IUAC, New Delhi, India. • Surface morphology and magnetic characteristics of the films can be altered with ion irradiation. • It was observed that the variation in surface magnetic properties correlates well with the changes in surface morphology, further reiterating the

  16. Recoil implantation of boron into silicon by high energy silicon ions

    Science.gov (United States)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  17. Investigation of electric fields in B-implanted Si by positron beam spectroscopy

    International Nuclear Information System (INIS)

    Abdulmalik, D.A.; Coleman, P.G.

    2007-01-01

    Besides its conventional applications in defect characterization, variable-energy positron annihilation spectroscopy can be employed to monitor internal electric fields in the depletion regions in semiconductor structures. In this work, electric fields were studied in pre-amorphized Cz Si wafers (background dopant level ∝10 15 cm -3 ) implanted with 0.5 keV B ions at a dose of 10 15 cm -2 , and then annealed isothermally at 800 C for times ranging from 1 to 2700 s. Differences in the S parameter with annealing time were observed in samples implanted (a) with B ions only and (b) with B followed by F ions at 10 keV; these were attributed to different electric fields, which drift positrons back (a) to the surface, or (b) to a vacancy-like defected layer. Fitting of the data revealed depletion regions of widths between 150-350 nm centered at depths between 250-350 nm, with electric field values in the range -9 x 10 6 to -3 x 10 6 Vm -1 . The depth and width of the depletion regions increase significantly for annealing times greater than 100 s, attributed to B diffusion. The results are consistent with simple theoretical estimates, but the uncertainties on the latter are large. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    International Nuclear Information System (INIS)

    Reichel, Christian; Feldmann, Frank; Müller, Ralph; Hermle, Martin; Glunz, Stefan W.; Reedy, Robert C.; Lee, Benjamin G.; Young, David L.; Stradins, Paul

    2015-01-01

    Passivated contacts (poly-Si/SiO x /c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF 2 ), the ion implantation dose (5 × 10 14  cm −2 to 1 × 10 16  cm −2 ), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells. Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV oc ) of 725 and 720 mV, respectively. For p-type passivated contacts, BF 2 implantations into intrinsic a-Si yield well passivated contacts and allow for iV oc of 690 mV, whereas implanted B gives poor passivation with iV oc of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V oc of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF 2 implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V oc of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts

  19. Cytokine induction of sol–gel-derived TiO2 and SiO2 coatings on metallic substrates after implantation to rat femur

    Directory of Open Access Journals (Sweden)

    Urbanski W

    2017-02-01

    Full Text Available Wiktor Urbanski,1 Krzysztof Marycz,2 Justyna Krzak,3 Celina Pezowicz,4 Szymon Feliks Dragan1 1Department of Orthopaedic Surgery and Traumatology, Wroclaw University Hospital, 2Electron Microscope Laboratory, Wroclaw University of Environmental and Life Sciences, 3Institute of Materials Science and Applied Mechanics, 4Division of Biomedical Engineering and Experimental Mechanics, Wroclaw University of Technology, Wroclaw, Poland Abstract: Material surface is a key determinant of host response on implanted biomaterial. Therefore, modification of the implant surface may optimize implant–tissue reactions. Inflammatory reaction is inevitable after biomaterial implantation, but prolonged inflammation may lead to adverse reactions and subsequent implant failure. Proinflammatory activities of cytokines like interleukin (IL-1, IL-6, and tumor necrosis factor-alpha (TNF-α are attractive indicators of these processes and ultimately characterize biocompatibility. The objective of the study was to evaluate local cytokine production after implantation of stainless steel 316L (SS and titanium alloy (Ti6Al4V biomaterials coated with titanium dioxide (TiO2 and silica (SiO2 coatings prepared by sol–gel method. Biomaterials were implanted into rat femur and after 12 weeks, bones were harvested. Bone–implant tissue interface was evaluated; immunohistochemical staining was performed to identify IL-6, TNF-α, and Caspase-1. Histomorphometry (AxioVision Rel. 4.6.3 software of tissue samples was performed in order to quantify the cytokine levels. Both the oxide coatings on SS and Ti6Al4V significantly reduced cytokine production. However, the lowest cytokine levels were observed in TiO2 groups. Cytokine content in uncoated groups was lower in Ti6Al4V than in SS, although coating of either metal reduced cytokine production to similar levels. Sol–gel TiO2 or SiO2 coatings reduced significantly the production of proinflammatory cytokines by local tissues

  20. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  1. Surface modification of ceramics and metals by ion implantation combined with plasma irradiation

    International Nuclear Information System (INIS)

    Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Ikeyama, Masami; Saitoh, Kazuo

    2000-01-01

    To develop a new surface modification technique using ion implantation combined with plasma irradiation, thin film formation by IBAD (Ion Beam Assisted Deposition) and atom relocation processes such as radiation enhanced diffusion and ion beam mixing under high dose implantation have been studied. It was confirmed that the computer simulation code, dynamic-SASAMAL (IBAD version) developed in this research, is quite useful to evaluate ballistic components in film formation by high dose implantation on ceramics and metals, by ion beam mixing of metal-ceramics bi-layer and by the IBAD method including hydrocarbon deposition. Surface modification process of SiC by simultaneous irradiation of ions with a radical beam has also been studied. A composite of SiC and β-Si 3 N 4 was found to be formed on a SiC surface by hot implantation of nitrogen. The amount of β- Si 3 N 4 crystallites increased with increasing the dosage of the hydrogen radical beam during nitrogen implantation. (author)

  2. Polymer tribology by combining ion implantation and radionuclide tracing

    International Nuclear Information System (INIS)

    Timmers, Heiko; Gladkis, Laura G.; Warner, Jacob A.; Byrne, Aidan P.; Grosso, Mariela F. del; Arbeitman, Claudia R.; Garcia-Bermudez, Gerardo; Geruschke, Thomas; Vianden, Reiner

    2010-01-01

    Radionuclide tracers were ion implanted with three different techniques into the ultra-high molecular weight polyethylene polymer. Tracer nuclei of 7 Be were produced with inverse kinematics via the reaction p( 7 Li, 7 Be)n and caught by polymer samples at a forward scattering angle with a maximum implantation energy of 16 MeV. For the first time, 97 Ru, 100 Pd, and, independently, 111 In have been used as radionuclide tracers in ultra-high molecular weight polyethylene. 97 Ru and 100 Pd were recoil-implanted following the fusion evaporation reactions 92 Zr( 12 C,α3n) 97 Ru and 92 Zr( 12 C,4n) 100 Pd with a maximum implantation energy of 8 MeV. 111 In ions were produced in an ion source, mass-separated and implanted at 160 keV. The tribology of implanted polymer samples was studied by tracing the radionuclide during mechanical wear. Uni-directional and bi-directional sliding apparatus with stainless steel actuators were used. Results suggest a debris exchange process as the characteristic feature of the wear-in phase. This process can establish the steady state required for a subsequently constant wear rate in agreement with Archard's equation. The nano-scale implantation of mass-separated 111 In appears best suited to the study of non-linear tribological processes during wear-in. Such non-linear processes may be expected to be important in micro- and nanomachines.

  3. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  4. Nanocrystalline SiC and Ti3SiC2 Alloys for Reactor Materials: Annual Report

    Energy Technology Data Exchange (ETDEWEB)

    Henager, Charles H. [pnnl; Alvine, Kyle J. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Roosendaal, Timothy J. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Shin, Yongsoon [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Nguyen, Ba Nghiep; Borlaug, Brennan A. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Jiang, Weilin [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Arreguin, Shelly A. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2015-01-15

    A new dual-phase nanocomposite of Ti₃SiC₂/SiC is being synthesized using preceramic polymers, ceramic powders, and carbon nanotubes (CNTs) designed to be suitable for advanced nuclear reactors and perhaps as fuel cladding. The material is being designed to have superior fracture toughness compared to SiC, adequate thermal conductivity, and higher density than SiC/SiC composites. This annual report summarizes the progress towards this goal and reports progress in understanding certain aspects of the material behavior but some shortcomings in achieving full density or in achieving adequate incorporation of CNTs. The measured thermal conductivity is adequate and falls into an expected range based on SiC and Ti₃SiC₂. Part of this study makes an initial assessment for Ti₃SiC₂ as a barrier to fission product transport. Ion implantation was used to introduce fission product surrogates (Ag and Cs) and a noble metal (Au) in Ti₃SiC₂, SiC, and a synthesized at PNNL. The experimental results indicate that the implanted Ag in SiC is immobile up to the highest temperature (1273 K) applied in this study; in contrast, significant out-diffusion of both Ag and Au in MAX phase Ti₃SiC₂ occurs during ion implantation at 873 K. Cs in Ti₃SiC₂ is found to diffuse during post-irradiation annealing at 973 K, and noticeable Cs release from the sample is observed. This study may suggest caution in using Ti₃SiC₂ as a fuel cladding material for advanced nuclear reactors operating at very high temperatures. Progress is reported in thermal conductivity modeling of SiC-based materials that is relevant to this research, as is progress in modeling the effects of CNTs on fracture strength of SiC-based materials.

  5. Analyses of the As doping of SiO{sub 2}/Si/SiO{sub 2} nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, Francesco; Miritello, Maria [CNR-IMM MATIS, via S. Sofia 64, 95123 Catania (Italy); Tomasello, Mario Vincenzo [Scuola Superiore di Catania, via San Nullo 5/i, 95123 Catania (Italy); De Bastiani, Riccardo; Grimaldi, Maria Grazia [Dipartimento di Fisica ed Astronomia, Universita di Catania, via S. Sofia 64, 95123 Catania (Italy); CNR-IMM MATIS, via S. Sofia 64, 95123 Catania (Italy); Nicotra, Giuseppe; Spinella, Corrado [Consiglio Nazionale delle Ricerche-Istituto per la Microelettronica e Microsistemi (CNR-IMM), VIII Strada 5, 95121 Catania (Italy)

    2011-03-15

    We illustrate the behaviour of As when it is confined, by the implantation technique, in a SiO{sub 2}(70nm)/Si(30nm)/SiO{sub 2}(70nm) multilayer and its spatial redistribution when annealing processes are performed. By Rutherford backscattering spectrometry and Z-contrast transmission electron microscopy we found an As accumulation at the Si/SiO{sub 2} interfaces and at the Si grain boundaries with no segregation of the As in the Si layer. Such an effect is in agreement with a model that assumes a traps distribution in the Si in the first 2-3 nm above the SiO{sub 2}/Si interfaces and along the Si grain boundaries. The traps concentration at the Si/SiO{sub 2} interfaces was estimated in 10{sup 14} traps/cm{sup 2}. The outlined results can open perspectives on the doping properties of As in Si nanocrystals, whose applications in nanoelectronics and optoelectronics are widely investigated (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Electronic structure and electron dynamics at Si(100)

    Energy Technology Data Exchange (ETDEWEB)

    Weinelt, M. [Universitaet Erlangen-Nuernberg, Lehrstuhl fuer Festkoerperphysik, Erlangen (Germany); Max-Born-Institut, Berlin (Germany); Kutschera, M.; Schmidt, R.; Orth, C.; Fauster, T. [Universitaet Erlangen-Nuernberg, Lehrstuhl fuer Festkoerperphysik, Erlangen (Germany); Rohlfing, M. [International University Bremen, School of Engineering and Science, P.O. Box 750 561, Bremen (Germany)

    2005-02-01

    The electronic structure and electron dynamics at a Si(100) surface is studied by two-photon photoemission (2PPE). At 90 K the occupied D{sub up} dangling-bond state is located 150{+-}50 meV below the valence-band maximum (VBM) at the center of the surface Brillouin zone anti {gamma} and exhibits an effective hole mass of (0.5{+-}0.15)m{sub e}. The unoccupied D{sub down} band has a local minimum at anti {gamma} at 650{+-}50 meV above the VBM and shows strong dispersion along the dimer rows of the c(4 x 2) reconstructed surface. At 300 K the D{sub down} position shifts comparable to the Si conduction-band minimum by 40 meV to lower energies but the dispersion of the dangling-bond states is independent of temperature. The surface band bending for p-doped silicon is less than 30 meV, while acceptor-type defects cause significant and preparation-dependent band bending on n-doped samples. 2PPE spectra of Si(100) are dominated by interband transitions between the occupied and unoccupied surface states and emission out of transiently and permanently charged surface defects. Including electron-hole interaction in many-body calculations of the quasi-particle band structure leads us to assign a dangling-bond split-off state to a quasi-one-dimensional surface exciton with a binding energy of 130 meV. Electrons resonantly excited to the unoccupied D{sub down} dangling-bond band with an excess energy of about 350 meV need 1.5{+-}0.2 ps to scatter via phonon emission to the band bottom at anti {gamma} and relax within 5 ps with an excited hole in the occupied surface band to form an exciton living for nanoseconds. (orig.)

  7. In vivo study of nanostructured diopside (CaMgSi2O6) coating on magnesium alloy as biodegradable orthopedic implants

    International Nuclear Information System (INIS)

    Razavi, Mehdi; Fathi, Mohammadhossein; Savabi, Omid; Razavi, Seyed Mohammad; Heidari, Fariba; Manshaei, Maziar; Vashaee, Daryoosh; Tayebi, Lobat

    2014-01-01

    Highlights: • In vitro biocompatibility of biodegradable Mg alloy was improved by diopside coating. • In vivo biocompatibility of biodegradable Mg alloy was improved by diopside coating. • Degradation behavior of biodegradable Mg alloy was improved by diopside coating. - Abstract: In order to improve the corrosion resistance and bioactivity of a biodegradable magnesium alloy, we have recently prepared a nanostructured diopside (CaMgSi 2 O 6 ) coating on AZ91 magnesium alloy through a combined micro-arc oxidation (MAO) and electrophoretic deposition (EPD) method (reported elsewhere). In this work, we performed a detailed biocompatibility analysis of the implants made by this material and compared their performance with those of the uncoated and micro arc oxidized magnesium implants. The biocompatibility evaluation of samples was performed by culturing L-929 cells and in vivo animal study, including implantation of samples in greater trochanter of rabbits, radiography and histological examinations. The results from both the in vitro and in vivo studies indicated that the diopside/MAO coated magnesium implant significantly enhanced cell viability, biodegradation resistance and new bone formation compared with both the uncoated and the micro-arc oxidized magnesium implants. Our data provides an example of how the proper surface treatment of magnesium implants can overcome their drawbacks in terms of high degradation rate and gas bubble formation under physiological conditions

  8. Diffusion of antimony in silicon in the presence of point defects

    International Nuclear Information System (INIS)

    Yu Xiangkun; Ma, K.B.; Chen, Q.Y.; Wang Xuemei; Liu Jiarui; Chu, W.-K.; Shao Lin; Thompson, Phillip E.

    2007-01-01

    We have investigated the diffusion of Sb in Si in the presence of defects injected by high-energy implantation of Si ions at room temperature. MeV ion implantation increases the concentrations of vacancies, which induce transient-enhanced diffusion of Sb deposited in Si. We observed a significant enhancement of Sb diffusion. Secondary ions mass spectroscopy has been performed on the implanted samples before and after annealing. Rutherford-backscattering spectrometry has been used to characterize the high-energy implantation damage. By fitting diffusion profiles to a linear diffusive model, information about atomic scale diffusion of Sb, i.e. the generation rate of mobile state Sb and its mean migration length were extracted

  9. In vivo immuno-reactivity analysis of the porous three-dimensional chitosan/SiO2 and chitosan/SiO2 /hydroxyapatite hybrids.

    Science.gov (United States)

    Guo, Mengxia; Dong, Yifan; Xiao, Jiangwei; Gu, Ruicai; Ding, Maochao; Huang, Tao; Li, Junhua; Zhao, Naru; Liao, Hua

    2018-05-01

    Inorganic/organic hybrid silica-chitosan (CS) scaffolds have promising potential for bone defect repair, due to the controllable mechanical properties, degradation behavior, and scaffold morphology. However, the precise in vivo immuno-reactivity of silica-CS hybrids with various compositions is still poorly defined. In this study, we fabricated the three-dimensional (3D) interconnected porous chitosan-silica (CS/SiO 2 ) and chitosan-silica-hydroxyapatite (CS/SiO 2 /HA) hybrids, through sol-gel process and 3D plotting skill, followed by the naturally or freeze drying separately. Scanning electron microscopy demonstrated the hybrids possessed the uniform geometric structure, while, transmission electron microscopy displayed nanoscale silica, or HA nanoparticles dispersed homogeneously in the CS matrix, or CS/silica hybrids. After intramuscular implantation, CS/SiO 2 and CS/SiO 2 /HA hybrids triggered a local and limited monocyte/macrophage infiltration and myofiber degeneration. Naturally dried CS/SiO 2 hybrid provoked a more severe inflammation than the freeze-dried ones. Dendritic cells were attracted to invade into the implants embedded-muscle, but not be activated to prime the adaptive immunity, because the absence of cytotoxic T cells and B cells in muscle received the implants. Fluorescence-activated cell sorting (FACS) analysis indicated the implanted hybrids were incapable to initiate splenocytes activation. Plasma complement C3 enzyme linked immunosorbent assay (ELISA) assay showed the hybrids induced C3 levels increase in early implanting phase, and the subsequent striking decrease. Thus, the present results suggest that, in vivo, 3D plotted porous CS/SiO 2 and CS/SiO 2 /HA hybrids are relatively biocompatible in vivo, which initiate a localized inflammatory procedure, instead of a systematic immune response. © 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part A: 106A: 1223-1235, 2018. © 2018 Wiley Periodicals, Inc.

  10. EPR of the lattice damage from energetic Si in silicon at 40K

    International Nuclear Information System (INIS)

    Brower, K.L.

    1976-01-01

    An EPR study of the lattice damage produced by 14.2-MeV neutrons in p-type silicon at 4 0 K is presented. The EPR measurements were made at 5 0 K without any intermediate warmup of the sample. The EPR spectra indicate that each damage region, which is produced by a Si recoil of energy less than or equal to 1.89 MeV, is characterized by a high density of localized defects. A significant fraction of the lattice damage consists of distorted (110) 4-vacancies (Si-P3) embedded in a quasi-crystalline environment. Although a search for isolated vacancies was made, none was found. Even though the defects are complex and overlap, there is no evidence that a less than or equal to 1.89-MeV Si recoil produces amorphous regions at 4 0 K. Upon annealing the lattice damage to 50 0 K, a trace of the Si-G6 spectrum due to (V + V) + was observed. After annealing to 500 0 K, the Si-B3 center, which has recently been identified as a [001] Si split interstitial, emerged

  11. Effect of He implantation on fracture behavior and microstructural evolution in F82H

    Energy Technology Data Exchange (ETDEWEB)

    Yabuuchi, Kiyohiro, E-mail: kiyohiro.yabuuchi@qse.tohoku.ac.jp [Department of Quantum Science and Energy Engineering, Tohoku University, 6-6-01-2, Aramaki-Aza-Aoba, Aobaku, Sendai, Miyagi 980-8579 (Japan); Sato, Kiminori; Nogami, Shuhei; Hasegawa, Akira [Department of Quantum Science and Energy Engineering, Tohoku University, 6-6-01-2, Aramaki-Aza-Aoba, Aobaku, Sendai, Miyagi 980-8579 (Japan); Ando, Masami; Tanigawa, Hiroyasu [Japan Atomic Energy Agency, 2-166, Oaza-Obuchi-Aza-Omotedate, Rokkasho-mura, Kamikita-gun, Aomori 039-3212 (Japan)

    2014-12-15

    Reduced-activation ferritic/martensitic steels (RAFMs) are the primary candidate structural materials for fusion reactor blanket components. He bubbles, which formed under 14 MeV neutron irradiation, is considered to cause some mechanical property changes. In a previous study, Hasegawa et al. investigated the fracture behavior using Charpy impact test of He implanted F82H by 50 MeV α-particles with cyclotron accelerator, and the ductile brittle transition temperature (DBTT) was increased and intergranular fracture (IGF) was observed. However, the cause of the IGF was not shown in the previous study. To clarify the cause of the IGF of the He implanted F82H by 50 MeV α-particles with cyclotron accelerator, the microstructure of the He implanted F82H was investigated. After Charpy impact test at 233 K, the brittle fracture surface of the He implanted specimen was observed by SEM and TEM. By SEM observation, grain boundary surface was clearly observed from the bottom of the notch to a depth of about 400 μm. This area correspond to the He implanted region. On the other hand, at unimplanted region, river pattern was observed and transgranular fracture occurred. TEM observation revealed the He bubbles agglomeration at dislocations, lath boundaries, and grain boundaries, and the coarsening of precipitates on grain boundaries. IGF of the He implanted F82H was caused by both He bubbles and coarsening precipitates.

  12. Gamma-ray multiplicity measurements in the 28Si + 64Ni reaction at 163.8 MeV

    International Nuclear Information System (INIS)

    Di Pietro, A.; Cardella, G.; Musumarra, A.; Papa, M.; Pappalardo, G.; Rizzo, F.; De Rosa, A.; D'Onofrio, A.; Inglima, G.; Roca, V.; Romano, M.; Romoli, M.; Sandoli, M.; Terrasi, F.; Fioretto, E.

    1994-01-01

    The 28 Si+ 64 Ni reaction at 163.8 MeV incident energy is studied by measuring in coincidence γ-rays and charged particles identified from Z 2 to Z = 16. The transition from quasi-elastic to more damped reactions is observed when the difference between the detected charge and the projectile one is increased. The strong influence of the particle decay on the measured γ-ray multiplicity is evidenced with the help of the statistical model computer code CASCADE. Dissipative events are well described in the rolling limit with excitation energy equally shared between the fragments. The overall agreement is lost for the fragments with the projectile charge which show a small value of the γ-multiplicity even for dissipative events. This is probably connected with the previously observed non statistical behavior of gamma rays emitted in coincidence with projectile-like fragments. In the alpha-spectrum measured in coincidence with gamma-rays, the deexcitation of fused systems is clearly separated from in flight emission of deep inelastic fragments. The low measured gamma-ray multiplicity for fusion events is qualitatively explained taking into account the effect of alpha-emission in the statistical decay. (orig.)

  13. Photoluminescence of a superficial Si nanolayer and an example of its use

    International Nuclear Information System (INIS)

    Ley, M.; Svrcek, V.; Kuznicki, Z.T.

    2003-01-01

    A characteristic photoluminescence of a superficial Si nanolayer realized by ion implantation has been observed. This effect, being totally independent of those shown recently for a nanoscale Si-layered system, is similar to that produced by Si nanocrystals (Si nc). To visualize the nature and give evidence of this effect, we fabricated samples in two different ways: (i) by incorporation of Si nc into thin SiO 2 films deposited on Si wafer by the spin-on-glass method and (ii) by a nanoscale superficial crystalline-Si modification using medium-energy ion implantation and thermal treatment. In both cases the UV-to-red light conversion has been observed to be independent of wafer post-implantation damage. To show the UV-to-red conversion contribution, we use the ion modified superficial Si layer with its well-defined potential barrier, the so-called carrier collection limit. Such a modified Si structure gives us a method of deconvoluting several optoelectronic features observed experimentally on modified Si. The practical realization is compatible with well-established Si technology

  14. Silicon-Doped Titanium Dioxide Nanotubes Promoted Bone Formation on Titanium Implants.

    Science.gov (United States)

    Zhao, Xijiang; Wang, Tao; Qian, Shi; Liu, Xuanyong; Sun, Junying; Li, Bin

    2016-02-26

    While titanium (Ti) implants have been extensively used in orthopaedic and dental applications, the intrinsic bioinertness of untreated Ti surface usually results in insufficient osseointegration irrespective of the excellent biocompatibility and mechanical properties of it. In this study, we prepared surface modified Ti substrates in which silicon (Si) was doped into the titanium dioxide (TiO₂) nanotubes on Ti surface using plasma immersion ion implantation (PIII) technology. Compared to TiO₂ nanotubes and Ti alone, Si-doped TiO₂ nanotubes significantly enhanced the expression of genes related to osteogenic differentiation, including Col-I, ALP, Runx2, OCN, and OPN, in mouse pre-osteoblastic MC3T3-E1 cells and deposition of mineral matrix. In vivo, the pull-out mechanical tests after two weeks of implantation in rat femur showed that Si-doped TiO₂ nanotubes improved implant fixation strength by 18% and 54% compared to TiO₂-NT and Ti implants, respectively. Together, findings from this study indicate that Si-doped TiO₂ nanotubes promoted the osteogenic differentiation of osteoblastic cells and improved bone-Ti integration. Therefore, they may have considerable potential for the bioactive surface modification of Ti implants.

  15. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K.W.; Prawer, S.; Weiser, P.S.; Dooley, S.P. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1993-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  16. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K W; Prawer, S; Weiser, P S; Dooley, S P [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1994-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  17. Moessbauer-spectroscopic study of structure and magnetism of the exchange-coupled layer systems Fe/FeSn{sub 2}, and Fe/FeSi/Si and the ion-implanted diluted magnetic semiconductor SiC(Fe); Moessbauerspektroskopische Untersuchung von Struktur und Magnetismus der austauschgekoppelten Schichtsysteme Fe/FeSn{sub 2} und Fe/FeSi/Si und des ionenimplantierten verduennten magnetischen Halbleiters SiC(Fe)

    Energy Technology Data Exchange (ETDEWEB)

    Stromberg, Frank

    2009-07-07

    In line with this work the structural and magnetic properties of the exchange coupled layered systems Fe/FeSn{sub 2} and Fe/FeSi/Si and of the Fe ion implanted diluted magnetic semiconductor (DMS) SiC(Fe) were investigated. The main measuring method was the isotope selective {sup 57}Fe conversion electron Moessbauer spectroscopy (CEMS), mostly in connection with the {sup 57}Fe tracer layer technique, in a temperature range from 4.2 K to 340 K. Further measurement techniques were X-ray diffraction (XRD), electron diffraction (LEED, RHEED), SQUID magnetometry and FMR (Ferromagnetic Resonance). In the first part of this work the properties of thin AF FeSn{sub 2}(001) films and of the exchange-bias system Fe/FeSn{sub 2}(001) on InSb(001) were investigated. With the application of {sup 57}Fe-tracer layers and CEMS both the Fe-spin structure and the temperature dependence of the magnetic hyperfine field (B{sub hf}) of FeSn{sub 2} could be examined. The evaporation of Fe films on the FeSn{sub 2} films produced in the latter ones a high perpendicular spin component at the Fe/FeSn{sub 2} interface. In some distance from the interface the Fe spins rotate back into the sample plane. Furthermore {sup 57}Fe-CEMS provided a correlation between the absolute value of the exchange field vertical stroke He vertical stroke and the amount of magnetic defects within the FeSn{sub 2}. Temperature dependent CEMS-measurements yielded informations about the spin dynamics within the AF. The transition temperatures T{sub B}{sup *}, which were interpreted as superparamagnetic blocking temperatures, obtain higher values compared to the temperatures T{sub B} of the exchange-bias effect, obtained with magnetometry measurements. The second part of this work deals with the indirect exchange coupling within Fe/FeSi/Si/FeSi/Fe multilayers and FeSi diffusion barriers. The goal was to achieve Fe free Si interlayers. The CEMS results show that starting from a thickness of t{sub FeSi}=10-12 A of the

  18. High current pelletron for ion implantation

    International Nuclear Information System (INIS)

    Schroeder, J.B.

    1989-01-01

    Since 1984, when the first production MeV ion implanter (an NEC model MV-T30) went on-line, interest in versatile electrostatic accelerator systems for MeV ion implantation has grown. The systems use a negative ion source to inject a tandem megavolt accelerator. In early systems the 0.4 mA of charging current from the two Pelletron charging chains in the accelerator was sufficient for the low intensity of beams from the ion source. This 2-chain system, however, is no longer adequate for the much higher beam intensities from today's improved ion sources. A 4-chain charging system, which delivers 1.3 mA to the high voltage terminal, was developed and is in operation in new models of NEC S Series Pelletron accelerators. This paper describes the latest beam performance of 1 MV and 1.7 MW Pelletron accelerators with this new 4-chain charging system. (orig.)

  19. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO2 layers

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Cherkova, S.G.; Marin, D.V.; Kesler, V.G.; Volodin, V.A.; Skuratov, V.A.

    2012-01-01

    Three hundred and twenty nanometer-thick SiO 2 layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 10 12 cm −2 and 10 14 cm −2 , or with 700 MeV Bi ions in the fluence range of 3 × 10 12 –1 × 10 13 cm −2 . After irradiation the yellow–orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950–1150 cm −1 , Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si–O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO 2 . Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and ∼10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  20. Blocking germanium diffusion inside silicon dioxide using a co-implanted silicon barrier

    Science.gov (United States)

    Barba, D.; Wang, C.; Nélis, A.; Terwagne, G.; Rosei, F.

    2018-04-01

    We investigate the effect of co-implanting a silicon sublayer on the thermal diffusion of germanium ions implanted into SiO2 and the growth of Ge nanocrystals (Ge-ncs). High-resolution imaging obtained by transmission electron microscopy and energy dispersive spectroscopy measurements supported by Monte-Carlo calculations shows that the Si-enriched region acts as a diffusion barrier for Ge atoms. This barrier prevents Ge outgassing during thermal annealing at 1100 °C. Both the localization and the reduced size of Ge-ncs formed within the sample region co-implanted with Si are observed, as well as the nucleation of mixed Ge/Si nanocrystals containing structural point defects and stacking faults. Although it was found that the Si co-implantation affects the crystallinity of the formed Ge-ncs, this technique can be implemented to produce size-selective and depth-ordered nanostructured systems by controlling the spatial distribution of diffusing Ge. We illustrate this feature for Ge-ncs embedded within a single SiO2 monolayer, whose diameters were gradually increased from 1 nm to 5 nm over a depth of 100 nm.

  1. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  2. Microscopic analysis of proton elastic scattering in the range 80-200 MeV

    International Nuclear Information System (INIS)

    Dietrich, F.S.; Petrovich, F.

    1983-01-01

    A systematic comparison is made of differential cross-section and analyzing-power data on 12 C, 28 Si, 40 Ca, 90 Zr, and 208 Pb at 80-200 MeV with calculations based on the single-step folding-model approach to the optical potential. In these calculations, proton densities have been inferred from electron scattering results, with neutron densities either the same as for protons ( 12 C, 28 Si, 40 Ca) or with a small neutron skin consistent with 800-MeV proton scattering results ( 80 Zr, 208 Pb). The effective two-body interactions that have been used are the Love-Franey t-matrix, a density-dependent interaction based on the Paris potential (calculated by von Geramb), and finally the Brieva-Rook density-dependent central interaction used with the spin-orbit part of the Love-Franey interaction

  3. Local structure of the silicon implanted in a graphite single crystal

    International Nuclear Information System (INIS)

    Baba, Yuji; Shimoyama, Iwao; Sekiguchi, Tetsuhiro

    2002-01-01

    Solid carbon forms two kinds of local structures, i.e., diamond-like and two-dimensional graphite structures. In contrast, silicon carbide tends to prefer only diamond structure that is composed of sp 3 bonds. In order to clarify weather or not two-dimensional graphitic Si x C layer exists, we investigate the local structures of Si x C layer produced by Si + -ion implantation into highly oriented pyrolytic graphite (HOPG) by means of near-edge X-ray absorption fine structure (NEXAFS). The energy of the resonance peak in the Si K-edge NEXAFS spectra for Si + -implanted HOPG is lower than those for any other Si-containing materials. The intensity of the resonance peak showed a strong polarization dependence. These results suggests that the final state orbitals around Si atoms have π*-like character and the direction of this orbital is perpendicular to the graphite plane. It is elucidated that the Si-C bonds produced by the Si + -ion implantation are nearly parallel to the graphite plane, and Si x C phase forms a two-dimensionally spread graphite-like layer with sp 2 bonds. (author)

  4. Effects of cesium ion-implantation on mechanical and electrical properties of organosilicate low-k films

    Energy Technology Data Exchange (ETDEWEB)

    Li, W.; Pei, D.; Guo, X.; Cheng, M. K.; Lee, S.; Shohet, J. L. [Plasma Processing and Technology Laboratory, Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Lin, Q. [IBM T.J. Watson Research Center, Yorktown Heights, New York 10598 (United States); King, S. W. [Intel Corporation, Hillsboro, Oregon 97124 (United States)

    2016-05-16

    The effects of cesium (Cs) ion-implantation on uncured plasma-enhanced chemical-vapor-deposited organosilicate low dielectric constant (low-k) (SiCOH) films have been investigated and compared with an ultraviolet (UV) cured film. The mechanical properties, including the elastic modulus and hardness, of the SiCOH low-k films are improved by up to 30% with Cs implantation, and further up to 52% after annealing at 400 °C in a N{sub 2} ambient for 1 h. These improvements are either comparable to or better than the effects of UV-curing. They are attributed to an enhancement of the Si-O-Si network structure. The k-value of the SiCOH films increased slightly after Cs implantation, and increased further after annealing. These increases are attributed to two carbon-loss mechanisms, i.e., the carbon loss due to Si-CH{sub 3} bond breakage from implanted Cs ions, and the carbon loss due to oxidation during the annealing. The time-zero dielectric breakdown strength was improved after the Cs implantation and the annealing, and was better than the UV-cured sample. These results indicate that Cs ion implantation could be a supplement to or a substitution for the currently used UV curing method for processing SiCOH low-k films.

  5. TEM investigation of the microstructural evolution in nickel during MeV helium implantation

    International Nuclear Information System (INIS)

    Gadalla, A.A.; Jaeger, W.; Ehrhart, P.

    1986-01-01

    In a recent TEM investigation of high energy He-implanted copper the low average helium density could be understood by the observation of the coexistence of two types of vacancy agglomerates i.e. relaxed vacancy agglomerates in the form of stacking fault tetrahedra (SFT) and small bubbles. In order to arrive at a more systematic understanding of the evolution of the microstructure during high energy helium implantation we extended these TEM investigations to nickel. Of particular interest was also the minimum implantation dose necessary to precipitate bubbles that are large enough to be visible in the TEM. (orig./RK)

  6. Spectroscopy of the {sup 29}Si({ital p},{gamma}) reaction for {ital E}{sub {ital p}}=1.75{endash}2.51 MeV

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, P.M.; Bilpuch, E.G.; Bybee, C.R.; Mitchell, G.E.; Moore, E.F.; Shriner, J.D.; Shriner, J.F. Jr.; Vavrina, G.A.; Westerfeldt, C.R. [Duke University, Durham, North Carolina 27708 (United States)]|[Triangle Universities Nuclear Laboratory, Durham, North Carolina 27708 North Carolina State University, Raleigh, North Carolina 27695 (United States)]|[Triangle Universities Nuclear Laboratory, Durham, North Carolina 27708 Tennessee Technological University, Cookeville, Tennessee 38505 (United States)

    1996-12-01

    The {sup 29}Si({ital p},{gamma}) reaction has been studied for 30 resonances in the range {ital E}{sub {ital p}}=1.75{endash}2.51 MeV. Branching ratios have been measured for 28 of these resonances. The separation energy {ital S}{sub {ital p}} for {sup 30}P has been determined to be 5594.5{plus_minus}0.5 keV. Improved spin, parity, and isospin assignments have been made to a number of the resonant states. A new level has been identified in {sup 30}P at {ital E}{sub {ital x}}=6006 keV, and its {gamma}-ray branching ratios have been measured. {copyright} {ital 1996 The American Physical Society.}

  7. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO{sub 2} layers

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G.A., E-mail: kachurin@isp.nsc.ru [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Cherkova, S.G. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Marin, D.V. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Novosibirsk State University, 630090 Novosibirsk (Russian Federation); Kesler, V.G. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Volodin, V.A. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Novosibirsk State University, 630090 Novosibirsk (Russian Federation); Skuratov, V.A. [Joint Institute for Nuclear Research, 141980 Dubna (Russian Federation)

    2012-07-01

    Three hundred and twenty nanometer-thick SiO{sub 2} layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 10{sup 12} cm{sup -2} and 10{sup 14} cm{sup -2}, or with 700 MeV Bi ions in the fluence range of 3 Multiplication-Sign 10{sup 12}-1 Multiplication-Sign 10{sup 13} cm{sup -2}. After irradiation the yellow-orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950-1150 cm{sup -1}, Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si-O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO{sub 2}. Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and {approx}10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  8. Detection of 14 MeV neutrons in high temperature environment up to 500 deg. C using 4H-SiC based diode detector

    Energy Technology Data Exchange (ETDEWEB)

    Szalkai, D.; Klix, A. [KIT- Karlsruhe Institute of Technology, Institute of Neutron Physics and Reactor Technology Karlsruhe 76344 (Germany); Ferone, R.; Issa, F.; Ottaviani, L.; Vervisch, V. [IM2NP, UMR CNRS 7334, Aix-Marseille University, Case 231 -13397 Marseille Cedex 20 (France); Gehre, D. [Inst. for Nucl.- and Particle-Phys., Dresden University of Technology, Dresden 01069 (Germany); Lyoussi, A. [CEA, DEN, Departement d' Etudes des Reacteurs, Service de Physique Experimentale, Laboratoire Dosimetrie Capteurs Instrumentation, 13108 Saint-Paul-lez-Durance (France)

    2015-07-01

    In reactor technology and industrial applications detection of fast and thermal neutrons plays a crucial role in getting relevant information about the reactor environment and neutron yield. The inevitable elevated temperatures make neutron yield measurements problematic. Out of the currently available semiconductors 4H-SiC seems to be the most suitable neutron detector material under extreme conditions due to its high heat and radiation resistance, large band-gap and lower cost of production than in case of competing diamond detectors. In the framework of the European I-Smart project, optimal {sup 4}H-SiC diode geometries were developed for high temperature neutron detection and have been tested with 14 MeV fast neutrons supplied by a deuterium-tritium neutron generator with an average neutron flux of 10{sup 10}-10{sup 11} n/(s*cm{sup 2}) at Neutron Laboratory of the Technical University of Dresden in Germany from room temperatures up to several hundred degrees Celsius. Based on the results of the diode measurements, detector geometries appear to play a crucial role for high temperature measurements up to 500 deg. C. Experimental set-ups using SiC detectors were constructed to simulate operation in the harsh environmental conditions found in the tritium breeding blanket of the ITER fusion reactor, which is planned to be the location of neutron flux characterization measurements in the near future. (authors)

  9. Propagation of misfit dislocations from buffer/Si interface into Si

    Science.gov (United States)

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  10. Channeling ion implantation through palladium films

    International Nuclear Information System (INIS)

    Ishiwara, H.; Furukawa, S.

    1975-01-01

    The possibility of channeling ion implantation into semiconductors through polycrystalline metallic layers is studied. Minimum values and standard deviations of channeling angular yield in polycrystalline Pd 2 Si layers formed on Si have been measured by protons and 4 He, and 14 N ion backscattering and channeling measurements. Depth distributions of the spread of crystallite orientations and scattering centers such as lattice defects have been separately derived by using the above two quantities. It has been concluded that the channeling-ion-implantation technique will become a practical one by using the parallel scanning system

  11. Determination of phosphorus distribution in the region of a SiO2-Si interface by substoichiometric analysis

    International Nuclear Information System (INIS)

    Shigematsu, T.; Yonezawa, H.

    1994-01-01

    A simplified method for the substoichiometric analysis of phosphorus has been developed and applied to determine the concentration distribution of phosphorus in the region of a SiO 2 -Si interface in order to explain why phosphorus is lost from the ion-implanted silicon surface throughout the oxidation and oxide removal processes. It is revealed that phosphorus piles up on the SiO 2 side at the interface by the thermal oxidation of silicon surface and is removed with the oxide by wet etching and with the resulting silicon by RCA cleaning. This results in a total loss of ion-implanted phosphorus of 3.5%. (author) 11 refs.; 2 figs.; 3 tabs

  12. High energy ion implantation for semiconductor application at Fraunhofer-AIS, Erlangen

    International Nuclear Information System (INIS)

    Frey, L.; Bogen, S.; Gong, L.; Jung, W.; Ryssel, H.; Gyulai, J.

    1992-01-01

    A new high energy ion implanter for research and development in semiconductor technology was put into operation at the Fraunhofer Institute in Erlangen. The system is used for generation of ion beams in the energy range from 100 keV to more than 6 MeV with currents up to 100 μA. A large variety of ion species can be implanted into silicon wafers with diameters up to 200 mm (with cassette-to-cassette loading up to 150 mm). The performance characteristics of the system are described with special emphasis on the end stations. In a first series of experiments, the range distributions of boron, phosphorus and arsenic in silicon have been measured for energies from 0.2 MeV to 10 MeV in order to get a data set for future applications. The profiles are compared to simulated data. First experimental results on lateral distribution of the dopant species are presented. (orig.)

  13. Surface Passivation and Junction Formation Using Low Energy Hydrogen Implants

    Science.gov (United States)

    Fonash, S. J.

    1985-01-01

    New applications for high current, low energy hydrogen ion implants on single crystal and polycrystal silicon grain boundaries are discussed. The effects of low energy hydrogen ion beams on crystalline Si surfaces are considered. The effect of these beams on bulk defects in crystalline Si is addressed. Specific applications of H+ implants to crystalline Si processing are discussed. In all of the situations reported on, the hydrogen beams were produced using a high current Kaufman ion source.

  14. Highly-focused boron implantation in diamond and imaging using the nuclear reaction {sup 11}B(p, α){sup 8}Be

    Energy Technology Data Exchange (ETDEWEB)

    Ynsa, M.D., E-mail: m.ynsa@uam.es [Centro de Micro-Análisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Departamento de Física Aplicada, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Ramos, M.A. [Centro de Micro-Análisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Departamento de Física de la Materia Condensada and Instituto Nicolás Cabrera, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Skukan, N. [Laboratory for Ion Beam Interactions, Ruđer Bošković Institute, Bijenička 54, HR-10000 Zagreb (Croatia); Torres-Costa, V. [Centro de Micro-Análisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Departamento de Física Aplicada, Universidad Autónoma de Madrid, E-28049 Madrid (Spain); Jakšić, M. [Laboratory for Ion Beam Interactions, Ruđer Bošković Institute, Bijenička 54, HR-10000 Zagreb (Croatia)

    2015-04-01

    Diamond is an especially attractive material because of its gemological value as well as its unique mechanical, chemical and physical properties. One of these properties is that boron-doped diamond is an electrically p-type semiconducting material at practically any boron concentration. This property makes it possible to use diamonds for multiple industrial and technological applications. Boron can be incorporated into pure diamond by different techniques including ion implantation. Although typical energies used to dope diamond by ion implantation are about 100 keV, implantations have also been performed with energies above MeV. In this work CMAM microbeam setup has been used to demonstrate capability to implant boron with high energies. An 8 MeV boron beam with a size of about 5 × 3 μm{sup 2} and a beam current higher than 500 pA has been employed while controlling the beam position and fluence at all irradiated areas. The subsequent mapping of the implanted boron in diamond has been obtained using the strong and broad nuclear reaction {sup 11}B(p, α){sup 8}Be at E{sub p} = 660 keV. This reaction has a high Q-value (8.59 MeV for α{sub 0} and 5.68 MeV for α{sub 1}) and thus is almost interference-free. The sensitivity of the technique is studied in this work.

  15. Low dose irradiation performance of SiC interphase SiC/SiC composites

    International Nuclear Information System (INIS)

    Snead, L.L.; Lowden, R.A.; Strizak, J.; More, K.L.; Eatherly, W.S.; Bailey, J.; Williams, A.M.; Osborne, M.C.; Shinavski, R.J.

    1998-01-01

    Reduced oxygen Hi-Nicalon fiber reinforced composite SiC materials were densified with a chemically vapor infiltrated (CVI) silicon carbide (SiC) matrix and interphases of either 'porous' SiC or multilayer SiC and irradiated to a neutron fluence of 1.1 x 10 25 n m -2 (E>0.1 MeV) in the temperature range of 260 to 1060 C. The unirradiated properties of these composites are superior to previously studied ceramic grade Nicalon fiber reinforced/carbon interphase materials. Negligible reduction in the macroscopic matrix microcracking stress was observed after irradiation for the multilayer SiC interphase material and a slight reduction in matrix microcracking stress was observed for the composite with porous SiC interphase. The reduction in strength for the porous SiC interfacial material is greatest for the highest irradiation temperature. The ultimate fracture stress (in four point bending) following irradiation for the multilayer SiC and porous SiC interphase materials was reduced by 15% and 30%, respectively, which is an improvement over the 40% reduction suffered by irradiated ceramic grade Nicalon fiber materials fabricated in a similar fashion, though with a carbon interphase. The degradation of the mechanical properties of these composites is analyzed by comparison with the irradiation behavior of bare Hi-Nicalon fiber and Morton chemically vapor deposited (CVD) SiC. It is concluded that the degradation of these composites, as with the previous generation ceramic grade Nicalon fiber materials, is dominated by interfacial effects, though the overall degradation of fiber and hence composite is reduced for the newer low-oxygen fiber. (orig.)

  16. Measurement of proton induced thick target γ-ray yields on B, N, Na, Al and Si from 2.5 to 4.1 MeV

    Energy Technology Data Exchange (ETDEWEB)

    Chiari, M., E-mail: chiari@fi.infn.it [INFN-Florence and Department of Physics and Astronomy, University of Florence, via G. Sansone 1, 50019 Sesto Fiorentino (Italy); Ferraccioli, G.; Melon, B.; Nannini, A.; Perego, A.; Salvestrini, L. [INFN-Florence and Department of Physics and Astronomy, University of Florence, via G. Sansone 1, 50019 Sesto Fiorentino (Italy); Lagoyannis, A.; Preketes-Sigalas, K. [Tandem Accelerator Laboratory, Institute of Nuclear and Particle Physics, NCSR “Demokritos”, 153.10 Aghia Paraskevi, Athens (Greece)

    2016-01-01

    Thick target yields for proton induced γ-ray emission (PIGE) on low-Z nuclei, namely B, N, Na, Al and Si, were measured for proton energies from 2.5 to 4.1 MeV and emission angles of 0°, 45° and 90°, at the 3 MV Tandetron laboratory of INFN-LABEC in Florence. The studied reactions were: {sup 10}B(p,α′γ){sup 7}Be (E{sub γ} = 429 keV), {sup 10}B(p,p′γ){sup 10}B (E{sub γ} = 718 keV) and {sup 11}B(p,p′γ){sup 11}B (E{sub γ} = 2125 keV) for boron; {sup 14}N(p,p′γ){sup 14}N (E{sub γ} = 2313 keV) for nitrogen; {sup 23}Na(p,p′γ){sup 23}Na (E{sub γ} = 441 and 1636 keV) and {sup 23}Na(p,α′γ){sup 20}Ne (E{sub γ} = 1634 keV) for sodium; {sup 27}Al(p,p′γ){sup 27}Al (E{sub γ} = 844 and 1014 keV) and {sup 27}Al(p,α′γ){sup 24}Mg (E{sub γ} = 1369 keV) for aluminum; {sup 28}Si(p,p′γ){sup 28}Si (E{sub γ} = 1779 keV) and {sup 29}Si(p,p′γ){sup 29}Si (E{sub γ} = 1273 keV) for silicon. The PIGE thick target yields have been measured with an overall uncertainty typically better than 10%. The use of the measured thick target yield to benchmark and validate experimental cross sections available in the literature is demonstrated.

  17. Determination of migration of ion-implanted helium in silica by proton backscattering spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Szakacs, G. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)], E-mail: szilagyi@rmki.kfki.hu; Paszti, F.; Kotai, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)

    2008-04-15

    Understanding the processes caused by ion implantation of light ions in dielectric materials such as silica is important for developing the diagnostic systems used in fusion and fission environments. Recently, it has been shown that ion-implanted helium is able to escape from SiO{sub 2} films. To study this process in details, helium was implanted into the central part of a buried SiO{sub 2} island up to a fluence of 4 x 10{sup 17} He/cm{sup 2}. The implanted helium could be detected in the SiO{sub 2} island, if the oxide was insulated properly from the vacuum. The shape of the helium depth distributions was far from SRIM simulation because helium distributed in the whole 1 {mu}m thick oxide layer. After the ion implantation, helium was observed only on the implanted spot. After nine months the implanted helium filled out the whole oxide island as it was expected from the high diffusivity.

  18. Determination of migration of ion-implanted helium in silica by proton backscattering spectrometry

    International Nuclear Information System (INIS)

    Szakacs, G.; Szilagyi, E.; Paszti, F.; Kotai, E.

    2008-01-01

    Understanding the processes caused by ion implantation of light ions in dielectric materials such as silica is important for developing the diagnostic systems used in fusion and fission environments. Recently, it has been shown that ion-implanted helium is able to escape from SiO 2 films. To study this process in details, helium was implanted into the central part of a buried SiO 2 island up to a fluence of 4 x 10 17 He/cm 2 . The implanted helium could be detected in the SiO 2 island, if the oxide was insulated properly from the vacuum. The shape of the helium depth distributions was far from SRIM simulation because helium distributed in the whole 1 μm thick oxide layer. After the ion implantation, helium was observed only on the implanted spot. After nine months the implanted helium filled out the whole oxide island as it was expected from the high diffusivity

  19. Study of elastic pion scattering from /sup 9/Be, /sup 28/Si, /sup 58/Ni, and /sup 208/Pb at 162 MeV. [Total and differential cross sections, scattering yields, scattering amplitudes

    Energy Technology Data Exchange (ETDEWEB)

    Devereux, M.J.

    1979-05-01

    Elastic pion scattering from /sup 9/Be, /sup 28/Si, /sup 58/Ni, and /sup 208/Pb at 162 MeV is analyzed and compared with an optical model theory which incorporates a pion--nucleon range. Excellent fits to the data are obtained in all but one case. The fitted values of the pion--nucleon range, as well as other fitted values are listed. 108 references.

  20. Ion beam synthesis of semiconductor nanoparticles for Si based optoelectronic devices

    International Nuclear Information System (INIS)

    Gonzalez-Varona, O.; Perez-Rodriguez, A.; Garrido, B.; Bonafos, C.; Lopez, M.; Morante, J.R.; Montserrat, J.; Rodriguez, R.

    2000-01-01

    Intense white (to the eye) luminescence has been obtained by multiple implantation of Si + and C + ions into thermal SiO 2 and a post-implantation annealing process. This white emission is a consequence of the convolution of three luminescence peaks centred at about 1.45 eV (infrared with a long tail in the red), 2.1 eV (yellow) and 2.8 eV (blue). These emissions have been correlated to the synthesis of nanocrystals of Si and SiC, and the existence of C-rich precipitates. Cross section TEM shows a buried layer with dark contrast, which correlates with the maximum of the C implanted profile, and likely with a high density of C-rich amorphous domains. Besides, two kinds of nanocrystalline precipitates are found, which have been identified as Si and hexagonal 6H-SiC by electron diffraction experiments. To our knowledge, these data provide the first experimental evidence on the ion beam synthesis of nanocrystalline 6H-SiC embedded in SiO 2 . Correlation with previous data gives support to the assignment of the infrared, yellow and blue peaks with the Si, C-rich and SiC precipitate phases and/or its interfaces with SiO 2

  1. Ion beam synthesis of semiconductor nanoparticles for Si based optoelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez-Varona, O.; Perez-Rodriguez, A.; Garrido, B.; Bonafos, C.; Lopez, M.; Morante, J.R.; Montserrat, J.; Rodriguez, R

    2000-03-01

    Intense white (to the eye) luminescence has been obtained by multiple implantation of Si{sup +} and C{sup +} ions into thermal SiO{sub 2} and a post-implantation annealing process. This white emission is a consequence of the convolution of three luminescence peaks centred at about 1.45 eV (infrared with a long tail in the red), 2.1 eV (yellow) and 2.8 eV (blue). These emissions have been correlated to the synthesis of nanocrystals of Si and SiC, and the existence of C-rich precipitates. Cross section TEM shows a buried layer with dark contrast, which correlates with the maximum of the C implanted profile, and likely with a high density of C-rich amorphous domains. Besides, two kinds of nanocrystalline precipitates are found, which have been identified as Si and hexagonal 6H-SiC by electron diffraction experiments. To our knowledge, these data provide the first experimental evidence on the ion beam synthesis of nanocrystalline 6H-SiC embedded in SiO{sub 2}. Correlation with previous data gives support to the assignment of the infrared, yellow and blue peaks with the Si, C-rich and SiC precipitate phases and/or its interfaces with SiO{sub 2}.

  2. Optical and electrical properties of disordered layers in GaAs crystals produced by Si+ ion implantation

    International Nuclear Information System (INIS)

    Shigetomi, S.; Matsumori, T.

    1981-01-01

    The ion dose dependence and the annealing behavior of GaAs after implantation with 200 keV 2 x 10 12 -2 x 10 16 Si + ions/cm 2 as studied by using optical absorption, reflection, photoluminescence, sheet-resistivity, Hall effect and field effect methods. The experimental results of the optical absorption and reflection indicate that, when annealed at about 400 0 C, the disordered layers produced by high-dose implantation (2 x 10 15 -2 x 10 16 ions/cm 2 ), reorder epitaxially on the underlying crystal leaving relatively little residual disorder in the near-surface. However, from the experimental results of optical reflection, 800 0 C annealing is required for perfect recrystallization. The temperature dependence of the sheet-resistivity, with annealing temperature as a parameter, indicates that, next to the variable-range hopping and phonon-assisted hopping regions, a new region is observed. Holes, thermally-activated from localized states near the Fermi level Esub(F) to the mobility edge Esub(V) near the valence band, are responsible for electrical conduction in this region. (orig.)

  3. 125 MeV Si 9+ ion irradiation of calcium phosphate thin film coated by rf-magnetron sputtering technique

    Science.gov (United States)

    Elayaraja, K.; Joshy, M. I. Ahymah; Suganthi, R. V.; Kalkura, S. Narayana; Palanichamy, M.; Ashok, M.; Sivakumar, V. V.; Kulriya, P. K.; Sulania, I.; Kanjilal, D.; Asokan, K.

    2011-01-01

    Titanium substrate was coated with hydroxyapatite by radiofrequency magnetron sputtering (rf-magnetron sputtering) technique and subjected to swift heavy ion (SHI) irradiation of 125 MeV with Si 9+ at fluences of 1 × 10 10, 1 × 10 11 and 1 × 10 12 ions/cm 2. The glancing incidence X-ray diffraction (GIXRD) analysis confirmed the HAp phase of the irradiated film. There was a considerable decrease in crystallinity and particle size after irradiation. In addition, DRS-UV reflectance spectra revealed a decrease in optical band gap ( Eg) from 5.2 to 4.6 eV. Wettability of biocompatible materials plays an important role in biological cells proliferation for tissue engineering, drug delivery, gene transfer and bone growth. HAp thin films irradiated with 1 × 10 11 ions/cm 2 fluence showed significant increase in wettability. While the SHI irradiated samples exhibited enhanced bioactivity, there was no significant variation in cell viability. Surface roughness, pores and average particle size were analyzed by atomic force microscopy (AFM).

  4. Scanning ion deep level transient spectroscopy: II. Ion irradiated Au-Si Schottky junctions

    International Nuclear Information System (INIS)

    Laird, J S; Jagadish, C; Jamieson, D N; Legge, G J F

    2006-01-01

    Here we introduce a new technique called scanning ion deep level transient spectroscopy (SIDLTS) for the spatial analysis of electrically active defects in devices. In the first part of this paper, a simple theory behind SIDLTS was introduced and factors determining its sensitivity and resolution were discussed. In this paper, we demonstrate the technique on MeV boron implantation induced defects in an Au-Si Schottky junction. SIDLTS measurements are compared with capacitance DLTS measurements over the temperature range, 100-300 K. SIDLTS analyses indicate the presence of two levels, one of which was positively identified as the E c - 0.23 eV divacancy level. The high sensitivity of SIDLTS is verified and the advantages and limitations of the technique are discussed in light of non-exponential components in the charge transient response. Reasons for several undetected levels are also discussed

  5. Spin flip in inelastic scattering of protons on 28Si nuclei

    International Nuclear Information System (INIS)

    Wang Syn Chan; Komsan, M.N.Kh.; Osetinskij, G.M.; Golubev, S.L.; Kurepin, A.B.; Likhosherstov, V.N.

    1975-01-01

    We measured the energy and angular dependences of the spin-flip probability and of the differential cross section for inelastic scattering of protons in the resonance region of the reaction 28 Si(p,p') 23 Si* (2 + , 1.78 MeV) at E sub(p) = 3.095 and 3.34 MeV. The energy dependence of the spin-flip probability was found to have a resonance character. The angular distribution of the inelastic scattering and of the spin-flip probability is asymmetrical with respect to 90 deg in the c.m.s

  6. Enhanced Physicochemical and Biological Properties of Ion-Implanted Titanium Using Electron Cyclotron Resonance Ion Sources

    Directory of Open Access Journals (Sweden)

    Csaba Hegedűs

    2016-01-01

    Full Text Available The surface properties of metallic implants play an important role in their clinical success. Improving upon the inherent shortcomings of Ti implants, such as poor bioactivity, is imperative for achieving clinical use. In this study, we have developed a Ti implant modified with Ca or dual Ca + Si ions on the surface using an electron cyclotron resonance ion source (ECRIS. The physicochemical and biological properties of ion-implanted Ti surfaces were analyzed using various analytical techniques, such as surface analyses, potentiodynamic polarization and cell culture. Experimental results indicated that a rough morphology was observed on the Ti substrate surface modified by ECRIS plasma ions. The in vitro electrochemical measurement results also indicated that the Ca + Si ion-implanted surface had a more beneficial and desired behavior than the pristine Ti substrate. Compared to the pristine Ti substrate, all ion-implanted samples had a lower hemolysis ratio. MG63 cells cultured on the high Ca and dual Ca + Si ion-implanted surfaces revealed significantly greater cell viability in comparison to the pristine Ti substrate. In conclusion, surface modification by electron cyclotron resonance Ca and Si ion sources could be an effective method for Ti implants.

  7. Influence of Si addition on the microstructure and mechanical properties of Ti-35Nb alloy for applications in orthopedic implants.

    Science.gov (United States)

    Tavares, A M G; Ramos, W S; de Blas, J C G; Lopes, E S N; Caram, R; Batista, W W; Souza, S A

    2015-11-01

    In the development of new materials for orthopedic implants, special attention has been given to Ti alloys that show biocompatible alloy elements and that are capable of reducing the elastic modulus. Accordingly, Ti-Nb-Si alloys show great potential for application. Thus, this is a study on the microstructures and properties of Ti-35Nb-xSi alloys (x=0, 0.15, 0.35 and 0.55) (wt%) which were thermally treated and cooled under the following conditions: furnace cooling (FC), air cooling (AC), and water quenching (WQ). The results showed that Si addition is effective to reduce the density of omega precipitates making beta more stable, and to produce grain refinement. Silicides, referred as (Ti,Nb)3Si, were formed for alloys containing 0.55% Si, and its formation presumably occurred during the heating at 1000°C. In all cooling conditions, the hardness values increased with the increasing of Si content, as a result from the strong Si solid solution strengthening effect, while the elastic modulus underwent a continuous reduction due to the reduction of omega precipitates in beta matrix. Lower elastic moduli were observed in water-quenched alloys, which concentration of 0.15% Si was more effective in their reduction, with value around 65 GPa. Regarding Ti-35Nb-xSi alloys (x=0, 0.15 and 0.35), the "double yield point" phenomenon, which is typical of alloys with shape memory effect, was observed. The increase in Si concentration also produced an increase from 382 MPa to 540 MPa in the alloys' mechanical strength. Ti-35Nb-0.55Si alloy, however, showed brittle mechanical behavior which was related to the presence of silicides at the grain boundary. Copyright © 2015 Elsevier Ltd. All rights reserved.

  8. Effects of 6 MeV electron irradiation on the electrical properties and device parameters of Al/Al{sub 2}O{sub 3}/TiO{sub 2}/n-Si MOS capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Laha, P.; Banerjee, I.; Barhai, P.K. [Department of Applied Physics, Birla Institute of Technology, Mesra, Ranchi 835215 (India); Das, A.K. [Laser and Plasma Technology Division, Bhabha Atomic Research Center, Mumbai 400085 (India); Bhoraskar, V.N. [Department of Physics, University of Pune, Ganeshkhind, Pune 411007 (India); Mahapatra, S.K., E-mail: skm@physics.ucla.edu [Department of Applied Physics, Birla Institute of Technology, Mesra, Ranchi 835215 (India)

    2012-07-15

    Highlights: Black-Right-Pointing-Pointer The electron irradiation effects make variation in the device parameters. Black-Right-Pointing-Pointer The device parameters changes due to percentage of defects and charge trapping. Black-Right-Pointing-Pointer Leakage current of Al/Al{sub 2}O{sub 3}/TiO{sub 2}/n-Si changes due to interface dangling bonds. Black-Right-Pointing-Pointer The leakage current mechanism of MOS structures is due to Poole-Frenkel effect. - Abstract: The effects of 6 MeV electron irradiation on the electrical properties and device parameter characteristics of Al/Al{sub 2}O{sub 3}/TiO{sub 2}/n-Si metal-oxide-semiconductor capacitors have been studied. Twelve Al/Al{sub 2}O{sub 3}/TiO{sub 2}/n-Si MOS capacitors were fabricated using r.f. magnetron sputtering and divided into four groups. The first group was not irradiated and treated as virgin. The rest were irradiated with 6 MeV electrons at doses 10, 20, and 30 kGy, maintaining the dose rate at {approx}1 kGy/min. Variations in crystallinity of the virgin and irradiated capacitors were studied using grazing incident X-ray diffraction. The thickness and in-depth elemental distributions of individual layers were determined using secondary ion mass apectrometry. Capacitance-voltage, conductance-voltage and leakage current-voltage characteristics of the virgin and irradiated samples were studied. The device parameters (flat band voltage, surface charge density and interface trap density of the virgin and irradiated structures) were determined. The electrical properties of the capacitors were investigated and the Poole-Frenkel coefficient of the capacitors was determined from leakage current measurements. The leakage current mechanism has been explained.

  9. Electronic structure of xenon implanted with low energy in amorphous silicon

    International Nuclear Information System (INIS)

    Barbieri, P.F.; Landers, R.; Oliveira, M.H. de; Alvarez, F.; Marques, F.C.

    2007-01-01

    Electronic structure of Xe implanted in amorphous silicon (a-Si) films are investigated. Xe atoms were implanted with low energy by ion beam assisted deposition (IBAD) technique during growth of the a-Si films. The Xe implantation energy varied in the 0-300 eV energy range. X-ray photoelectron spectroscopy (XPS), X-ray Auger excited spectroscopy (XAES) and X-ray absorption spectroscopy (XAS) were used for investigating the Xe electronic structure. The Xe M 4 N 45 N 45 transitions were measured to extract the Auger parameter and to analyze the initial state and relaxation contributions. It was found that the binding energy variation is mainly due to initial state contribution. The relaxation energy variation also shows that the Xe trapped environment depends on the implantation energy. XAS measurements reveals that Xe atoms are dispersed in the a-Si matrix

  10. Implantation of β-emitters on biomedical implants: 32 P isotropic ion implantation using a coaxial plasma reactor

    International Nuclear Information System (INIS)

    Fortin, M.A.; Paynter, R.W.; Sarkissian, A.; Stansfield, B.L.; Terreault, B.; Dufresne, V.

    2003-01-01

    The development of endovascular brachytherapy and the treatment of certain types of cancers (liver, lung, prostate) often require the use of beta-emitters, sometimes in the form of radioisotope-implanted devices. Among the most commonly used isotopes figures 32 P, a pure beta-emitter (maximum energy: 1.7 MeV), of which the path in biological tissues is of a few cm, restricting the impact of electron bombardment to the immediate environment of the implant. Several techniques and processes have been tried to elaborate surfaces and devices showing strongly bonded, or implanted 32 P. Anodizing, vapor phase deposition, grafting of oligonucleotides, as well as ion implantation processes have been investigated by several research groups as methods to implant beta-radioisotopes into surfaces. A coaxial plasma reactor was developed at INRS to implant radioisotopes into cylindrical metallic objects, such as coronary stents commonly used in angioplasty procedures. The dispersion of 32 P atoms on the interior surfaces of the chamber can be investigated using radiographs, contributing to image the plasma ion transport mechanisms that guide the efficiency of the implantation procedure. The amount of radioactivity on the wall liner, on the internal components, and on the biomedical implants are quantified using a surface barrier detector. A comparative study establishes a relationship between the gray scale of the radiographs, and dose measurements. A program was developed to convert the digitized images into maps showing surface dose density in mCi/cm 2 . An integration process allows the quantification of the doses on the walls and components of the reactor. Finally, the resulting integral of the 32 P dose is correlated to the initial amount of radioactivity inserted inside the implanter before the dismantling procedure. This method could be introduced as a fast and reliable way to test, qualify and assess the amount of radioactivity present on the as-produced implants

  11. Characterization of the crystalline quality of β-SiC formed by ion beam synthesis

    International Nuclear Information System (INIS)

    Intarasiri, S.; Hallen, A.; Kamwanna, T.; Yu, L.D.; Possnert, G.; Singkarat, S.

    2006-01-01

    The ion beam synthesis (IBS) technique is applied to form crystalline silicon carbide (SiC) for future optoelectronics applications. Carbon ions at 80 and 40 keV were implanted into (1 0 0) high-purity p-type silicon wafers at room temperature and 400 deg. C, respectively, to doses in excess of 10 17 ions/cm 2 . Subsequent thermal annealing of the implanted samples was performed in a vacuum furnace at temperatures of 800, 900 and 1000 deg. C, respectively. Elastic recoil detection analysis was used to investigate depth distributions of the implanted ions and infrared transmittance (IR) measurement was used to characterize formation of SiC in the implanted Si substrate. Complementary to IR, Raman scattering measurements were also carried out. Levels of the residual damage distribution of the samples annealed at different temperatures were compared with that of the as-implanted one by Rutherford backscattering spectrometry (RBS) in the channeling mode. The results show that C-ion implantation at the elevated temperature, followed by high-temperature annealing, enhances the synthesis of crystalline SiC

  12. In vivo study of nanostructured diopside (CaMgSi{sub 2}O{sub 6}) coating on magnesium alloy as biodegradable orthopedic implants

    Energy Technology Data Exchange (ETDEWEB)

    Razavi, Mehdi, E-mail: mrzavi2659@gmail.com [Biomaterials Research Group, Department of Materials Engineering, Isfahan University of Technology, Isfahan 84156-83111 (Iran, Islamic Republic of); Torabinejad Dental Research Center, School of Dentistry, Isfahan University of Medical Sciences, Isfahan 81746-73461 (Iran, Islamic Republic of); School of Materials Science and Engineering, Helmerich Advanced Technology Research Center, Oklahoma State University, Tulsa, OK 74106 (United States); School of Electrical and Computer Engineering, Helmerich Advanced Technology Research Center, Oklahoma State University, Tulsa, OK 74106 (United States); Fathi, Mohammadhossein [Biomaterials Research Group, Department of Materials Engineering, Isfahan University of Technology, Isfahan 84156-83111 (Iran, Islamic Republic of); Dental Materials Research Center, Isfahan University of Medical Sciences, Isfahan (Iran, Islamic Republic of); Savabi, Omid [Torabinejad Dental Research Center, School of Dentistry, Isfahan University of Medical Sciences, Isfahan 81746-73461 (Iran, Islamic Republic of); Razavi, Seyed Mohammad [School of Dentistry, Isfahan University of Medical Sciences, Isfahan 81746-73461 (Iran, Islamic Republic of); Heidari, Fariba; Manshaei, Maziar [Torabinejad Dental Research Center, School of Dentistry, Isfahan University of Medical Sciences, Isfahan 81746-73461 (Iran, Islamic Republic of); Vashaee, Daryoosh [School of Electrical and Computer Engineering, Helmerich Advanced Technology Research Center, Oklahoma State University, Tulsa, OK 74106 (United States); Tayebi, Lobat, E-mail: lobat.tayebi@okstate.edu [School of Materials Science and Engineering, Helmerich Advanced Technology Research Center, Oklahoma State University, Tulsa, OK 74106 (United States); School of Chemical Engineering, Oklahoma State University, Stillwater, OK 74078 (United States)

    2014-09-15

    Highlights: • In vitro biocompatibility of biodegradable Mg alloy was improved by diopside coating. • In vivo biocompatibility of biodegradable Mg alloy was improved by diopside coating. • Degradation behavior of biodegradable Mg alloy was improved by diopside coating. - Abstract: In order to improve the corrosion resistance and bioactivity of a biodegradable magnesium alloy, we have recently prepared a nanostructured diopside (CaMgSi{sub 2}O{sub 6}) coating on AZ91 magnesium alloy through a combined micro-arc oxidation (MAO) and electrophoretic deposition (EPD) method (reported elsewhere). In this work, we performed a detailed biocompatibility analysis of the implants made by this material and compared their performance with those of the uncoated and micro arc oxidized magnesium implants. The biocompatibility evaluation of samples was performed by culturing L-929 cells and in vivo animal study, including implantation of samples in greater trochanter of rabbits, radiography and histological examinations. The results from both the in vitro and in vivo studies indicated that the diopside/MAO coated magnesium implant significantly enhanced cell viability, biodegradation resistance and new bone formation compared with both the uncoated and the micro-arc oxidized magnesium implants. Our data provides an example of how the proper surface treatment of magnesium implants can overcome their drawbacks in terms of high degradation rate and gas bubble formation under physiological conditions.

  13. Application of a Pelletron accelerator to study total dose radiation effects on 50 GHz SiGe HBTs

    Energy Technology Data Exchange (ETDEWEB)

    Praveen, K.C.; Pushpa, N.; Naik, P.S. [Department of Studies in Physics, University of Mysore, Manasagangotri, Mysore 570 006 (India); Cressler, John D. [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA (United States); Tripathi, Ambuj [Inter University Accelerator Centre (IUAC), New Delhi 110 067 (India); Gnana Prakash, A.P., E-mail: gnanaprakash@physics.uni-mysore.ac.in [Department of Studies in Physics, University of Mysore, Manasagangotri, Mysore 570 006 (India)

    2012-02-15

    Highlights: Black-Right-Pointing-Pointer Total dose effects of 50 MeV Li3+ ion on 50 GHz SiGe HBTs is investigated. Black-Right-Pointing-Pointer Ion irradiated results were compared with Co-60 gamma results. Black-Right-Pointing-Pointer 50 MeV Li ions create more damage in E-B spacer oxide when compared to Co-60 gamma radiation. Black-Right-Pointing-Pointer Co-60 gamma radiation create more damage in STI oxide when compared to 50 MeV Li ions. Black-Right-Pointing-Pointer Worst case total dose radiation effects can be studied using Pelletron accelerator facilities. - Abstract: We have investigated the effects of 50 MeV lithium ion irradiation on the DC electrical characteristics of first-generation silicon-germanium heterojunction bipolar transistors (50 GHz SiGe HBTs) in the dose range of 600 krad to 100 Mrad. The results of 50 MeV Li{sup 3+} ion irradiation on the SiGe HBTs are compared with 63 MeV proton and Co-60 gamma irradiation results in the same dose range in order to understand the damage induced by different LET species. The radiation response of emitter-base (EB) spacer oxide and shallow trench isolation (STI) oxide to different irradiation types are discussed in this paper. We have also focused on the efficacy in the application of a Pelletron accelerator to study total dose irradiation studies in SiGe HBTs.

  14. The role of the substrate in the high energy boron implantation damage recovering

    International Nuclear Information System (INIS)

    Mica, I.; Di Piazza, L.; Laurin, L.; Mariani, M.; Mauri, A.G.; Polignano, M.L.; Ricci, E.; Sammiceli, F.; Spoldi, G.

    2009-01-01

    In this work the role of the Si substrate in the high energy boron implantation damage recovering is studied. The boron implants were carried out in Czochralski grown (1 0 0) polished Si substrates as well as in epitaxial Si layers grown on (1 0 0) Si by chemical vapor deposition. The boron implantation dose was 2 x 10 14 cm -2 and the implantation energy was 600 keV. The recovery annealing was a furnace annealing at 1000 deg. C for 40 min. The defects formed by high energy boron implantation have been observed with transmission electron microscopy (TEM). In order to increase the statistics some junctions were formed on the buried p-well and electrically characterized. For the epitaxial wafers it was found that the number and the size of the dislocations change according to the doping of the substrate. For the Czochralski wafers it was found that the morphology and the size of the dislocations change according to the presence of the wafer pre-annealing (whether conventional furnace annealing or Magic Denuded Zone process).

  15. Promising Ta-Ti-Zr-Si metallic glass coating without cytotoxic elements for bio-implant applications

    Science.gov (United States)

    Lai, J. J.; Lin, Y. S.; Chang, C. H.; Wei, T. Y.; Huang, J. C.; Liao, Z. X.; Lin, C. H.; Chen, C. H.

    2018-01-01

    Tantalum (Ta) is considered as one of the most promising metal due to its high corrosion resistance, excellent biocompatibility and cell adhesion/in-growth capabilities. Although there are some researches exploring the biomedical aspects of Ta and Ta based alloys, systematic characterizations of newly developed Ta-based metallic glasses in bio-implant applications is still lacking. This study employs sputtering approach to produced thin-film Ti-based metallic glasses due to the high melting temperature of Ta (3020 °C). Two fully amorphous Ta-based metallic glasses composed of Ta57Ti17Zr15Si11 and Ta75Ti10Zr8Si7 are produced and experimentally characterized in terms of their mechanical properties, bio-corrosion properties, surface hydrophilic characteristics, and in-vitro cell viability and cells attachment tests. Compare to conventional pure Ti and Ta metals, the developed Ta-based metallic glasses exhibit higher hardness and lower modulus which are better match to the mechanical properties of bone. MTS assay results show that Ta-based metallic glasses show comparable cell viability and cell attachment rate compared to that of pure Ti and Ta surface in a 72 h in-vitro test.

  16. Effect of BaSi2 template growth duration on the generation of defects and performance of p-BaSi2/n-Si heterojunction solar cells

    Science.gov (United States)

    Yachi, Suguru; Takabe, Ryota; Deng, Tianguo; Toko, Kaoru; Suemasu, Takashi

    2018-04-01

    We investigated the effect of BaSi2 template growth duration (t RDE = 0-20 min) on the defect generation and performance of p-BaSi2/n-Si heterojunction solar cells. The p-BaSi2 layer grown by molecular beam epitaxy (MBE) was 15 nm thick with a hole concentration of 2 × 1018 cm-3. The conversion efficiency η increased for films grown at long t RDE, owing to improvements of the open-circuit voltage (V OC) and fill factor (FF), reaching a maximum of η = 8.9% at t RDE = 7.5 min. However, η decreased at longer and shorter t RDE owing to lower V OC and FF. Using deep-level transient spectroscopy, we detected a hole trap level 190 meV above the valence band maximum for the sample grown without the template (t RDE = 0 min). An electron trap level 106 meV below the conduction band minimum was detected for a sample grown with t RDE = 20 min. The trap densities for both films were (1-2) × 1013 cm-3. The former originated from the diffusion of Ba into the n-Si region; the latter originated from defects in the template layer. The crystalline qualities of the template and MBE-grown layers were discussed. The root-mean-square surface roughness of the template reached a minimum of 0.51 nm at t RDE = 7.5 min. The a-axis orientation of p-BaSi2 thin films degraded as t RDE exceeded 10 min. In terms of p-BaSi2 crystalline quality and solar cell performance, the optimum t RDE was determined to be 7.5 min, corresponding to approximately 4 nm in thickness.

  17. Integrated picosecond photoconductors produced on bulk Si substrates

    International Nuclear Information System (INIS)

    Hammond, R.B.; Paulter, N.G.; Wagner, R.S.; Eisenstadt, W.R.

    1984-01-01

    We report optoelectronic cross-correlation measurements of the response of photoconductor pulsers and sampling gates formed on Si wafers. These photoconductors were fabricated with standard integrated circuit fabrication techniques followed by shadow-masked ion beam irradiation. Successful ion beam irradiations were performed with 2 MeV 2 H, 6 MeV He, and 30 MeV O with doses of 10 15 ion/cm 2 . Deep damage was necessary to eliminate long-lived background currents in the cross correlations. Carrier lifetimes of 96, 47, and 29 ps were observed in photoconductors with carrier mobilities of approx.250 cm 2 /Vs

  18. A simulation study of 6H-SiC Schottky barrier source/drain MOSFET

    International Nuclear Information System (INIS)

    Wang Yuan; Zhang Yimen; Zhang Yuming; Tang Xiaoyan

    2003-01-01

    A novel SiC metal-oxide-semiconductor field-effect transistor (SiC SBSD-MOSFET) with Schottky barrier contacts for source and drain is presented in this paper. This kind of device gives a fabrication advantage of avoiding the steps of ion implantation and annealing at high temperatures of the conventional SiC MOSFET. Also it has no problems of crystal damage caused by ion implantation and low activation rate of implanted atoms. The operational mechanism of this device is analyzed and its characteristics are comparable to the conventional SiC MOSFET from the simulation with MEDICI. The effects of different metal workfunctions, oxide thickness, and gate length on the device performance are discussed

  19. The analysis of air particulate deposits using 2 MeV protons

    International Nuclear Information System (INIS)

    Barfoot, K.M.; Mitchell, I.V.; Eschbach, H.L.; Mason, P.I.; Gilboy, W.B.

    1979-01-01

    Particle-induced X-ray emission (PIXE) analysis of the lighter elements in time-resolved air particulate deposits has been carried out. Minimum detection limits have been determined for 1.0, 2.0 and 3.5 MeV protons. Quantitative PIXE analysis results, obtained with 2 MeV protons, are given for temporal variations in the elemental concentrations of Na, Al, Si, S, Cl, K, Ca and Fe. Rutherford backscattering (RBS) spectra were taken simultaneously with the PIXE spectra to provide information on lead concentrations and deposit thicknesses. The experimental problems associated with the quantitative analysis of light elements on cellulose acetate filters are described. The relationship between these results and meteorological data is discussed. (author)

  20. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  1. Formation of hydrogen-related shallow donors in Ge1-xSix crystals implanted with protons

    International Nuclear Information System (INIS)

    Pokotilo, Yu.M.; Petukh, A.N.; Litvinov, V.V.; Markevich, V.P.; Peaker, A.R.; Abrosimov, N.A.

    2007-01-01

    It is found that shallow hydrogen-related donors are formed in the proton-implanted dilute Ge 1-x Si x alloys (0≤x≤0.031) as well as in Si-free Ge samples upon heat-treatments in the temperature range 225-300 degrees centigrade. The maximum concentration of the donors is about 1.5·10 16 cm -3 for a H + implantation dose of 10 15 cm -2 . Formation and annihilation temperatures of the proton-implantation-induced donors do not depend on the Si concentration in Ge 1-x Si x samples. However, the increase in Si content has resulted in a decrease of the concentration of the H-related donors. The possible origin of the H-related donors and mechanisms of Si-induced suppression of their formation are discussed. (authors)

  2. The influence of nitrogen implantation on the electrical properties of amorphous IGZO

    Science.gov (United States)

    Zhan, S. L.; Zhao, M.; Zhuang, D. M.; Fu, E. G.; Cao, M. J.; Guo, L.; Ouyang, L. Q.

    2017-09-01

    In this study, nitrogen (N) implantation was adopted to regulate the carrier concentration and the Hall mobility of amorphous Indium Gallium Zinc Oxide (a-IGZO) films. The Hall Effect measurement demonstrates that the increase of implantation fluence can decrease the carrier concentration of a-IGZO by three orders to 1016 cm-3, which attributes to the reduction of oxygen defects. The addition of nitrogen atoms can result in the increase of Hall mobility to 9.93 cm2/V s with the subsequent decrease to 6.49 cm2/V s, which reflects the reduction of the average potential barrier height (φ0) to be 22.0 meV with subsequent increase to 74.8 meV in the modified percolation model. The results indicate that nitrogen can serve as an effective p-type dopants and oxygen defect suppressors. N-implantation with an appropriate fluence can effectively improve the Hall mobility and reduce the carrier concentration simultaneously.

  3. A new Fe–Mn–Si alloplastic biomaterial as bone grafting material: In vivo study

    Energy Technology Data Exchange (ETDEWEB)

    Fântânariu, Mircea, E-mail: mfantanariu@uaiasi.ro [“Ion Ionescu de la Brad” University of Agricultural Sciences and Veterinary Medicine, Faculty of Veterinary Medicine, Str. Aleea M. Sadoveanu, no. 8, 700489, Iasi (Romania); Trincă, Lucia Carmen, E-mail: lctrinca@uaiasi.ro [“Ion Ionescu de la Brad” University of Agricultural Sciences and Veterinary Medicine, Faculty of Horticulture, Str. Aleea M. Sadoveanu, no. 3, 700490, Iasi (Romania); Solcan, Carmen, E-mail: csolcan@yahoo.com [“Ion Ionescu de la Brad” University of Agricultural Sciences and Veterinary Medicine, Faculty of Veterinary Medicine, Str. Aleea M. Sadoveanu, no. 8, 700489, Iasi (Romania); Trofin, Alina, E-mail: aetrofin@yahoo.com [“Ion Ionescu de la Brad” University of Agricultural Sciences and Veterinary Medicine, Faculty of Horticulture, Str. Aleea M. Sadoveanu, no. 3, 700490, Iasi (Romania); Strungaru, Ştefan, E-mail: strungaru_stefan@yahoo.com [“Alexandru Ioan Cuza” University, Faculty of Biology, Bulevardul Carol I, Nr.11, 700506, Iasi (Romania); Şindilar, Eusebiu Viorel, E-mail: esindilar@uaiasi.ro [“Ion Ionescu de la Brad” University of Agricultural Sciences and Veterinary Medicine, Faculty of Veterinary Medicine, Str. Aleea M. Sadoveanu, no. 8, 700489, Iasi (Romania); Plăvan, Gabriel, E-mail: gabriel.plavan@uaic.ro [“Alexandru Ioan Cuza” University, Faculty of Biology, Bulevardul Carol I, Nr.11, 700506, Iasi (Romania); and others

    2015-10-15

    Highlights: • A Fe–Mn–Si alloy was obtained as alloplastic graft material for bone implants. • Fe–Mn–Si alloy degradation rate was preliminary evaluate with SEM and EDAX techniques. • Biochemical, histological, RX and CT investigations were done in rats with subcutaneous and tibiae implants. • Fe–Mn–Si alloy assured an ideal compromise between degradation and mechanical integrity during bone regeneration. - Abstract: Designing substrates having suitable mechanical properties and targeted degradation behavior is the key's development of bio-materials for medical application. In orthopedics, graft material may be used to fill bony defects or to promote bone formation in osseous defects created by trauma or surgical intervention. Incorporation of Si may increase the bioactivity of implant locally, both by enhancing interactions at the graft–host interface and by having a potential endocrine like effect on osteoblasts. A Fe–Mn–Si alloy was obtained as alloplastic graft materials for bone implants that need long recovery time period. The surface morphology of the resulted specimens was investigated using scanning electrons microscopy (VegaTescan LMH II, SE detector, 30 kV), X-ray diffractions (X’Pert equipment) or X-ray dispersive energy analyze (Bruker EDS equipment). This study objective was to evaluate in vivo the mechanisms of degradation and the effects of its implantation over the main metabolic organs. Biochemical, histological, plain X radiography and computed tomography investigations showed good compatibility of the subcutaneous implants in the rat organism. The implantation of the Fe–Mn–Si alloy, in critical size bone (tibiae) defect rat model, did not induced adverse biological reactions and provided temporary mechanical support to the affected bone area. The biodegradation products were hydroxides layers which adhered to the substrate surface. Fe–Mn–Si alloy assured the mechanical integrity in rat tibiae defects

  4. Ultra-low-energy ion-beam synthesis of nanometer-separated Si nanoparticles and Ag nanocrystals 2D layers

    Science.gov (United States)

    Carrada, M.; Haj Salem, A.; Pecassou, B.; Paillard, V.; Ben Assayag, G.

    2018-03-01

    2D networks of Si and Ag nanocrystals have been fabricated in the same SiO2 matrix by Ultra-Low-Energy Ion-Beam-Synthesis. Our synthesis scheme differs from a simple sequential ion implantation and its key point is the control of the matrix integrity through an appropriate intermediate thermal annealing. Si nanocrystal layer is synthesised first due to high thermal budget required for nucleation, while the second Ag nanocrystal plane is formed during a subsequent implantation due to the high diffusivity of Ag in silica. The aim of this work is to show how it is possible to overcome the limitation related to ion mixing and implantation damage to obtain double layers of Si-NCs and Ag-NCs with controlled characteristics. For this, we take advantage of annealing under slight oxidizing ambient to control the oxidation of Si-NCs and the Si excess in the matrix. The nanocrystal characteristics and in particular their position and size can be adjusted thanks to a compromise between the implantation energy, the implanted dose for both Si and Ag ions and the intermediate annealing conditions (atmosphere, temperature and duration).

  5. Method for Providing Semiconductors Having Self-Aligned Ion Implant

    Science.gov (United States)

    Neudeck, Philip G. (Inventor)

    2014-01-01

    A method is disclosed that provides a self-aligned nitrogen-implant particularly suited for a Junction Field Effect Transistor (JFET) semiconductor device preferably comprised of a silicon carbide (SiC). This self-aligned nitrogen-implant allows for the realization of durable and stable electrical functionality of high temperature transistors such as JFETs. The method implements the self-aligned nitrogen-implant having predetermined dimensions, at a particular step in the fabrication process, so that the SiC junction field effect transistors are capable of being electrically operating continuously at 500.degree. C. for over 10,000 hours in an air ambient with less than a 10% change in operational transistor parameters.

  6. Formation of copper silicides by high dose metal vapor vacuum arc ion implantation

    International Nuclear Information System (INIS)

    Rong Chun; Zhang Jizhong; Li Wenzhi

    2003-01-01

    Si(1 1 1) was implanted by copper ions with different doses and copper distribution in silicon matrix was obtained. The as-implanted samples were annealed at 300 and 540 deg. C, respectively. Formation of copper silicides in as-implanted and annealed samples were studied. Thermodynamics and kinetics of the reaction were found to be different from reaction at copper-silicon interface that was applied in conventional studies of copper-silicon interaction. The defects in silicon induced by implantation and formation of copper silicides were recognized by Si(2 2 2) X-ray diffraction (XRD)

  7. A new study of 25Mg(α, n)28Si angular distributions at Eα =3-5 MeV

    International Nuclear Information System (INIS)

    Caciolli, A.; Marchi, T.; Depalo, R.; Collazuol, G.; Montagnoli, G.; Appannababu, S.; Cinausero, M.; Gramegna, F.; Mastinu, P.; Rossi Alvarez, C.; Rigato, V.; Blasi, N.; Wieland, O.; Broggini, C.; Fabris, D.; Menegazzo, R.; Degerlier, M.; Leone, M.

    2014-01-01

    The observation of 26 Al gives us the proof of active nucleosynthesis in the Milky Way. However the identification of the main producers of 26 Al is still a matter of debate. Many sites have been proposed, but our poor knowledge of the nuclear processes involved introduces high uncertainties. In particular, the limited accuracy on the 25 Mg(α, n) 28 Si reaction cross section has been identified as the main source of nuclear uncertainty in the production of 26 Al in C/Ne explosive burning in massive stars, which has been suggested to be the main source of 26 Al in the Galaxy. We studied this reaction through neutron spectroscopy at the CN Van de Graaff accelerator of the Legnaro National Laboratories. Thanks to this technique we are able to discriminate the (α, n) events from possible contamination arising from parasitic reactions. In particular, we measured the neutron angular distributions at 5 different beam energies (between 3 and 5 MeV) in the 17.5 circle - 106 circle laboratory system angular range. The presented results disagree with the assumptions introduced in the analysis of a previous experiment. (orig.)

  8. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  9. Effect of the energy of recoil atoms on conductivity compensation in moderately doped n-Si and n-SiC under irradiation with MeV electrons and protons

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovski, V.V. [St. Petersburg State Polytechnic University, St. Petersburg 195251 (Russian Federation); Lebedev, A.A., E-mail: shura.lebe@mail.ioffe.ru [Ioffe Physical Technical Institute, Russian Academy of Sciences, St. Petersburg 194021 (Russian Federation); National Research University of Information Technologies, Mechanics, and Optics, St. Petersburg 197101 (Russian Federation); Emtsev, V.V.; Oganesyan, G.A. [Ioffe Physical Technical Institute, Russian Academy of Sciences, St. Petersburg 194021 (Russian Federation)

    2016-10-01

    Processes of radiation defect formation and conductivity compensation in silicon and silicon carbide irradiated with 0.9 MeV electrons are considered in comparison with the electron irradiation at higher energies. The experimental values of the carrier removal rate at the electron energy of 0.9 MeV are nearly an order of magnitude smaller than the similar values of the parameter for higher energy electrons (6–9 MeV). At the same time, the formation cross-section of primary radiation defects (Frenkel pairs, FPs) is nearly energy-independent in this range. It is assumed that these differences are due to the influence exerted by the energy of primary knocked-on atoms (PKAs). As the PKA energy increases, the average distance between the genetically related FPs grows and, as a consequence, the fraction of FPs unrecombined under irradiation becomes larger. The FP recombination radius is estimated (∼1.1 nm), which makes it possible to ascertain the charge state of the recombining components. Second, the increase in the PKA energy enables formation of new, more complex secondary radiation defects. At electron energies exceeding 15 MeV, the average PKA energies are closer to the values obtained under irradiation with 1 MeV protons, compared with an electron irradiation at the same energy. As for the radiation-induced defect formation, the irradiation of silicon with MeV protons can be, in principle, regarded as a superposition of the irradiation with 1 MeV electrons and that with silicon ions having energy of ∼1 keV, with the “source” of silicon ions generating these ions uniformly across the sample thickness.

  10. Formation of shallow junctions for VLSI by ion implantation and rapid thermal annealing

    International Nuclear Information System (INIS)

    Oeztuerk, M.C.

    1988-01-01

    In this work, several techniques were studied to form shallow junctions in silicon by ion implantation. These include ion implantation through thin layers of silicon dioxide and ion implantation through a thick polycrystalline silicon layer. These techniques can be used to reduce the junction depth. Their main disadvantage is dopant loss in the surface layer. As an alternative, preamorphization of the Si substrate prior to boron implantation to reduce boron channeling was investigated. The disadvantage of preamorphization is the radiation damage introduced into the Si substrate using the implant. Preamorphization by silicon self-implantation has been studied before. The goal of this study was to test Ge as an alternative amorphizing agent. It was found that good-quality p + -n junctions can be formed by both boron and BF 2 ion implantation into Ge-preamorphized Si provided that the preamorphization conditions are optimized. If the amorphous crystalline interface is sufficiently close to the surface, it is possible to completely remove the end-of-range damage. If these defects are not removed and are left in the depletion region, they can result in poor-quality, leaky junctions

  11. Photoemission studies of amorphous silicon induced by P + ion implantation

    Science.gov (United States)

    Petö, G.; Kanski, J.

    1995-12-01

    An amorphous Si layer was formed on a Si (1 0 0) surface by P + implantation at 80 keV. This layer was investigated by means of photoelectron spectroscopy. The resulting spectra are different from earlier spectra on amorphous Si prepared by e-gun evaporation or cathode sputtering. The differences consist of a decreased intensity in the spectral region corresponding to p-states, and appearace of new states at higher binding energy. Qualitativity similar results have been reported for Sb implanted amorphous Ge and the modification seems to be due to the changed short range order.

  12. 100 MeV silver ions induced defects and modifications in silica glass

    Energy Technology Data Exchange (ETDEWEB)

    Jadhav, Vijay S.; Deore, Avinash V.; Dahiwale, S.S. [Department of Physics, University of Pune, Pune 411007 (India); Kanjilal, D. [Inter University Accelerator Centre, New Delhi 110067 (India); Bhoraskar, V.N. [Department of Physics, University of Pune, Pune 411007 (India); Dhole, S.D., E-mail: sanjay@physics.unipune.ac.in [Department of Physics, University of Pune, Pune 411007 (India)

    2014-07-15

    Highlights: •Study of silver ion induced defects and modifications in silica glass. •Variation in oxygen deficiency centres (ODA-II) and nonbridging oxygen hole centres (NBOHC). •Study of structural damage in terms of Urbach energy. -- Abstract: A few silica glass samples having 1 cm{sup 2} area and 0.1 cm thickness were irradiated with 100 MeV energy Ag{sup 7+} ions for the fluences ranging from 1 × 10{sup 12} ions/cm{sup 2} to 5 × 10{sup 13} ions/cm{sup 2}. The optical properties and the corresponding induced defects were characterised by the techniques such as UV–Visible, Photoluminescence (PL), Fourier transform infrared (FTIR), and Electron spin resonance (ESR) spectroscopy. The UV–Visible absorption spectra show two peaks, one at 5 eV and another weak peak at 5.8 eV. A peak observed at 5.0 eV corresponds to B{sub 2} band (oxygen deficiency in SiO{sub 2} network) and the peak at 5.8 eV is due to the paramagnetic defects like E′ centre. The intensities of these peaks found to be increased with increase in ion fluence. It attributes to the increase in the concentration of E′ centres and B{sub 2} band respectively. In addition, the optical band gap energy, Urbach energy and the defects concentration have been calculated using Urbach plot. The optical band gap found to be decreased from 4.65 eV to 4.39 eV and the Urbach energy found to be increased from 60 meV to 162 meV. The defect concentration of nonbridging oxygen hole centres (NBOHC) and E′ centres are found to be increased to 1.69 × 10{sup 13} cm{sup −3} and 3.134 × 10{sup 14} cm{sup −3} respectively. In PL spectra, the peak appeared at 1.92 eV and 2.7 eV envisage the defects of nonbridging oxygen hole centres and B{sub 2α} oxygen deficient centres respectively. ESR spectra also confirms the existence of E′ and NBOHC centres. FTIR spectra shows scissioning of Si-O-Si bonds and the formation of Si-H and Si-OH bonds, which supports to the co-existence of the defects induced by Ag

  13. Synergistic effect of displacement damage, helium and hydrogen on microstructural change of SiC/SiC composites fabricated by reaction bonding process

    Energy Technology Data Exchange (ETDEWEB)

    Taguchi, T.; Igawa, N.; Wakai, E.; Jitsukawa, S. [Japan Atomic Energy Agency, Naga-gun, Ibaraki-ken (Japan); Hasegawa, A. [Tohoku Univ., Dept. of Quantum Science and Energy Engr., Sendai (Japan)

    2007-07-01

    Full text of publication follows: Continuous silicon carbide (SiC) fiber reinforced SiC matrix (SiC/SiC) composites are known to be attractive candidate materials for first wall and blanket components in fusion reactors. In the fusion environment, helium and hydrogen are produced and helium bubbles can be formed in the SiC by irradiation of 14-MeV neutrons. Authors reported the synergistic effect of helium and hydrogen as transmutation products on swelling behavior and microstructural change of the SiC/SiC composites fabricated by chemical vapor infiltration (CVI) process. Authors also reported about the fabrication of high thermal conductive SiC/SiC composites by reaction bonding (RB) process. The matrix fabricated by RB process has different microstructures such as bigger grain size of SiC and including Si phase as second phase from that by CVI process. It is, therefore, investigated the synergistic effect of displacement damage, helium and hydrogen as transmutation products on the microstructure of SiC/SiC composite by RB process in this study. The SiC/SiC composites by RB process were irradiated by the simultaneous triple ion irradiation (Si{sup 2+}, He{sup +} and H{sup +}) at 800 and 1000 deg. C. The displacement damage was induced by 6.0 MeV Si{sup 2+} ion irradiation up to 10 dpa. The microstructures of irradiated SiC/SiC composites by RB process were observed by TEM. The double layer of carbon and SiC as interphase between fiber and matrix by a chemical vapor deposition (CVD) was coated on SiC fibers in the SiC/SiC composites by RB process. The TEM observation revealed that He bubbles were formed both in the matrix by RB and SiC interphase by CVD process. Almost all He bubbles were formed at the grain boundary in SiC interphase by CVD process. On the other hand, He bubbles were formed both at the grain boundary and in Si grain of the matrix by RB process. The average size of He bubbles in the matrix by RB was smaller than that in SiC interphase by CVD

  14. Additional evaluation of alpha induced neutron production nuclear data. 9Be, 27Al, 28,29,30Si

    International Nuclear Information System (INIS)

    Murata, Toru; Shibata, Keiichi

    2005-01-01

    Alpha particle induced neutron production cross sections, emitted neutron energy spectrum and angular distributions were evaluated for the target nucleus 9 Be, 27 Al and Si isotopes; 28 Si, 29 Si and 30 Si in the incident energy region below 15 MeV. (author)

  15. Revisited study of fluorine implantation impact on negative bias temperature instability for input/output device of automotive micro controller unit

    Science.gov (United States)

    Yoshida, Tetsuya; Maekawa, Keiichi; Tsuda, Shibun; Shimizu, Tatsuo; Ogasawara, Makoto; Aono, Hideki; Yamaguchi, Yasuo

    2018-04-01

    We investigate the effect of fluorine implanted in the polycrystalline silicon (poly-Si) gate and source/drain (S/D) region on negative bias temperature instability (NBTI) improvement. It is found that there is a trade-off implantation energy dependence of NBTI between fluorine in the poly-Si gate and that in the S/D region. Fluorine implanted in the poly-Si gate contributes to NBTI improvement under low energy implantation. On the other hand, NBTI is improved by fluorine implanted in the S/D region under high energy. We propose that the two-step implantation process with high and low energy is the optimum condition for NBTI improvement.

  16. Above room temperature ferromagnetism in Si:Mn and TiO(2-delta)Co.

    Science.gov (United States)

    Granovsky, A; Orlov, A; Perov, N; Gan'shina, E; Semisalova, A; Balagurov, L; Kulemanov, I; Sapelkin, A; Rogalev, A; Smekhova, A

    2012-09-01

    We present recent experimental results on the structural, electrical, magnetic, and magneto-optical properties of Mn-implanted Si and Co-doped TiO(2-delta) magnetic oxides. Si wafers, both n- and p-type, with high and low resistivity, were used as the starting materials for implantation with Mn ions at the fluencies up to 5 x 10(16) cm(-2). The saturation magnetization was found to show the lack of any regular dependence on the Si conductivity type, type of impurity and the short post-implantation annealing. According to XMCD Mn impurity in Si does not bear any appreciable magnetic moment at room temperature. The obtained results indicate that above room temperature ferromagnetism in Mn-implanted Si originates not from Mn impurity but rather from structural defects in Si. The TiO(2-delta):Co thin films were deposited on LaAlO3 (001) substrates by magnetron sputtering in the argon-oxygen atmosphere at oxygen partial pressure of 2 x 10(-6)-2 x 10(-4) Torr. The obtained transverse Kerr effect spectra at the visible and XMCD spectra indicate on intrinsic room temperature ferromagnetism in TiO(2-delta):Co thin films at low (< 1%) volume fraction of Co.

  17. Nanostructured Si-substituted hydroxyapatite coatings for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Rau, Julietta V., E-mail: giulietta.rau@ism.cnr.it [Istituto di Struttura della Materia, Consiglio Nazionale delle Ricerche, Via del Fosso del Cavaliere, 100-00133 Rome (Italy); Fosca, Marco [Istituto di Struttura della Materia, Consiglio Nazionale delle Ricerche, Via del Fosso del Cavaliere, 100-00133 Rome (Italy); Cacciotti, Ilaria [Università di Roma “Tor Vergata”, Dipartimento di Ingegneria Industriale,UR INSTM “Roma Tor Vergata”, Via del Politecnico, 1-00133 Rome (Italy); Laureti, Sara [Istituto di Struttura della Materia, Consiglio Nazionale delle Ricerche, Via Salaria km 29.300-00016 Monterotondo Scalo (RM) (Italy); Bianco, Alessandra [Università di Roma “Tor Vergata”, Dipartimento di Ingegneria Industriale,UR INSTM “Roma Tor Vergata”, Via del Politecnico, 1-00133 Rome (Italy); Teghil, Roberto [Università della Basilicata, Dipartimento di Scienze, Via dell' Ateneo Lucano 10-85100, Potenza (Italy)

    2013-09-30

    In the present work, the Si-HAp coatings were deposited on titanium substrates by Pulsed Laser Deposition technique. For deposition, the Si-HAp targets (1.4 wt.% of Si), produced starting from wet synthesized powders, were used. The properties of coatings were investigated by X-ray diffraction, Fourier transform infrared spectroscopy, scanning electron microscopy, atomic force microscopy and Vickers microhardness. The obtained Si-HAp coatings presented a nanosized structure, proper thickness and hardness for applications in orthopedical and dental surgery, aimed at improving the stability and the osteointegration of bone implants. - Highlights: ► Pulsed Laser Deposition method was applied to coat heated Titanium supports. ► Films were deposited using a target of Silicon-Hydroxyapatite sintered ceramics. ► Nanostructured crystalline hard film was grown replicating target composition. ► Prepared coating could be used for orthopedic and dental implants applications.

  18. Nanostructured Si-substituted hydroxyapatite coatings for biomedical applications

    International Nuclear Information System (INIS)

    Rau, Julietta V.; Fosca, Marco; Cacciotti, Ilaria; Laureti, Sara; Bianco, Alessandra; Teghil, Roberto

    2013-01-01

    In the present work, the Si-HAp coatings were deposited on titanium substrates by Pulsed Laser Deposition technique. For deposition, the Si-HAp targets (1.4 wt.% of Si), produced starting from wet synthesized powders, were used. The properties of coatings were investigated by X-ray diffraction, Fourier transform infrared spectroscopy, scanning electron microscopy, atomic force microscopy and Vickers microhardness. The obtained Si-HAp coatings presented a nanosized structure, proper thickness and hardness for applications in orthopedical and dental surgery, aimed at improving the stability and the osteointegration of bone implants. - Highlights: ► Pulsed Laser Deposition method was applied to coat heated Titanium supports. ► Films were deposited using a target of Silicon-Hydroxyapatite sintered ceramics. ► Nanostructured crystalline hard film was grown replicating target composition. ► Prepared coating could be used for orthopedic and dental implants applications

  19. Energy dependence of fusion evaporation-residue cross sections in the 28Si+28Si reaction

    International Nuclear Information System (INIS)

    Vineyard, M.F.; Bauer, J.S.; Gosdin, C.H.; Trotter, R.S.; Kovar, D.G.; Beck, C.; Henderson, D.J.; Janssens, R.V.F.; Wilkins, B.D.; Rosner, G.; Chowdhury, P.; Ikezoe, H.; Kuhn, W.; Kolata, J.J.; Hinnefeld, J.D.; Maguire, C.F.; Mateja, J.F.; Prosser, F.W.; Stephans, G.S.F.

    1990-01-01

    Velocity distributions of mass-identified evaporation residues produced in the 28 Si+ 28 Si reaction have been measured at bombarding energies of 174, 215, 240, 309, 397, and 452 MeV using time-of-flight techniques. These distributions were used to identify evaporation residues and to separate the complete-fusion and incomplete-fusion components. Angular distributions and total cross sections were extracted at all six bombarding energies. The complete-fusion evaporation-residue cross sections and the deduced critical angular momenta are compared with lower energy data and the predictions of existing models

  20. Gamma-ray emission spectra from spheres with 14 MeV neutron source

    International Nuclear Information System (INIS)

    Yamamoto, Junji; Kanaoka, Takeshi; Murata, Isao; Takahashi, Akito; Sumita, Kenji

    1989-01-01

    Energy spectra of neutron-induced gamma-rays emitted from spherical samples were measured using a 14 MeV neutron source. The samples in use were LiF, Teflon:(CF 2 ) n , Si, Cr, Mn, Co, Cu, Nb, Mo, W and Pb. A diameter of the sphere was either 40 or 60 cm. The gamma-ray energy in the emission spectra covered the range from 500 keV to 10 MeV. Measured spectra were compared with transport calculations using the nuclear data files of JENDL-3T and ENDF/B-IV. The agreements between the measurements and the JENDL-3T calculations were good in the emission spectra for the low energy gamma-rays from inelastic scattering. (author)